WorldWideScience

Sample records for deep-etch x-ray lithography

  1. Deep-etch x-ray lithography at the ALS: First results

    Energy Technology Data Exchange (ETDEWEB)

    Malek, C.K.; Jackson, K.H. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States); Brennen, R.A. [Jet Propulsion Lab., Pasadena, CA (United States)] [and others

    1997-04-01

    The fabrication of high-aspect-ratio and three-dimensional (3D) microstructures is of increasing interest in a multitude of applications in fields such as micromechanics, optics, and interconnect technology. Techniques and processes that enable lithography in thick materials differ from the planar technologies used in standard integrated circuit processing. Deep x-ray lithography permits extremely precise and deep proximity printing of a given pattern from a mask into a very thick resist. It requires a source of hard, intense, and well collimated x-ray radiation, as is provided by a synchrotron radiation source. The thick resist microstructures, so produced can be used as templates from which ultrahigh precision parts with high aspect ratios can be mass-produced out of a large variety of materials (metals, plastics, ceramics). This whole series of techniques and processes has been historically referred to as {open_quotes}LIGA,{close_quotes} from the German acronym for lithography, electroforming (Galvanoformung), and plastic molding (Abformung), the first development of the basic LIGA process having been performed at the Nuclear Research Center at Karlsruhe in Germany.

  2. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Energy Technology Data Exchange (ETDEWEB)

    Pantenburg, F.J. E-mail: pantenburg@imt.fzk.de; Mohr, J

    2001-07-21

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 {mu}m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  3. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Science.gov (United States)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  4. Deep X-ray lithography for the fabrication of microstructures at ELSA

    International Nuclear Information System (INIS)

    Pantenburg, F.J.; Mohr, J.

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described

  5. Deep X-ray lithography for the fabrication of microstructures at ELSA

    CERN Document Server

    Pantenburg, F J

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 mu m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  6. Performance of SU-8 Membrane Suitable for Deep X-Ray Grayscale Lithography

    Directory of Open Access Journals (Sweden)

    Harutaka Mekaru

    2015-02-01

    Full Text Available In combination with tapered-trench-etching of Si and SU-8 photoresist, a grayscale mask for deep X-ray lithography was fabricated and passed a 10-times-exposure test. The performance of the X-ray grayscale mask was evaluated using the TERAS synchrotron radiation facility at the National Institute of Advanced Industrial Science and Technology (AIST. Although the SU-8 before photo-curing has been evaluated as a negative-tone photoresist for ultraviolet (UV and X-ray lithographies, the characteristic of the SU-8 after photo-curing has not been investigated. A polymethyl methacrylate (PMMA sheet was irradiated by a synchrotron radiation through an X-ray mask, and relationships between the dose energy and exposure depth, and between the dose energy and dimensional transition, were investigated. Using such a technique, the shape of a 26-μm-high Si absorber was transformed into the shape of a PMMA microneedle with a height of 76 μm, and done with a high contrast. Although during the fabrication process of the X-ray mask a 100-μm-pattern-pitch (by design was enlarged to 120 μm. However, with an increase in an integrated dose energy this number decreased to 99 μm. These results show that the X-ray grayscale mask has many practical applications. In this paper, the author reports on the evaluation results of SU-8 when used as a membrane material for an X-ray mask.

  7. Alkali-developable silicone-based negative photoresist (SNP) for deep UV, electron beam, and X-ray lithographies

    International Nuclear Information System (INIS)

    Ban, Hiroshi; Tanaka, Akinobu; Kawai, Yoshio; Deguchi, Kimiyoshi

    1989-01-01

    A new silicone-based negative photoresist (SNP) developable with alkaline aqueous solutions is prepared. SNP composed of acetylated phenylsilsesquioxane oligomer and azidopyrene is applied to deep UV, electron beam (EB), and X-ray lithographies. SNP slightly swells in alkaline developers, thus exhibiting exceptionally high resolution characteristics for a negative resist. The resistance of SNP to oxygen reactive ion etching is approximately 30 times greater than that of conventional novolac resists. (author)

  8. Microfocussing of synchrotron X-rays using X-ray refractive lens developed at Indus-2 deep X-ray lithography beamline

    International Nuclear Information System (INIS)

    Dhamgaye, V.P.; Tiwari, M.K.; Lodha, G.S.; Sawhney, K.J.S.

    2014-01-01

    X-ray lenses are fabricated in polymethyl methacrylate using deep X-ray lithography beamline of Indus-2. The focussing performance of these lenses is evaluated using Indus-2 and Diamond Light Source Ltd. The process steps for the fabrication of X-ray lenses and microfocussing at 10 keV at moderate and low emittance sources are compared. (author)

  9. Effects of synchrotron radiation spectrum energy on polymethyl methacrylate photosensitivity to deep x-ray lithography

    International Nuclear Information System (INIS)

    Mekaru, Harutaka; Utsumi, Yuichi; Hattori, Tadashi

    2003-01-01

    Since X-ray lithography requires a high photon flux to achieve deep resist exposure, a synchrotron radiation beam, which is not monochromatized, is generally used as a light source. If the synchrotron radiation beam is monochromatized, photon flux will decrease rapidly. Because of this reason, the wavelength dependence of the resist sensitivity has not been investigated for deep X-ray lithography. Measuring the spectrum of a white beam with a Si solid-state detector (SSD) is difficult because a white beam has a high intensity and an SSD has a high sensitivity. We were able to measure the spectrum and the photocurrent of a white beam from a beam line used for deep X-ray lithography by keeping the ring current below 0.05 mA. We evaluated the characteristics of the output beam based on the measured spectrum and photocurrent, and used them to investigate the relationship between the total exposure energy and the dose-processing depth with polymethyl methacrylate (PMMA). We found that it is possible to guess the processing depth of PMMA from the total exposure energy in deep X-ray lithography. (author)

  10. Process strategies for ultra-deep x-ray lithography at the Advanced Photon Source

    International Nuclear Information System (INIS)

    Mancini, D.C.; Moldovan, N.; Divan, R.; De Carlo, F.; Yaeger, J.

    2001-01-01

    For the past five years, we have been investigating and advancing processing capabilities for deep x-ray lithography (DXRL) using synchrotron radiation from a bending magnet at the Advanced Photon Source (APS), with an emphasis on ultra-deep structures (1mm to 1cm thick). The use of higher-energy x-rays has presented many challenges in developing optimal lithographic techniques for high-aspect ratio structures: mask requirements, resist preparation, exposure, development, and post-processing. Many problems are more severe for high-energy exposure of thicker films than for sub-millimeter structures and affect resolution, processing time, adhesion, damage, and residue. A number of strategies have been created to overcome the challenges and limitations of ultra-deep x-ray lithography (UDXRL), that have resulted in the current choices for mask, substrate, and process flow at the APS. We describe our current process strategies for UDXRL, how they address the challenges presented, and their current limitations. We note especially the importance of the process parameters for use of the positive tone resist PMMA for UDXRL, and compare to the use of negative tone resists such as SU-8 regarding throughput, resolution, adhesion, damage, and post-processing.

  11. Metrology study of high precision mm parts made by the deep x-ray lithography (LIGA) technique

    International Nuclear Information System (INIS)

    Mäder, Olaf; Meyer, Pascal; Saile, Volker; Schulz, Joachim

    2009-01-01

    Microcomponents are increasingly applied in industrial products, e.g. smallest gears, springs or the watch industry. Apart from their small dimensions, such components are characterized by a high contour accuracy. Industry requires the tolerances to be in the µm range. Measurement of lateral dimensions in the mm range with submicrometer accuracy and precision, however, results in high requirements on measurement technology. The relevance of this problem is illustrated by the fact that the Deutsche Forschungsgemeinschaft (DFG, German Research Foundation) has launched the Collaborative Research Center 1159 on 'New Strategies of Measurement and Inspection for the Production of Microsystems and Nanostructures'. The Institut für Mikrostrukturtechnik, Karlsruhe (Institute of Microstructure Technology, Karlsruhe), produces microstructures by means of the LIG(A) technique (German acronym for lithography, electrodeposition, molding). Presently, a coordinate measurement machine equipped with an optical fiber probe to measure these microstructures is being tested. This paper will particularly focus on the precision and accuracy of the machine. The rules of measurement system analysis will be applied for this purpose. Following the elimination of the systematic error, reproducibility of deep-etch x-ray lithography will be highlighted using the LIGA production of gold gears as an example

  12. Fabrication of open-top microchannel plate using deep X-ray exposure mask made with silicon on insulator substrate

    CERN Document Server

    Fujimura, T; Etoh, S I; Hattori, R; Kuroki, Y; Chang, S S

    2003-01-01

    We propose a high-aspect-ratio open-top microchannel plate structure. This type of microchannel plate has many advantages in electrophoresis. The plate was fabricated by deep X-ray lithography using synchrotron radiation (SR) light and the chemical wet etching process. A deep X-ray exposure mask was fabricated with a silicon on insulator (SOI) substrate. The patterned Si microstructure was micromachined into a thin Si membrane and a thick Au X-ray absorber was embedded in it by electroplating. A plastic material, polymethylmethacrylate (PMMA) was used for the plate substrate. For reduction of the exposure time and high-aspect-ratio fast wet development, the fabrication condition was optimized with respect to not the exposure dose but to the PMMA mean molecular weight (M.W.) changing after deep X-ray exposure as measured by gel permeation chromatography (GPC). Decrement of the PMMA M.W. and increment of the wet developer temperature accelerated the etching rate. Under optimized fabrication conditions, a microc...

  13. Causes of defects and accuracy of structure reproduction in deep-etch X-ray lithography using synchrotron radiation

    International Nuclear Information System (INIS)

    Mohr, J.; Ehrfeld, W.; Muenchmeyer, D.

    1988-07-01

    Under the LIGA process plastic microstructures with extraordinarily high aspect ratios are produced by means of deep-etch synchrotron radiation lithography. These microstructures are used as templates for the fabrication by electroforming of metallic microstructures. The several hundred micrometer thick resist layers required in the process are polymerized directly on a metal base plate using a methacrylate based resin. This provides sufficient stability during the production process and also a reliable plating base for the electrodeposition of the metal. Perfect adhesion of micron-sized microstructures on a smooth surface can be achieved if the polished metal surface is sputtered with titanium and chemically oxidized afterwards. Alternatively it is also possible to add an internal adhesion promoter like methacryl oxypropyl trimethoxy silane to the resin. By means of this adhesion promoter chemical bonding between the metal surface and the polymer is achieved. (orig.)

  14. Vitreous carbon mask substrate for X-ray lithography

    Science.gov (United States)

    Aigeldinger, Georg [Livermore, CA; Skala, Dawn M [Fremont, CA; Griffiths, Stewart K [Livermore, CA; Talin, Albert Alec [Livermore, CA; Losey, Matthew W [Livermore, CA; Yang, Chu-Yeu Peter [Dublin, CA

    2009-10-27

    The present invention is directed to the use of vitreous carbon as a substrate material for providing masks for X-ray lithography. The new substrate also enables a small thickness of the mask absorber used to pattern the resist, and this enables improved mask accuracy. An alternative embodiment comprised the use of vitreous carbon as a LIGA substrate wherein the VC wafer blank is etched in a reactive ion plasma after which an X-ray resist is bonded. This surface treatment provides a surface enabling good adhesion of the X-ray photoresist and subsequent nucleation and adhesion of the electrodeposited metal for LIGA mold-making while the VC substrate practically eliminates secondary radiation effects that lead to delamination of the X-ray resist form the substrate, the loss of isolated resist features, and the formation of a resist layer adjacent to the substrate that is insoluble in the developer.

  15. Fabrication of mm-wave undulator cavities using deep x-ray lithography

    International Nuclear Information System (INIS)

    Song, J.J.; Kang, Y.W.; Kustom, R.L.; Lai, B.; Nassiri, A.; Feinerman, A.D.; White, V.; Well, G.M.

    1995-01-01

    The possibility of fabricating mm-wave radio frequency cavities (100-300 GHz) using deep x-ray lithography (DXRL) is being investigated. The fabrication process includes manufacture of precision x-ray masks, exposure of positive resist by x-ray through the mask, resist development, and electroforming of the final microstructure. Highly precise, two-dimensional features can be machined onto wafers using DXRL. Major challenges are: fabrication of the wafers into three-dimensional rf structures; alignment and overlay accuracy of structures; adhesion of the PMMA on the copper substrate; and selection of a developer to obtain high resolution. Rectangular cavity geometry is best suited to this fabrication technique. A 30- or 84-cell 108-GHz mm-wave structure can serve as an electromagnetic undulator. A mm-wave undulator, which will be discussed later, may have special features compared to the conventional undulator. First harmonic undulator radiation at 5.2 KeV would be possible using the Advanced Photon Source (APS) linac system, which provides a low-emittance electron beam by using an rf thermionic gun with an energy as high as 750-MeV. More detailed rf simulation, heat extraction analysis, beam dynamics using a mm-wave structure, and measurements on lOx larger scale models can be found in these proceedings

  16. X-ray lithography for micro and nanotechnology at RRCAT

    International Nuclear Information System (INIS)

    Shukla, Rahul; Dhamgaye, V.P.; Jain, V.K.; Lodha, G.S.

    2013-01-01

    At Indus-2 Soft and Deep X-ray Lithography beamline (BL-07) is functional and is capable of developing various high aspect ratio and high resolution structures at micro and nano scale. These micro and nano structures can be made to work as a mechanism, sensor, actuator and transducer for varieties of applications and serve as basic building blocks for the development of X-ray and IR optics, LASERs, lab-on-a-chip, micromanipulators and nanotechnology. To achieve these goals we have started developing high aspect ratio comb-drives, electrostatic micromotors, micro fluidic channels, X-ray optics and novel transducers for RF applications by Deep X-ray Lithography (DXRL). Comb-drive is one of most studied electrostatic device in MEMS (Micro Electro-Mechanical Systems). It can be used as a sensor, actuator, resonator, energy harvester and filter. Analysis and simulation shows that the comb actuator of aspect ratio 16 (air gap 50 μm) will produce nearly 1.25 μm displacement when DC voltage of 100 V is applied. For fabrication, first time in India, Polyimide X-ray mask is realized and exposure and development is done at BL-7 at RRCAT. The displacement increases as gap between comb finger decreases. Further refinement is in progress to get higher output from high aspect ratio (∼ 80) comb actuators (i.e. 1 μm at 5V). The other important design parameters like resonance frequency, capacitance will also be discussed. (author)

  17. High speed hydraulic scanner for deep x-ray lithography

    International Nuclear Information System (INIS)

    Milne, J.C.; Johnson, E.D.

    1997-07-01

    From their research and development in hard x-ray lithography, the authors have found that the conventional leadscrew driven scanner stages do not provide adequate scan speed or travel. These considerations have led the authors to develop a scanning system based on a long stroke hydraulic drive with 635 mm of travel and closed loop feedback to position the stage to better than 100 micrometers. The control of the device is through a PC with a custom LabView interface coupled to simple x-ray beam diagnostics. This configuration allows one to set a variety of scan parameters, including target dose, scan range, scan rates, and dose rate. Results from the prototype system at beamline X-27B are described as well as progress on a production version for the X-14B beamline

  18. High speed hydraulic scanner for deep x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Milne, J.C.; Johnson, E.D.

    1997-07-01

    From their research and development in hard x-ray lithography, the authors have found that the conventional leadscrew driven scanner stages do not provide adequate scan speed or travel. These considerations have led the authors to develop a scanning system based on a long stroke hydraulic drive with 635 mm of travel and closed loop feedback to position the stage to better than 100 micrometers. The control of the device is through a PC with a custom LabView interface coupled to simple x-ray beam diagnostics. This configuration allows one to set a variety of scan parameters, including target dose, scan range, scan rates, and dose rate. Results from the prototype system at beamline X-27B are described as well as progress on a production version for the X-14B beamline.

  19. Optimizing shape uniformity and increasing structure heights of deep reactive ion etched silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Wright, Jonathan; Simons, Hugh

    2015-01-01

    Line-focusing compound silicon x-ray lenses with structure heights exceeding 300 μm were fabricated using deep reactive ion etching. To ensure profile uniformity over the full height, a new strategy was developed in which the perimeter of the structures was defined by trenches of constant width....... The remaining sacrificial material inside the lens cavities was removed by etching through the silicon wafer. Since the wafers become fragile after through-etching, they were then adhesively bonded to a carrier wafer. Individual chips were separated using laser micro machining and the 3D shape of fabricated...... analysis, where a slight bowing of the lens sidewalls and an insufficiently uniform apex region are identified as resolution-limiting factors. Despite these, the proposed fabrication route proved a viable approach for producing x-ray lenses with large structure heights and provides the means to improve...

  20. Large-area soft x-ray projection lithography using multilayer mirrors structured by RIE

    Science.gov (United States)

    Rahn, Steffen; Kloidt, Andreas; Kleineberg, Ulf; Schmiedeskamp, Bernt; Kadel, Klaus; Schomburg, Werner K.; Hormes, F. J.; Heinzmann, Ulrich

    1993-01-01

    SXPL (soft X-ray projection lithography) is one of the most promising applications of X-ray reflecting optics using multilayer mirrors. Within our collaboration, such multilayer mirrors were fabricated, characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors were produced by electron beam evaporation in UHV under thermal treatment with an in-situ X-ray controlled thickness in the region of 2d equals 14 nm. The reflectivities measured at normal incidence reached up to 54%. Various surface analysis techniques have been applied in order to characterize and optimize the X-ray mirrors. The multilayers were patterned by reactive ion etching (RIE) with CF(subscript 4), using a photoresist as the etch mask, thus producing X-ray reflection masks. The masks were tested in the synchrotron radiation laboratory of the electron accelerator ELSA at the Physikalisches Institut of Bonn University. A double crystal X-ray monochromator was modified so as to allow about 0.5 cm(superscript 2) of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto the resist (Hoechst AZ PF 514), which was mounted at an average distance of about 7 mm. In the first test-experiments, structure sizes down to 8 micrometers were nicely reproduced over the whole of the exposed area. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  1. Fabrication of mm-wave undulator cavities using deep x-ray lithography

    International Nuclear Information System (INIS)

    Song, J.; Feinerman, A.; Kang, Y.; Kustom, R.; Lai, B.; Nassiri, A.; White, V.; Well, G.M.

    1996-01-01

    The possibility of fabricating mm-wave radio frequency cavities (100 endash 300 GHz) using deep x-ray lithography (DXRL) is being investigated. The fabrication process includes manufacture of precision x-ray masks, exposure of positive resist by x-ray through the mask, resist development, and electroforming of the final microstructure. Highly precise, two-dimensional features can be machined onto wafers using DXRL. Major challenges are: fabrication of the wafers into three-dimensional rf structures; alignment and overlay accuracy of structures; adhesion of the PMMA on the copper substrate; and selection of a developer to obtain high resolution. Rectangular cavity geometry is best suited to this fabrication technique. A 30- or 84-cell 108-GHz mm-wave structure can serve as an electromagnetic undulator. A mm-wave undulator, which will be discussed later, may have special features compared to the conventional undulator. First harmonic undulator radiation at 5.2 keV would be possible using the Advanced Photon Source (APS) linac system, which provides a low-emittance electron beam by using an rf thermionic gun with an energy as high as 750 MeV. More detailed rf simulation, heat extraction analysis, beam dynamics using a mm-wave structure, and measurements on 10x larger scale models can be found in these proceedings [Y.W. Kang et al., open-quote open-quote Design and Construction of Planar mm-wave Accelerating Cavity Structures close-quote close-quote] copyright 1996 American Institute of Physics

  2. Deep reactive ion etching of silicon moulds for the fabrication of diamond x-ray focusing lenses

    Science.gov (United States)

    Malik, A. M.; Fox, O. J. L.; Alianelli, L.; Korsunsky, A. M.; Stevens, R.; Loader, I. M.; Wilson, M. C.; Pape, I.; Sawhney, K. J. S.; May, P. W.

    2013-12-01

    Diamond is a highly desirable material for use in x-ray optics and instrumentation. However, due to its extreme hardness and resistance to chemical attack, diamond is difficult to form into a structure suitable for x-ray lenses. Refractive lenses are capable of delivering x-ray beams with nanoscale resolution. A moulding technique for the fabrication of diamond lenses is reported. High-quality silicon moulds were made using photolithography and deep reactive ion etching. The study of the etch process conducted to achieve silicon moulds with vertical sidewalls and minimal surface roughness is discussed. Issues experienced when attempting to deposit diamond into a high-aspect-ratio mould by chemical vapour deposition are highlighted. Two generations of lenses have been successfully fabricated using this transfer-moulding approach with significant improvement in the quality and performance of the optics observed in the second iteration. Testing of the diamond x-ray optics on the Diamond Light Source Ltd synchrotron B16 beamline has yielded a line focus of sub-micrometre width.

  3. Supercritical CO2 drying of poly(methyl methacrylate) photoresist for deep x-ray lithography: a brief note

    Science.gov (United States)

    Shukla, Rahul; Abhinandan, Lala; Sharma, Shivdutt

    2017-07-01

    Poly(methyl methacrylate) (PMMA) is an extensively used positive photoresist for deep x-ray lithography. The post-development release of the microstructures of PMMA becomes very critical for high aspect ratio fragile and freestanding microstructures. Release of high aspect ratio comb-drive microstructure of PMMA made by one-step x-ray lithography (OXL) is studied. The effect of low-surface tension Isopropyl alcohol (IPA) over water is investigated for release of the high aspect ratio microstructures using conventional and supercritical (SC) CO2 drying. The results of conventional drying are also compared for the samples released or dried in both in-house developed and commercial SC CO2 dryer. It is found that in all cases the microstructures of PMMA are permanently deformed and damaged while using SC CO2 for drying. For free-standing high aspect ratio microstructures of PMMA made by OXL, it is advised to use low-surface tension IPA over DI water. However, this brings a limitation on the design of the microstructure.

  4. The application of synchrotron radiation to X-ray lithography

    International Nuclear Information System (INIS)

    Spiller, E.; Eastman, D.E.; Feder, R.; Grobman, W.D.; Gudat, W.; Topalian, J.

    1976-06-01

    Synchrotron radiation from the German electron synchrotron DESY in Hamburg has been used for X-ray lithograpgy. Replications of different master patterns (for magnetic bubble devices, fresnel zone plates, etc.) were made using various wavelengths and exposures. High quality lines down to 500 A wide have been reproduced using very soft X-rays. The sensitivities of X-ray resists have been evaluated over a wide range of exposures. Various critical factors (heating, radiation damage, etc.) involved with X-ray lithography using synchrotron radiation have been studied. General considerations of storage ring sources designed as radiation sources for X-ray lithography are discussed, together with a comparison with X-ray tube sources. The general conclusion is that X-ray lithography using synchrotron radiation offers considerable promise as a process for forming high quality sub-micron images with exposure times as short as a few seconds. (orig.) [de

  5. Low cost ESR based X-ray beamline for lithography experimentation

    Energy Technology Data Exchange (ETDEWEB)

    Kovacs, S.; Doumas, A.; Truncale, M. (Grumman Corp., Bethpage, NY (United States). Space and Electronics Div.)

    1992-08-01

    Any application of the electron storage ring (ESR) based X-ray lithography technology requires an X-ray radiation transport system to transfer the synchrotron radiation into a spectrum defined by the lithography process requirements. Structure of this transport system (i.e. the beamline) depends on the nature of the application. In this paper a beamline conceptual design will be discussed. The beamline is intended for the developmment of X-ray lithography technology. (orig.).

  6. Illumination system for X-ray lithography

    International Nuclear Information System (INIS)

    Buckley, W.D.

    1989-01-01

    An X-ray lithography system is described, comprising: a point source of X-Ray radiation; a wafer plane disposed in spaced relation to the point source of X-Ray radiation; a mask disposed between the point source of X-Ray radiation and the wafer plane whereby X-Ray radiation from the point source of X-ray radiation passes through the mask to the water plane; and X-Ray absorbent means mounted between the point source of X-Ray radiation and the wafer plane, the X-Ray absorbent means being of quadratically absorption from maximum absorption at the center to minimum absorption at the edge so as to have a radial absorption gradient profile to compensate for radial flux variation of the X-Ray radiation

  7. Synchrotron radiation sources and condensers for projection x-ray lithography

    International Nuclear Information System (INIS)

    Murphy, J.B.; MacDowell, A.A.; White, D.L.; Wood, O.R. II

    1992-01-01

    The design requirements for a compact electron storage ring that could be used as a soft x-ray source for projection lithography are discussed. The design concepts of the x-ray optics that are required to collect and condition the radiation in divergence, uniformity and direction to properly illuminate the mask and the particular x-ray projection camera used are discussed. Preliminary designs for an entire soft x-ray projection lithography system using an electron storage ring as a soft X-ray source are presented. It is shown that by combining the existing technology of storage rings with large collection angle condensers, a powerful and reliable source of 130 Angstrom photons for production line projection x-ray lithography is possible

  8. Functionalized SU-8 patterned with X-ray Lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Romanato, F.

    2005-01-01

    spontaneous emission light source that couples out light normal to the chip plane. In addition we examine the influence of the x-ray irradiation on the fluorescence of thin films of dye doped SU-8. The dye embedded in the SU-8 is optically excited during, characterization by an external light source tuned......In this work we demonstrate the feasibility of x-ray lithography on SU-8 photoresist doped with the laser dye Rhodamine 6G, while retaining the photoactive properties of the embedded dye. Two kinds of structures are fabricated via soft x-ray lithography and characterized: a laser and in amplified...

  9. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    International Nuclear Information System (INIS)

    Li Yi-Gui; Yang Chun-Sheng; Liu Jing-Quan; Sugiyama Susumu

    2011-01-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm 2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost. (cross-disciplinary physics and related areas of science and technology)

  10. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    Science.gov (United States)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  11. Deformation and stress in PMMA during hard X-ray exposure for deep lithography

    International Nuclear Information System (INIS)

    Moldovan, N.

    1999-01-01

    The availability of high-energy, high-flux, collimated synchrotrons radiation has extended the application of deep X-ray lithography (DXRL) to thickness values of the PMMA resist of several millimeters. Some of the most severe limitations come from plastic deformation, stress, and cracks induced in PMMA during exposure and development. We have observed and characterized these phenomena quantitatively. Profilometry measurements revealed that the PMMA is subjected either to local shrinkage or to expansion, while compression and expansion evolve over time. Due to material loss and crosslinking, the material undergoes a shrinkage, while the radiation-induced decomposition generates gases expanding the polymer matrix. The overall dynamics of the material microrelief and stress during and after the exposure depend on the balance between compaction and outgassing. These depend in turn on the exposure conditions (spectrum; dose, dose rate, seaming, temperature), post-exposure storage conditions, PMMA material properties and thickness, and also on the size and geometry of the exposed patterns

  12. Soft-X-Ray Projection Lithography Using a High-Repetition-Rate Laser-Induced X-Ray Source for Sub-100 Nanometer Lithography Processes

    NARCIS (Netherlands)

    E. Louis,; F. Bijkerk,; Shmaenok, L.; Voorma, H. J.; van der Wiel, M. J.; Schlatmann, R.; Verhoeven, J.; van der Drift, E. W. J. M.; Romijn, J.; Rousseeuw, B. A. C.; Voss, F.; Desor, R.; Nikolaus, B.

    1993-01-01

    In this paper we present the status of a joint development programme on soft x-ray projection lithography (SXPL) integrating work on high brightness laser plasma sources. fabrication of multilayer x-ray mirrors. and patterning of reflection masks. We are in the process of optimization of a

  13. A preliminary study of synchrotron light sources for x-ray lithography

    International Nuclear Information System (INIS)

    Hoffmann, C.R.; Bigham, C.B.; Ebrahim, N.A.; Sawicki, J.A.; Taylor, T.

    1989-02-01

    A preliminary study of synchrotron light sources has been made, primarily oriented toward x-ray lithography. X-ray lithography is being pursued vigorously in several countries, with a goal of manufacturing high-density computer chips (0.25 μm feature sizes), and may attain commercial success in the next decade. Many other applications of soft x-rays appear worthy of investigation as well. The study group visited synchrotron radiation facilities and had discussions with members of the synchrotron radiation community, particularly Canadians. It concluded that accelerator technology for a conventional synchrotron light source appropriate for x-ray lithography is well established and is consistent with skills and experience at Chalk River Nuclear Laboratories. Compact superconducting systems are being developed also. Their technical requirements overlap with capabilities at Chalk River. (32 refs)

  14. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  15. Soft X-ray microscopy and lithography with synchrotron radiation

    International Nuclear Information System (INIS)

    Gudat, W.

    1977-12-01

    Considerable progress in the technique microscopy with soft X-ray radiation has been achieved in particular through the application of synchrotron radiation. Various methods which are currently being studied theoretically or already being used practically will be described briefly. Attention is focussed on the method of contact microscopy. Various biological specimens have been investigated by this method with a resolution as good as 100 A. X-ray lithography which in the technical procedure is very similar to contact microscopy gives promise for the fabrication of high quality submicron structures in electronic device production. Important factors limiting the resolution and determining the performance of contact microscopy and X-ray lithography will be discussed. (orig.) [de

  16. Microfocussing of synchrotron X-rays using X-ray refractive lens

    Indian Academy of Sciences (India)

    X-ray lenses are fabricated in polymethyl methacrylate using deep X-ray lithography beamline of Indus-2. The focussing performance of these lenses is evaluated using Indus-2 and Diamond Light Source Ltd. The process steps for the fabrication of X-ray lenses and microfocussing at 10 keV at moderate and low emittance ...

  17. Report of the fifth workshop on synchrotron x-ray lithography

    International Nuclear Information System (INIS)

    Williams, G.P.; Godel, J.B.; Brown, G.S.

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the ''Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources

  18. Report of the fifth workshop on synchrotron x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G.P.; Godel, J.B. (Brookhaven National Lab., Upton, NY (USA)); Brown, G.S. (Stanford Univ., CA (USA). Stanford Synchrotron Radiation Lab.); Liebmann, W. (Suss (Karl) America, Essex Junction, VT (USA))

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources.

  19. Report on the fifth workshop on synchrotron x ray lithography

    Science.gov (United States)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  20. Recent advances in X-ray lithography

    International Nuclear Information System (INIS)

    Cerrina, F.

    1992-01-01

    We report some significant developments in the area of X-ray technology, in the area of the modeling of image formation, in distortion control and in mask replication. Early simple models have been replaced by complete optical calculations based on physical optics and including all relevant factors. These models provide good agreement with the available experimental results. In the area of mask distortions, the use of finite element analysis models has clarified the roles played by the various sources of stress and explained in greater detail the origin of temperature changes. These progress have paved the way to the optimization of the exposure system and to the achievement of the large exposure latitude potential of X-ray lithography. (author)

  1. X-ray lenses with large aperture

    International Nuclear Information System (INIS)

    Simon, Markus

    2010-01-01

    Up to now, most X-ray imaging setups are based on absorption contrast imaging. There is a demand for focused X-rays in many X-ray analysis applications, either to increase the resolution of an imaging system, or, to reduce the time effort of an experiment through higher photon flux. For photon energies higher than 15 keV refractive X-ray optics are more efficient in comparison to non-refractive X-ray optics. The aim of this work was to develop X-ray lenses with large apertures and high transparency. By increasing the number of refracting surfaces while removing unnecessary lens material such lenses have been developed. Utilizing this approach the overall beam deflection angle is large with respect to the lens material it propagates through and so the transparency of the lens is increased. Within this work, X-ray lenses consisting of several thousands of prisms with an edge length in the range of micrometers have been developed and fabricated by deep X-ray lithography. Deep X-ray lithography enables high precision microstrucures with smooth sidewalls and large aspect ratios. The aperture of high-transparency X-ray lenses made this way is greater than 1 mm. They are suitable for photon energies in the range of 8 keV to 24 keV and offer a focal width of smaller than 10 μm at a transparency of around 40%. Furthermore, rolled X-ray lenses have been developed, that are made out of a microstructured polyimide film, which is cut according to the requirements regarding focal length and photon energy. The microstructured film is fabricated by molding, using an anisotropically etched silicon wafer as molding tool. Its mean roughness is in the range of nanometers. The film features prismatic structures, its surface topology is similar to an asparagus field. The measured diameter of the point focus was 18 μm to 31 μm, the calculated opticla efficiency was 37%. Future work will concentrate on increasing the aspect ratio of Prism Lenses and on increasing the rolling accuracy

  2. X ray reflection masks: Manufacturing, characterization and first tests

    Science.gov (United States)

    Rahn, Stephen

    1992-09-01

    SXPL (Soft X-ray Projection Lithography) multilayer mirrors are characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors with a 2d in the region of 14 nm were characterized by Cu-k(alpha) grazing incidence as well as soft X-ray normal incidence reflectivity measurements. The multilayer mirrors were patterned by reactive ion etching with CF4 using a photoresist as etch mask, thus producing X-ray reflection masks. The masks were tested at the synchrotron radiation laboratory of the electron accelerator ELSA. A double crystal X-ray monochromator was modified so as to allow about 0.5 sq cm of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto a resist and structure sizes down to 8 micrometers were nicely reproduced. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  3. Radiation chemistry of polymeric X-ray resists; Zur Strahlenchemie polymerer Roentgenresists

    Energy Technology Data Exchange (ETDEWEB)

    Wollersheim, O.

    1995-03-01

    In this study, the radiation chemical reactions in poly(methyl-methacrylate) (PMMA) and homo- and copolymers of lactide and glycollide during X-ray exposure with synchrotron radiation from the Bonn ELSA electron storage ring are quantitatively analyzed. In situ studies of the irradiated PMMA and lactide/glycollide polymers with mass spectroscopy, infrared spectroscopy and ESR spectroscopy combined with ex situ methods as size exclusion chromatography and titration lead to a complete and quantitative understanding of the radiation chemical reactions in both polymer classes. The implications for the application of the polymers in the X-ray deep etch lithography, which is the appropriate process for the production of microsystem components, are discussed. (orig.)

  4. X-ray lithography for micro- and nano-fabrication at ELETTRA for interdisciplinary applications

    International Nuclear Information System (INIS)

    Di Fabrizio, E; Fillipo, R; Cabrini, S

    2004-01-01

    ELETTRA (http://www.elettra.trieste.it/index.html) is a third generation synchrotron radiation source facility operating at Trieste, Italy, and hosts a wide range of research activities in advanced materials analysis and processing, biology and nano-science at several various beam lines. The energy spectrum of ELETTRA allows x-ray nano-lithography using soft (1.5 keV) and hard x-ray (10 keV) wavelengths. The Laboratory for Interdisciplinary Lithography (LIILIT) was established in 1998 as part of an Italian national initiative on micro- and nano-technology project of INFM and is funded and supported by the Italian National Research Council (CNR), INFM and ELETTRA. LILIT had developed two dedicated lithographic beam lines for soft (1.5 keV) and hard x-ray (10 keV) for micro- and nano-fabrication activities for their applications in engineering, science and bio-medical applications. In this paper, we present a summary of our research activities in micro- and nano-fabrication involving x-ray nanolithography at LILIT's soft and hard x-ray beam lines

  5. Development of compact synchrotron light source LUNA for x-ray lithography

    International Nuclear Information System (INIS)

    Takahashi, M.; Mandai, S.; Hoshi, Y.; Kohno, Y.

    1992-01-01

    A compact synchrotron light source LUNA has been developed by Ishikawajima-Harima Heavy Industries Co., Ltd. (IHI), especially for x-ray lithography. It consists of a 45-MeV linac as an electron injector and an 800-MeV synchrotron. The peak wavelength of synchrotron radiation is around 10 A. The installation of LUNA was completed in April 1989 at the Tsuchiura Facility of IHI. The synchrotron radiation was first observed in December 1989. A stored beam current of 50 mA at 800 MeV and a lifetime over 1 h have been achieved. At present, experiments are still continuing to increase the stored current and the lifetime. X-ray lithography testing is scheduled to begin in a clean room in this facility. This paper describes the outline of LUNA and the present status

  6. X-ray lenses with large aperture; Roentgenlinsen mit grosser Apertur

    Energy Technology Data Exchange (ETDEWEB)

    Simon, Markus

    2010-07-01

    Up to now, most X-ray imaging setups are based on absorption contrast imaging. There is a demand for focused X-rays in many X-ray analysis applications, either to increase the resolution of an imaging system, or, to reduce the time effort of an experiment through higher photon flux. For photon energies higher than 15 keV refractive X-ray optics are more efficient in comparison to non-refractive X-ray optics. The aim of this work was to develop X-ray lenses with large apertures and high transparency. By increasing the number of refracting surfaces while removing unnecessary lens material such lenses have been developed. Utilizing this approach the overall beam deflection angle is large with respect to the lens material it propagates through and so the transparency of the lens is increased. Within this work, X-ray lenses consisting of several thousands of prisms with an edge length in the range of micrometers have been developed and fabricated by deep X-ray lithography. Deep X-ray lithography enables high precision microstrucures with smooth sidewalls and large aspect ratios. The aperture of high-transparency X-ray lenses made this way is greater than 1 mm. They are suitable for photon energies in the range of 8 keV to 24 keV and offer a focal width of smaller than 10 {mu}m at a transparency of around 40%. Furthermore, rolled X-ray lenses have been developed, that are made out of a microstructured polyimide film, which is cut according to the requirements regarding focal length and photon energy. The microstructured film is fabricated by molding, using an anisotropically etched silicon wafer as molding tool. Its mean roughness is in the range of nanometers. The film features prismatic structures, its surface topology is similar to an asparagus field. The measured diameter of the point focus was 18 {mu}m to 31 {mu}m, the calculated opticla efficiency was 37%. Future work will concentrate on increasing the aspect ratio of Prism Lenses and on increasing the rolling

  7. Report of the second workshop on synchrotron radiation sources for x-ray lithography

    International Nuclear Information System (INIS)

    Barton, M.Q.; Craft, B.; Williams, G.P.

    1986-01-01

    The reported workshop is part of an effort to implement a US-based x-ray lithography program. Presentations include designs for three storage rings (one superconducting and two conventional) and an overview of a complete lithography program. The background of the effort described, the need for synchrotron radiation, and the international competition in the area are discussed briefly. The technical feasibility of x-ray lithography is discussed, and synchrotron performance specifications and construction options are given, as well as a near-term plan. It is recommended that a prototype synchrotron source be built as soon as possible, and that a research and development plan on critical technologies which could improve cost effectiveness of the synchrotron source be established. It is further recommended that a small number of second generation prototype synchrotrons be distributed to IC manufacturing centers to expedite commercialization

  8. Deep lithography with protons Modelling and predicting the performances of a novel fabrication technology for micro-optical components

    CERN Document Server

    Volckaerts, B; Veretennicoff, I; Thienpont, H

    2002-01-01

    We developed a simulation package that predicts 3D-dose distributions in proton irradiated poly(methylmetacrylate) samples considering primary energy transfer and scattering phenomena. In this paper, we apply this code to predict the surface flatness and maximum thickness of micro-optical and mechanical structures fabricated with deep lithography with protons (DLP). We compare these simulation results with experimental data and highlight the fundamental differences between DLP and deep X-ray lithography.

  9. Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography

    International Nuclear Information System (INIS)

    Woldering, Leon A; Tjerkstra, R Willem; Vos, Willem L; Jansen, Henri V; Setija, Irwan D

    2008-01-01

    We report on the fabrication of periodic arrays of deep nanopores with high aspect ratios in crystalline silicon. The radii and pitches of the pores were defined in a chromium mask by means of deep UV scan and step technology. The pores were etched with a reactive ion etching process with SF 6 , optimized for the formation of deep nanopores. We have realized structures with pitches between 440 and 750 nm, pore diameters between 310 and 515 nm, and depth to diameter aspect ratios up to 16. To the best of our knowledge, this is the highest aspect ratio ever reported for arrays of nanopores in silicon made with a reactive ion etching process. Our experimental results show that the etching rate of the nanopores is aspect-ratio-dependent, and is mostly influenced by the angular distribution of the etching ions. Furthermore we show both experimentally and theoretically that, for sub-micrometer structures, reducing the sidewall erosion is the best way to maximize the aspect ratio of the pores. Our structures have potential applications in chemical sensors, in the control of liquid wetting of surfaces, and as capacitors in high-frequency electronics. We demonstrate by means of optical reflectivity that our high-quality structures are very well suited as photonic crystals. Since the process studied is compatible with existing CMOS semiconductor fabrication, it allows for the incorporation of the etched arrays in silicon chips

  10. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  11. Masks for high aspect ratio x-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.; Jackson, K.H.; Bonivert, W.D.; Hruby, J.

    1997-01-01

    Fabrication of very high aspect ratio microstructures, as well as ultra-high precision manufacturing is of increasing interest in a multitude of applications. Fields as diverse as micromechanics, robotics, integrated optics, and sensors benefit from this technology. The scale-length of this spatial regime is between what can be achieved using classical machine tool operations and that which is used in microelectronics. This requires new manufacturing techniques, such as the LIGA process, which combines x-ray lithography, electroforming, and plastic molding

  12. X-ray reciprocal space mapping of GaAs.AIAs quantum wires and quantum dots

    NARCIS (Netherlands)

    Darhuber, A.A.; Koppensteiner, E.; Bauer, G.; Wang, P.D.; Song, Y.P.; Sotomayor Torres, C.M.; Holland, M.C.

    1995-01-01

    Periodic arrays of 150 and 175 nm-wide GaAs–AlAs quantum wires and quantum dots were investigated, fabricated by electron beam lithography, and SiCl4/O2 reactive ion etching, by means of reciprocal space mapping using triple axis x-ray diffractometry. From the x-ray data the lateral periodicity of

  13. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  14. The superconducting x-ray lithography source program at Brookhaven

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G. P.; Heese, R. N.; Vignola, G.; Murphy, J. B.; Godel, J. B.; Hsieh, H.; Galayda, J.; Seifert, A.; Knotek, M. L.

    1989-07-01

    A compact electron storage ring with superconducting dipole magnets, is being developed at the National Synchrotron Light Source at Brookhaven. The parameters of the source have been optimized for its future use as an x-ray source for lithography. This first ring is a prototype which will be used to study the operating characteristics of machines of this type with particular attention being paid to low-energy injection and long beam lifetime.

  15. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs

  16. Linear Fresnel zone plate based two-state alignment system for 0.25 micron x-ray lithography

    International Nuclear Information System (INIS)

    Chen, G.

    1993-01-01

    X-ray lithography has proven to be a cost effective and promising technique for fabricating Integrated Circuits (ICs) with minimum feature sizes of less than 0.25 μm. Since IC fabrication is a multilevel process, to preserve the functionality of devices, circuit patterns printed at each lithography level must match existing patterns on the wafer with an accuracy of less than 1/3 ∼ 1/5 of the minimum feature size. An alignment system is used to position the mask relative to the wafer so that mask circuit patterns can be printed on the wafer at the designed position. As the minimum printed feature size shrinks, the overlay requirements of a lithography tool become more stringent. A stepper for 0.25 μm feature device fabrication requires an overlay accuracy of 0.075 μm, of which only 0.05 μm (mean + 3σ) is allocated to its alignment system. This thesis presents the development of a linear Fresnel zone late based two-state alignment (TSA) method for a 0.25 μm x-ray lithography tool. The authors first analyze the overlay requirement in a lithography process and the error allocation to the alignment system for a 0.25 μ feature x-ray lithography tool. They then describe the principle of the two-state alignment, its computer simulation and the optimal alignment mark design. They carried out an optical bench test for the one-axes alignment setup and experimentally evaluated the performance of the system. They developed a three-axes TSA system and integrated the system with the ES-3 x-ray beamline to construct the CXrL aligner, an experimental x-ray exposure system in CXrL. They measured the alignment accuracy of the exposure system to be better than 0.035 μm (3σ) on both metal and dielectric alignment mark substrates. They also studied the effect of processing coatings on the alignment signal with different wafer mark substrates. They successfully printed the 0.5 μm gate level patterns for the first NMOS test chip at CXrL

  17. Review of vacuum systems for x-ray lithography light sources

    International Nuclear Information System (INIS)

    Schuchman, J.C.

    1990-01-01

    This paper will review and give a status report on vacuum systems for X-Ray lithography light sources. It will include conventional machines and compact machines (machines using superconducting magnets). The vacuum systems will be described and compared with regard to basic machine parameters, pumping systems, types of pumps, chamber design and material, gauging and diagnostics, and machine performane. 23 refs., 8 figs., 1 tab

  18. Performance of the IBM synchrotron X-ray source for lithography

    International Nuclear Information System (INIS)

    Archie, C.

    1993-01-01

    The compact superconducting synchrotron X-ray source at the IBM Advanced Lithography Facility in East Fishkill, New York has been in service to customers since the start of 1992. It availability during scheduled time is greater than 90%, with recent months frequently surpassing 95%. Data on the long-term behavior of the X-ray source properties and subsystem performance are now available. The full system continues to meet all specifications and even to surpass them in key areas. Measured electron beam properties such as beam size, short- and long-term positional stability, and beam life are presented. Lifetimes greater than 20 hours for typical stored beams have significantly simplified operations and increased availability compared to projections. This paper also describes some unique features of this X-ray source and goes beyond a discussion of downtime to describe the efforts behind the scenes to maintain and operate it

  19. The DARPA compact Superconducting X-Ray Lithography Source features

    International Nuclear Information System (INIS)

    Heese, R.; Kalsi, S.; Leung, E.

    1991-01-01

    Under DARPA sponsorship, a compact Superconducting X-Ray Lithography Source (SXLS) is being designed and built by the Brookhaven National Laboratory (BNL) with industry participation from Grumman Corporation and General Dynamics. This source is optimized for lithography work for sub-micron high density computer chips, and is about the size of a billiard table (1.5 m x 4.0 m). The machine has a racetrack configuration with two 180 degree bending magnets being designed and built by General Dynamics under a subcontract with Grumman Corporation. The machine will have 18 photon ports which would deliver light peaked at a wave length of 10 Angstroms. Grumman is commercializing the SXLS device and plans to book orders for delivery of industrialized SXLS (ISXLS) versions in 1995. This paper will describe the major features of this device. The commercial machine will be equipped with a fully automated user-friendly control systems, major features of which are already working on a compact warm dipole ring at BNL. This ring has normal dipole magnets with dimensions identical to the SXLS device, and has been successfully commissioned

  20. Vacuum system design for a superconducting X-ray lithography light source

    International Nuclear Information System (INIS)

    Schuchman, J.C.

    1990-01-01

    A superconducting electron storage ring for X-ray lithography (SXLS) is to be built at Brookhaven National Laboratory (BNL). The goal is to design and construct a light source specifically dedicated to X-ray lithography production and which would be used as a prototype in a technology transfer to American industry. The machine will be built in two phases: phase I, a low energy ring (200 MeV, 500 mA) using all room temperature magnets which will be used primarily for low energy injection studies. Phase II will be a full energy machine (690 MeV, 500 mA) where the room temperature 180 0 dipole magnets of phase I will be replaced with superconducting magnets. The machine, with a racetrack shape and a circumference of 8.5 m, is designed to be portable and replaceable as a single unit. This paper will discuss the vacuum system design for both phases; i.e. gas desorption, warm bore vs cold bore, ion trapping, clearing electrodes, and diagnostic instrumentation. (author)

  1. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  2. Report of the workshop on transferring X-ray Lithography Synchrotron (XLS) technology to industry

    Energy Technology Data Exchange (ETDEWEB)

    Marcuse, W.

    1987-01-01

    This paper reports on plans to develop an x-ray synchrotron for use in lithography. The primary concern of the present paper is technology transfer from national laboratories to private industry. (JDH)

  3. Selective etching characteristics of the AgInSbTe phase-change film in laser thermal lithography

    International Nuclear Information System (INIS)

    Li, Hao; Geng, Yongyou; Wu, Yiqun

    2012-01-01

    In the current work, the etching selectivity of the AgInSbTe phase-change film in laser thermal lithography is reported for the first time. Film phase change induced by laser irradiation and etching selectivity to crystalline and amorphous states in different etchants, including hydrochloric acid, sulfuric acid, phosphoric acid, nitric acid, sodium hydroxide, sodium sulfide, ammonium sulfide and ammonium hydroxide, are investigated. The results indicated that ammonium sulfide solvent (2.5 mol/L) had excellent etching selectivity to crystalline and amorphous states of the AgInSbTe film, and the etching characteristics were strongly influenced by the laser power density and laser irradiation time. The etching rate of the crystalline state of the AgInSbTe film was 40.4 nm/min, 20 times higher than that of the amorphous state under optimized irradiation conditions (power density: 6.63 mW/μm 2 and irradiation time: 330 ns), with ammonium sulfide solvent (2.5 mol/L) as etchant. The step profile produced in the selective etching was clear, and smooth surfaces remained both on the step-up and step-down with a roughness of less than 4 nm (10 x 10 μm). The excellent performance of the AgInSbTe phase-change film in selective etching is significant for fabrication of nanostructures with super-resolution in laser thermal lithography. (orig.)

  4. X-ray lithography source (SXLS) vacuum system

    International Nuclear Information System (INIS)

    Schuchman, J.C.; Aloia, J.; Hsieh, H.; Kim, T.; Pjerov, S.

    1989-01-01

    In 1988 Brookhaven National Laboratory (BNL) was awarded a contract to design and construct a compact light source for x-ray lithography. This award is part of a technology transfer-to-American-industry program. The contract is for an electron storage ring designed for 690 MeV-500 ma operations. It has a racetrack configuration with a circumference to 8.5 meters. The machine is to be constructed in two phases. Phase I (200 MeV-500ma) will primarily be for low energy injection studies and will incorporate all room temperature magnets. For Phase II the two room temperature dipole magnets will be replaced with (4T) superconducting magnets and operation will be at 690 MeV. This paper describes the vacuum system for this machine. 9 refs

  5. Sacrificial structures for deep reactive ion etching of high-aspect ratio kinoform silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Michael-Lindhard, Jonas; Hübner, Jörg

    2015-01-01

    This article describes the realization of complex high-aspect ratio silicon structures with feature dimensions from 100 lm to 100nm by deep reactive ion etching using the Bosch process. As the exact shape of the sidewall profiles can be crucial for the proper functioning of a device, the authors...... of the sacrificial structures was accomplished by thermal oxidation and subsequent selective wet etching. The effects of the dimensions and relative placement of sacrificial walls and pillars on the etching result were determined through systematic experiments. The authors applied this process for exact sidewall...

  6. Development of an alternating magnetic-field-assisted finishing process for microelectromechanical systems micropore x-ray optics.

    Science.gov (United States)

    Riveros, Raul E; Yamaguchi, Hitomi; Mitsuishi, Ikuyuki; Takagi, Utako; Ezoe, Yuichiro; Kato, Fumiki; Sugiyama, Susumu; Yamasaki, Noriko; Mitsuda, Kazuhisa

    2010-06-20

    X-ray astronomy research is often limited by the size, weight, complexity, and cost of functioning x-ray optics. Micropore optics promises an economical alternative to traditional (e.g., glass or foil) x-ray optics; however, many manufacturing difficulties prevent micropore optics from being a viable solution. Ezoe et al. introduced microelectromechanical systems (MEMS) micropore optics having curvilinear micropores in 2008. Made by either deep reactive ion etching or x-ray lithography, electroforming, and molding (LIGA), MEMS micropore optics suffer from high micropore sidewall roughness (10-30nmrms) which, by current standards, cannot be improved. In this research, a new alternating magnetic-field-assisted finishing process was developed using a mixture of ferrofluid and microscale abrasive slurry. A machine was built, and a set of working process parameters including alternating frequency, abrasive size, and polishing time was selected. A polishing experiment on a LIGA-fabricated MEMS micropore optic was performed, and a change in micropore sidewall roughness of 9.3+/-2.5nmrms to 5.7+/-0.7nmrms was measured. An improvement in x-ray reflectance was also seen. This research shows the feasibility and confirms the effects of this new polishing process on MEMS micropore optics.

  7. Development of an alternating magnetic-field-assisted finishing process for microelectromechanical systems micropore x-ray optics

    Energy Technology Data Exchange (ETDEWEB)

    Riveros, Raul E.; Yamaguchi, Hitomi; Mitsuishi, Ikuyuki; Takagi, Utako; Ezoe, Yuichiro; Kato, Fumiki; Sugiyama, Susumu; Yamasaki, Noriko; Mitsuda, Kazuhisa

    2010-06-20

    X-ray astronomy research is often limited by the size, weight, complexity, and cost of functioning x-ray optics. Micropore optics promises an economical alternative to traditional (e.g., glass or foil) x-ray optics; however, many manufacturing difficulties prevent micropore optics from being a viable solution. Ezoe et al. introduced microelectromechanical systems (MEMS) micropore optics having curvilinear micropores in 2008. Made by either deep reactive ion etching or x-ray lithography, electroforming, and molding (LIGA), MEMS micropore optics suffer from high micropore sidewall roughness (10-30nmrms) which, by current standards, cannot be improved. In this research, a new alternating magnetic-field-assisted finishing process was developed using a mixture of ferrofluid and microscale abrasive slurry. A machine was built, and a set of working process parameters including alternating frequency, abrasive size, and polishing time was selected. A polishing experiment on a LIGA-fabricated MEMS micropore optic was performed, and a change in micropore sidewall roughness of 9.3{+-}2.5nmrms to 5.7{+-}0.7nmrms was measured. An improvement in x-ray reflectance was also seen. This research shows the feasibility and confirms the effects of this new polishing process on MEMS micropore optics.

  8. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  9. Application status and prospect of X-ray lithography technology

    International Nuclear Information System (INIS)

    Xie Changqing; Chen Dapeng; Liu Ming; Ye Tianchun; Yi Futing

    2004-01-01

    Because of its many merits, such as high resolution, large depth of focus, large field size, high throughput, large process latitude, easy extendibility to 50 nm and below ground rule, and so on, the Proximity X-ray Lithography (PXL) is very attractive for the 100 nm and smaller ground rule integrated circuit manufacturing. In this paper, the international research and development status of PXL is briefly introduced firstly, and both its application status and prospect in nanoelectronics research, Monolithic Microwave Integrated Circuits (MMIC) production and silicon-based Ultra Large Scale Integrated Circuits (ULSIC) production are described, and the recent research progress in home PXL is also presented briefly. (authors)

  10. Dense plasma focus x-ray source for sub-micron lithography

    International Nuclear Information System (INIS)

    Prasad, R.R.; Krishnan, M.; Mangano, J.; Greene, P.; Qi, Niansheng

    1993-01-01

    A discharge driven, dense plasma focus in neon is under development at SRL for use as a point x-ray source for sub-micron lithography. This source is presently capable of delivering ∼ 13j/pulse of neon K-shell x-rays (8--14 angstrom) into 4π steradians with 2 kj of electrical energy stored in the capacitor bank charged to 9 kV at a pulse repetition rate of 2 Hz. The discharge is produced by a ≤4 kj, ≤12 kV, capacitor bank circuit, which has a fixed inductance of 12 nH and drives ≤450 kA currents into the DPF load, with ∼1.1 μs rise-times. X-rays are produced when a dense pinch of neon is formed along the axis of the DPF electrodes. A new rail-gap switched capacitor bank and DPF have been built, designed for continuous operation at 2 Hz and burst mode operation at 20 Hz. This paper will present measurements of the x-ray output at a repetition rate of 2 Hz using the new capacitor bank. It will also describe measurements of the spot size (0.3--0.8 mm) and the spectrum (8--14 angstrom) of the DPF source. The dependence of these parameters on the DPF head geometry, bank energy and operating pressure will be discussed. The x-ray output has been measured using filtered pin diodes, x-ray diodes, and absolutely calibrated x-ray crystal spectra. Results from the source operating at 2 Hz will be presented. A novel concept of a windowless beamline has also been developed. The results of preliminary experiments to test the concept will be discussed. At a pulse repetition rate of 20 Hz, this source should produce 200--400 W of x-ray power in the 8-14 angstrom wavelength band, with an input power of 40--60 kW

  11. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    Science.gov (United States)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  12. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    Science.gov (United States)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  13. Installation and initial operation of the Suss Advanced Lithography Model 4 X-ray Stepper

    International Nuclear Information System (INIS)

    Wells, G.M.; Wallace, J.P.; Brodsky, E.L.; Leonard, Q.J.; Reilly, M.T.; Anderson, P.D.; Lee, W.K.; Cerrina, F.; Simon, K.

    1996-01-01

    A Suss Advanced Lithography X-ray Stepper designed as a production tool for high throughput in the sub-quarter-micron device range has been installed and is being commissioned at the University of Wisconsin close-quote s Center for X-ray Lithography (CXrL). Illumination for the stepper is provided by a scanning beamline designed and constructed at CXrL. The beamline optical components are a gold-coated plane mirror, a 1-micron-thick silicon carbide window, and a 25-micron-thick beryllium exit window. Beamline features include synchronized scanning of the mirror and exit window, variable scan velocity to compensate for reflectivity changes as a function of incident angle, and a horizontal oscillation of the beryllium window during vertical scanning to average the effects of nonuniform beryllium window transmission. A helium purged snout transports the x-rays from the beamline exit window, to the exposure plane in the stepper. This snout is retractable to allow for the loading and unloading of masks into the stepper. The motions of the mirror, exit window, and snout are computer controlled by a LABVIEW program that communicates with the stepper control software. The design of the beamline and initial operating experiences with the beamline and stepper will be discussed. copyright 1996 American Institute of Physics

  14. Broadband transmission masks, gratings and filters for extreme ultraviolet and soft X-ray lithography

    International Nuclear Information System (INIS)

    Brose, S.; Danylyuk, S.; Juschkin, L.; Dittberner, C.; Bergmann, K.; Moers, J.; Panaitov, G.; Trellenkamp, St.; Loosen, P.; Grützmacher, D.

    2012-01-01

    Lithography and patterning on a nanometre scale with extreme ultraviolet (EUV) and soft X-ray radiation allow creation of high resolution, high density patterns independent of a substrate type. To realize the full potential of this method, especially for EUV proximity printing and interference lithography, a reliable technology for manufacturing of the transmission masks and gratings should be available. In this paper we present a development of broadband amplitude transmission masks and gratings for extreme ultraviolet and soft X-ray lithography based on free-standing niobium membranes. In comparison with a standard silicon nitride based technology the transmission masks demonstrate high contrast not only for in-band EUV (13.5 nm) radiation but also for wavelengths below Si L-absorption edge (12.4 nm). The masks and filters with free standing areas up to 1000 × 1000 μm 2 and 100 nm to 300 nm membrane thicknesses are shown. Electron beam structuring of an absorber layer with dense line and dot patterns with sub-50 nm structures is demonstrated. Diffractive and filtering properties of obtained structures are examined with EUV radiation from a gas discharge plasma source. - Highlights: ► Broadband transmission masks for EUV proximity and interference lithography. ► Technology for free standing niobium membranes with areas up to 1 mm 2 . ► High density patterns with periods of 100 nm and structure sizes below 40 nm. ► Measured diffraction efficiency at 11 nm is in agreement with the theory. ► Produced masks can be effectively used with wavelengths between 6 nm and 17 nm.

  15. Development of an alternating magnetic-field-assisted finishing process for microelectromechanical systems micropore x-ray optics

    International Nuclear Information System (INIS)

    Riveros, Raul E.; Yamaguchi, Hitomi; Mitsuishi, Ikuyuki; Takagi, Utako; Ezoe, Yuichiro; Kato, Fumiki; Sugiyama, Susumu; Yamasaki, Noriko; Mitsuda, Kazuhisa

    2010-01-01

    X-ray astronomy research is often limited by the size, weight, complexity, and cost of functioning x-ray optics. Micropore optics promises an economical alternative to traditional (e.g., glass or foil) x-ray optics; however, many manufacturing difficulties prevent micropore optics from being a viable solution. Ezoe et al. introduced microelectromechanical systems (MEMS) micropore optics having curvilinear micropores in 2008. Made by either deep reactive ion etching or x-ray lithography, electroforming, and molding (LIGA), MEMS micropore optics suffer from high micropore sidewall roughness (10-30nmrms) which, by current standards, cannot be improved. In this research, a new alternating magnetic-field-assisted finishing process was developed using a mixture of ferrofluid and microscale abrasive slurry. A machine was built, and a set of working process parameters including alternating frequency, abrasive size, and polishing time was selected. A polishing experiment on a LIGA-fabricated MEMS micropore optic was performed, and a change in micropore sidewall roughness of 9.3±2.5nmrms to 5.7±0.7nmrms was measured. An improvement in x-ray reflectance was also seen. This research shows the feasibility and confirms the effects of this new polishing process on MEMS micropore optics.

  16. X-ray grazing incidence study of inhomogeneous strain relaxation in Si/SiGe wires

    International Nuclear Information System (INIS)

    Hesse, A.; Zhuang, Y.; Holy, V.; Stangl, J.; Zerlauth, S.; Schaeffler, F.; Bauer, G.; Darowski, N.; Pietsch, U.

    2003-01-01

    The elastic strain relaxation in a series of dry-etched periodic multilayer Si/SiGe wire samples with different etching depths was investigated systematically by means of grazing incidence diffraction (GID). The samples were patterned by holographic lithography and reactive ion etching from a Si/SiGe superlattice grown by molecular beam epitaxy. Scanning electron microscopy and atomic force microscopy were employed to obtain information on the shape of the wires. The inhomogeneous strain distribution in the etched wires and in the non-etched part of the multilayers was derived by means of finite element calculations which were used as an input for simulations of the scattered X-ray intensities in depth dependent GID. The theoretical calculations for the scattered intensities are based on distorted-wave Born approximation. The unperturbed scattering potential was chosen with a reduced optical density corresponding to the ratio of wire width and wire period, in order to reflect the main interaction between the incident X-rays and the patterned samples. The calculations are in good agreement with the experimental data demonstrating the variation of strain relaxation with depth

  17. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers

    International Nuclear Information System (INIS)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-01-01

    To develop x-ray mirrors for micropore optics, smooth silicon (111)sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 μm wide (111) sidewalls was fabricated using a 220 μm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time,x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements

  18. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang, Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-12-10

    To develop x-ray mirrors for micropore optics, smooth silicon (111) sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 microm wide (111) sidewalls was fabricated using a 220 microm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time, x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements.

  19. Compact electron storage ring JESCOS with normalconducting or superconducting magnets for X-ray lithography

    International Nuclear Information System (INIS)

    Anton, F.; Klein, U.; Krischel, D.; Anderberg, B.

    1992-01-01

    The layouts of a normal conducting electron storage ring and a storage ring with superconducting bending magnets are presented. The storage rings have a critical wavelength of 1 nm and are designed as compact sources for X-ray lithography. Each ring fits into a shielded room with a diameter of 14 m. (author) 3 refs.; 5 figs.; 1 tab

  20. Mechanical design of SXLS (Superconducting X-ray Lithography Source) radio-frequency cavity

    Energy Technology Data Exchange (ETDEWEB)

    Mortazavi, P.; Sharma, S.; Keane, J.; Thomas, M.

    1989-01-01

    This paper presents the mechanical design of a Radio-Frequency (RF) cavity to be used on a compact storage ring for Superconducting X-ray Lithography Source (SXLS). Various design features of this cavity are discussed, including basic geometrical configuration, structural design, initial and operational tuning, vacuum multipactoring, power window, and damping of higher order modes. A second application of this cavity design for beam life extension in an existing storage ring is also described. 2 refs., 6 figs.

  1. Mechanical design of SXLS [Superconducting X-ray Lithography Source] radio-frequency cavity

    International Nuclear Information System (INIS)

    Mortazavi, P.; Sharma, S.; Keane, J.; Thomas, M.

    1989-01-01

    This paper presents the mechanical design of a Radio-Frequency (RF) cavity to be used on a compact storage ring for Superconducting X-ray Lithography Source (SXLS). Various design features of this cavity are discussed, including basic geometrical configuration, structural design, initial and operational tuning, vacuum multipactoring, power window, and damping of higher order modes. A second application of this cavity design for beam life extension in an existing storage ring is also described. 2 refs., 6 figs

  2. Parabolic crossed planar polymeric x-ray lenses

    Science.gov (United States)

    Nazmov, V.; Reznikova, E.; Mohr, J.; Saile, V.; Vincze, L.; Vekemans, B.; Bohic, S.; Somogyi, A.

    2011-01-01

    The principles of design and manufacturing of the polymer planar x-ray lenses focusing in one and two directions, as well as the peculiarities of optical behaviors and the results of the lens test are reported in this paper. The methods of electron and deep x-ray lithography used in lens manufacturing allow the manufacture of ten or more x-ray lenses on one substrate; the lenses show focal lengths down to several centimeters for photon energies between 5 and 40 keV. The measured focus size was 105 nm for a linear lens with an intensity gain of about 407, and 300 × 770 nm for a crossed lens with an intensity gain of 6470.

  3. Large-aperture focusing of x rays with micropore optics using dry etching of silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Moriyama, Teppei; Ogawa, Tomohiro; Kakiuchi, Takuya; Mitsuishi, Ikuyuki; Mitsuda, Kazuhisa; Aoki, Tatsuhiko; Morishita, Kohei; Nakajima, Kazuo

    2012-03-01

    Large-aperture focusing of Al K(α) 1.49 keV x-ray photons using micropore optics made from a dry-etched 4 in. (100 mm) silicon wafer is demonstrated. Sidewalls of the micropores are smoothed with high-temperature annealing to work as x-ray mirrors. The wafer is bent to a spherical shape to collect parallel x rays into a focus. Our result supports that this new type of optics allows for the manufacturing of ultralight-weight and high-performance x-ray imaging optics with large apertures at low cost. © 2012 Optical Society of America

  4. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    Science.gov (United States)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  5. Fabrication techniques of X-ray spiral zone plates

    International Nuclear Information System (INIS)

    Gao Nan; Zhu Xiaoli; Li Hailiang; Xie Changqing

    2010-01-01

    The techniques to make X-ray spiral zone plates using electron beam and X-ray lithography were studied. A master mask was fabricated on polyimide membrane by E-beam lithography and micro-electroplating. Spiral zone plates were efficiently replicated by X-ray lithography and micro-electroplating. By combining the techniques, spiral zone plates at 1 keV were successfully fabricate. With an outermost zone width of the 200 nm, and the gold absorbers thickness of 700 nm, the high quality zone plates can be used for X-ray phase contrast microscopy.(authors)

  6. Design parameters for a small storage ring optimized as an x-ray lithography source

    International Nuclear Information System (INIS)

    Grobman, W.D.

    1983-01-01

    This paper examines the design parameters for a ''compact storage ring'' which is matched well to x-ray lithographic requirements, but is as small as possible. This calculation uses a model of a lithographic system which obtains its input parameters from a technology of mask, resist and beam line based on the IBM program at the Brookhaven National Laboratory vacuum ultraviolet electron storage ring. Based on this lithographic system, we model exposure throughput as a function of storage ring parameters to understand which storage ring designs provide adequate but not excessive soft x-ray flux in the lithographically important region. Our scan of storage ring sources will cover a wide range of energies and magnetic fields, to permit consideration of superconducting as well as more standard strong- or weak-focusing designs. Furthermore, we will show that the results of the calculations presented here can be scaled in a simple way to cover a wide range of x-ray lithography system assumptions

  7. Cooperative simulation of lithography and topography for three-dimensional high-aspect-ratio etching

    Science.gov (United States)

    Ichikawa, Takashi; Yagisawa, Takashi; Furukawa, Shinichi; Taguchi, Takafumi; Nojima, Shigeki; Murakami, Sadatoshi; Tamaoki, Naoki

    2018-06-01

    A topography simulation of high-aspect-ratio etching considering transports of ions and neutrals is performed, and the mechanism of reactive ion etching (RIE) residues in three-dimensional corner patterns is revealed. Limited ion flux and CF2 diffusion from the wide space of the corner is found to have an effect on the RIE residues. Cooperative simulation of lithography and topography is used to solve the RIE residue problem.

  8. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    Science.gov (United States)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  9. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    Science.gov (United States)

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  10. Deep x-ray lithography for micromechanics and precision engineering

    International Nuclear Information System (INIS)

    Guckel, H.

    1996-01-01

    Micromechanics, an emerging technology for sensor and actuator fabrication, has already been exploited in the sensor area. Progress in actuators, devices that modify their environment and are fundamentally three dimensional, has been much more modest and is suffering from the availability of a fabrication tool with the necessary attributes. If the tool is based on photoresist technology, requirements include very large structure heights: in the millimeter range, for mask-defined prismatic photoresist shapes with flanks that differ from 90 degrees by less than 15 arc-seconds. Photoresist procedures that lead to these results are very different from their counterparts in the microelectronic industry. Thus, application is based on precast sheets of polymethyl methacrylate, PMMA, and solvent bonding followed by precision fly-cutting. Exposure is based on well-collimated x-ray sources, synchrotrons, with flux densities that can deposit 1,600 Joules per cubic centimeter in a finite time at the correct photoresist depth. Since PMMA has an absorption length that varies with photon energy, it is 100 micrometer at 3000 eV and increases to 1 cm at 20,000 eV, beamline and exposure designs center on transmission filters that control the low energy portion of the synchrotron spectrum. Since exposure latitude is large, overexposure by a factor of 15 is allowed, beamline and exposure design are relatively simple. Experiments via the Wisconsin machine, Aladdin, and the Brookhaven 2.6-GeV ring are being used to study the effectiveness issue of manufacturing with synchrotron radiation. Actuator test vehicles are linear and rotational magnetic micromotors with force outputs in the milli-Newton range. High energy exposures have produced large parts with submicron precision that are finding applications in ink jet printing and precision injection molding procedures. Both device types are unique to x-ray assisted processing. copyright 1996 American Institute of Physics

  11. Center for X-Ray Optics, 1992

    International Nuclear Information System (INIS)

    1993-08-01

    This report discusses the following topics: Center for X-Ray Optics; Soft X-Ray Imaging wit Zone Plate Lenses; Biological X-Ray microscopy; Extreme Ultraviolet Lithography for Nanoelectronic Pattern Transfer; Multilayer Reflective Optics; EUV/Soft X-ray Reflectometer; Photoemission Microscopy with Reflective Optics; Spectroscopy with Soft X-Rays; Hard X-Ray Microprobe; Coronary Angiography; and Atomic Scattering Factors

  12. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers.

    Science.gov (United States)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Maeda, Yoshitomo; Yamasaki, Noriko Y; Mitsuda, Kazuhisa; Shirata, Takayuki; Hayashi, Takayuki; Takano, Takayuki; Maeda, Ryutaro

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K(alpha)0.28 keV and Al K(alpha)1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K(alpha) (approximately 6 nm rms) is significantly larger than approximately 1 nm at Al K(alpha). This can be explained by different coherent lengths at two energies.

  13. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Maeda, Yoshitomo; Yamasaki, Noriko Y.; Mitsuda, Kazuhisa; Shirata, Takayuki; Hayashi, Takayuki; Takano, Takayuki; Maeda, Ryutaro

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K{alpha}0.28 keV and Al K{alpha}1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K{alpha} ({approx}6 nm rms) is significantly larger than {approx}1 nm at Al K{alpha}. This can be explained by different coherent lengths at two energies.

  14. Effect of Cl2- and HBr-based inductively coupled plasma etching on InP surface composition analyzed using in situ x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Bouchoule, S.; Vallier, L.; Patriarche, G.; Chevolleau, T.; Cardinaud, C.

    2012-01-01

    A Cl 2 -HBr-O 2 /Ar inductively coupled plasma (ICP) etching process has been adapted for the processing of InP-based heterostructures in a 300-mm diameter CMOS etching tool. Smooth and anisotropic InP etching is obtained at moderate etch rate (∼600 nm/min). Ex situ x-ray energy dispersive analysis of the etched sidewalls shows that the etching anisotropy is obtained through a SiO x passivation mechanism. The stoichiometry of the etched surface is analyzed in situ using angle-resolved x-ray photoelectron spectroscopy. It is observed that Cl 2 -based ICP etching results in a significantly P-rich surface. The phosphorous layer identified on the top surface is estimated to be ∼1-1.3-nm thick. On the other hand InP etching in HBr/Ar plasma results in a more stoichiometric surface. In contrast to the etched sidewalls, the etched surface is free from oxides with negligible traces of silicon. Exposure to ambient air of the samples submitted to Cl 2 -based chemistry results in the complete oxidation of the P-rich top layer. It is concluded that a post-etch treatment or a pure HBr plasma step may be necessary after Cl 2 -based ICP etching for the recovery of the InP material.

  15. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  16. Compton backscattered collmated X-ray source

    Science.gov (United States)

    Ruth, Ronald D.; Huang, Zhirong

    2000-01-01

    A high-intensity, inexpensive and collimated x-ray source for applications such as x-ray lithography is disclosed. An intense pulse from a high power laser, stored in a high-finesse resonator, repetitively collides nearly head-on with and Compton backscatters off a bunched electron beam, having relatively low energy and circulating in a compact storage ring. Both the laser and the electron beams are tightly focused and matched at the interaction region inside the optical resonator. The laser-electron interaction not only gives rise to x-rays at the desired wavelength, but also cools and stabilizes the electrons against intrabeam scattering and Coulomb repulsion with each other in the storage ring. This cooling provides a compact, intense bunch of electrons suitable for many applications. In particular, a sufficient amount of x-rays can be generated by this device to make it an excellent and flexible Compton backscattered x-ray (CBX) source for high throughput x-ray lithography and many other applications.

  17. Compton backscattered collimated x-ray source

    Science.gov (United States)

    Ruth, R.D.; Huang, Z.

    1998-10-20

    A high-intensity, inexpensive and collimated x-ray source is disclosed for applications such as x-ray lithography is disclosed. An intense pulse from a high power laser, stored in a high-finesse resonator, repetitively collides nearly head-on with and Compton backscatters off a bunched electron beam, having relatively low energy and circulating in a compact storage ring. Both the laser and the electron beams are tightly focused and matched at the interaction region inside the optical resonator. The laser-electron interaction not only gives rise to x-rays at the desired wavelength, but also cools and stabilizes the electrons against intrabeam scattering and Coulomb repulsion with each other in the storage ring. This cooling provides a compact, intense bunch of electrons suitable for many applications. In particular, a sufficient amount of x-rays can be generated by this device to make it an excellent and flexible Compton backscattered x-ray (CBX) source for high throughput x-ray lithography and many other applications. 4 figs.

  18. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  19. Optimization of neon soft X-ray emission from 200 J plasma focus device for application in soft X-ray lithography

    International Nuclear Information System (INIS)

    Kalaiselvi, S.M. P.; Tan, T.L.; Talebitaher, A.; Lee, Paul; Rawat, R.S.

    2014-01-01

    The Fast Miniature Plasma Focus (FMPF) device is basically made up of coaxial electrodes with centrally placed anode and six cathode rods surrounding them concentrically. They are enclosed in a vacuum chamber, filled with low pressure operating gas. However, in our experiments, these cathode rods were removed to investigate the influence of them on neon soft X-ray (SXR) and hard X-ray (HXR) emission from the device. On removal of cathode rods, the cathode base plate serves as cathode and the plasma sheath is formed between the anode and the base plate of cathode. Neon was used as the operating gas for our experiments and the FMPF device used is of 235 J energy capacities. The experimental results showed that the FMPF device was able to focus better and the SXR emission efficiency was five times higher without cathode rods than with cathode rods. On the contrary, HXR emission did not vary with and without cathode rods. This observed phenomenon was further cross-checked through imaging of plasma dynamics, with and without cathode rods. FMPF device consists of 4 Pseudo Spark Gap (PSG) switches, which need to operate synchronously to deliver high voltage from capacitors to the anode. It was also seen that, the presence or absence of cathode rods also influence the synchronous operation of PSG switches. It also implies that this is one definite way to optimize the SXR emission from the FMPF device. This study reveals an important finding that, cathode rods play a vital role in the formation of plasma sheath with consequential influence on the radiation emission from plasma focus devices. Enhancement of the X-ray emission from this device is definitely a stepping stone in the realization of this device for industrial applications such as X-ray lithography for semiconductor industries. (author)

  20. Neon dense plasma focus point x-ray source for ≤ 0.25 μm lithography

    International Nuclear Information System (INIS)

    Prasad, R.R.; Krishnan, M.; Berg, K.; Conlon, D.; Mangano, J.

    1994-01-01

    A discharge driven, dense plasma focus (DPF) in neon has been developed at SRL as a point x-ray source for sub-micron lithography. This source is presently capable of delivering ∼25 J/pulse of neon K-shell x-rays (8--14 angstrom) into 4 π steradians with a ∼1.4% wall plug efficiency at a 20 Hz repetition rate. The discharge is produced by a capacitor bank circuit (8 kV, 1.8 kJ) which has a fixed inductance of 11 nH and drives ∼ 320 kA currents into the DPF load, with ∼1 μs rise-times. X-rays are produced when a dense pinch of neon is formed along the axis of the DPF electrodes. The dense neon pinch has been found to be a cigar shaped object, ∼0.3 mm in diameter at the waist and ∼8 mm long on a singe shot. This source wanders slightly from shot to shot in an overall envelope which is ∼0.5--0.75 mm in diameter and ∼8 mm long. The spectrum of x-rays emitted by the pinch has been extensively studied. It has been found that 60% of the total x-ray output is radiated in the H-like and He-like lines centered at 12.9 angstrom and 40% of the output is radiated in the H-like and He-like continuum, centered at 9.8 angstrom. More than 4 x 10 5 discharges using a cooled DPF head have been fired producing x-rays. The variation in the measured x-ray output, over several hundreds of thousands of shots, corresponds to a variation in the dose delivered to a resist 40 cm from the source, of less than 1%. Data showing the measurement of the x-ray output, dose delivered to a resist, spectra of the source output, novel beam line concepts and potential lithographic applications will be presented

  1. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0<x<1) thin films deposited at a wide temperature range (250°C to 600°C). Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  2. Tunable atomic force microscopy bias lithography on electron beam induced carbonaceous platforms

    Directory of Open Access Journals (Sweden)

    Narendra Kurra

    2013-09-01

    Full Text Available Tunable local electrochemical and physical modifications on the carbonaceous platforms are achieved using Atomic force microscope (AFM bias lithography. These carbonaceous platforms are produced on Si substrate by the technique called electron beam induced carbonaceous deposition (EBICD. EBICD is composed of functionalized carbon species, confirmed through X-ray photoelectron spectroscopy (XPS analysis. AFM bias lithography in tapping mode with a positive tip bias resulted in the nucleation of attoliter water on the EBICD surface under moderate humidity conditions (45%. While the lithography in the contact mode with a negative tip bias caused the electrochemical modifications such as anodic oxidation and etching of the EBICD under moderate (45% and higher (60% humidity conditions respectively. Finally, reversible charge patterns are created on these EBICD surfaces under low (30% humidity conditions and investigated by means of electrostatic force microscopy (EFM.

  3. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  4. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    Science.gov (United States)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  5. A compact SR light source for x-ray lithography 'AURORA'

    International Nuclear Information System (INIS)

    Toba, Y.

    1990-01-01

    A compact synchrotron radiation (SR) light source called AURORA has been developed for industrial use. It is specially designed for X-ray lithography. AURORA consists of a storage ring, injector microtron and SR light beam lines. The storage ring is a superconducting single magnet machine, designed to accelerate a 150 MeV electron beam to 650 MeV and to store as high as 300 mA current. The injector is a racetrack microtron (RTM) producing a pulsed 150 MeV beam. As many as 16 SR light beam channels are available for AURORA. Prototypes of the storage ring and RTM are constructed, and beam commissioning is performed. A hundred and fifty MeV electron beam of a pulsed current 10 μA from the RTM is successfully injected to the ring and accelerated to 600 MeV and 10 mA current stored with a lifetime of more than 20 hours. The half-integer method investigated is shown to work well with the injection efficiency being found to be very high. Improvement of the design is now under way. (N.K.)

  6. X-ray lithography using wiggler and undulator synchrotron-radiation sources

    International Nuclear Information System (INIS)

    Neureuther, A.R.; Kim, K.J.; Thompson, A.C.; Hoyer, E.

    1983-08-01

    A systems design approach is used to identify feasible options for wiggler and undulator beam lines for x-ray lithography in the 0.5 to 0.2 μm linewidth region over 5 cm by 5 cm fields. Typical parameters from the Wiggler and Undulator in the Advanced Light Source designed at the Lawrence Berkeley Laboratory are used as examples. Moving from the conventional wavelengths of 4 to 9 A to very soft wavelengths around 15 A is shown to be very promising. The mask absorber thickness can be reduced a factor of three so that 0.2 μm features can be made with a 1:1 mask aspect ratio. The mask heating limited exposure time is also reduced a factor of three to 3 sec/cm 2 . However, extremely thin beam line windows (1/4 mil Be) and mask supports (1 μm Si) must be used. A wiggler beam line design using a small slit window at a scanning mirror appears feasible. A unconventional, windowless differentially pumped beam line with dual deflecting mirrors could be used with an undulator source

  7. Ultra-short wavelength x-ray system

    Science.gov (United States)

    Umstadter, Donald [Ann Arbor, MI; He, Fei [Ann Arbor, MI; Lau, Yue-Ying [Potomac, MD

    2008-01-22

    A method and apparatus to generate a beam of coherent light including x-rays or XUV by colliding a high-intensity laser pulse with an electron beam that is accelerated by a synchronized laser pulse. Applications include x-ray and EUV lithography, protein structural analysis, plasma diagnostics, x-ray diffraction, crack analysis, non-destructive testing, surface science and ultrafast science.

  8. Selective Etching via Soft Lithography of Conductive Multilayered Gold Films with Analysis of Electrolyte Solutions

    Science.gov (United States)

    Gerber, Ralph W.; Oliver-Hoyo, Maria T.

    2008-01-01

    This experiment is designed to expose undergraduate students to the process of selective etching by using soft lithography and the resulting electrical properties of multilayered films fabricated via self-assembly of gold nanoparticles. Students fabricate a conductive film of gold on glass, apply a patterned resist using a polydimethylsiloxane…

  9. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  10. Performance of the phase I superconducting x-ray lithography source (SXLS) at BNL

    International Nuclear Information System (INIS)

    Murphy, J.B.; Biscardi, R.; Halama, H.; Heese, R.; Kramer, S.; Nawrocky, R.; Krishnaswamy, J.

    1992-01-01

    The Phase I SXLS electron storage ring has a circumference of 8.5 meters, it uses conventional dipole magnets, B ≤ 1.1 T and ρ=60 cm, and it is capable of operating in the range of 50-250 MeV. It is the forerunner of the Phase II SXLS ring which will operate at 700 MeV and will make use of superconducting dipoles, B 0 =3.87 Tesla, as a source of λ c =10 angstrom x-rays for proximity printing lithography. The Phase I storage ring has been successfully commissioned; stored currents in excess of one ampere have been achieved. A report on the performance of the machine is presented. (author) 4 refs.; 4 figs.; 2 tabs

  11. Wafer Surface Charge Reversal as a Method of Simplifying Nanosphere Lithography for Reactive Ion Etch Texturing of Solar Cells

    Directory of Open Access Journals (Sweden)

    Daniel Inns

    2007-01-01

    Full Text Available A simplified nanosphere lithography process has been developed which allows fast and low-waste maskings of Si surfaces for subsequent reactive ion etching (RIE texturing. Initially, a positive surface charge is applied to a wafer surface by dipping in a solution of aluminum nitrate. Dipping the positive-coated wafer into a solution of negatively charged silica beads (nanospheres results in the spheres becoming electrostatically attracted to the wafer surface. These nanospheres form an etch mask for RIE. After RIE texturing, the reflection of the surface is reduced as effectively as any other nanosphere lithography method, while this batch process used for masking is much faster, making it more industrially relevant.

  12. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon

    Science.gov (United States)

    Tokel, Onur; Turnalı, Ahmet; Makey, Ghaith; Elahi, Parviz; ćolakoǧlu, Tahir; Ergeçen, Emre; Yavuz, Ã.-zgün; Hübner, René; Zolfaghari Borra, Mona; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F. Ã.-mer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3, with untapped potential for mid-infrared optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow the fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realized with techniques like reactive ion etching. Embedded optical elements7, electronic devices and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1-µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has an optical index different to that in unmodified parts, enabling the creation of numerous photonic devices. Optionally, these parts can be chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface—that is, `in-chip'—microstructures for microfluidic cooling of chips, vias, micro-electro-mechanical systems, photovoltaic applications and photonic devices that match or surpass corresponding state-of-the-art device performances.

  13. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon.

    Science.gov (United States)

    Tokel, Onur; Turnali, Ahmet; Makey, Ghaith; Elahi, Parviz; Çolakoğlu, Tahir; Ergeçen, Emre; Yavuz, Özgün; Hübner, René; Borra, Mona Zolfaghari; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F Ömer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3 with untapped potential for mid-IR optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realised with techniques like reactive ion etching. Embedded optical elements, like in glass7, electronic devices, and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1 µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has a different optical index than unmodified parts, which enables numerous photonic devices. Optionally, these parts are chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface, i.e. , " in-chip" microstructures for microfluidic cooling of chips, vias, MEMS, photovoltaic applications and photonic devices that match or surpass the corresponding state-of-the-art device performances.

  14. X pinch a point x-ray source

    International Nuclear Information System (INIS)

    Garg, A.B.; Rout, R.K.; Shyam, A.; Srinivasan, M.

    1993-01-01

    X ray emission from an X pinch, a point x-ray source has been studied using a pin-hole camera by a 30 kV, 7.2 μ F capacitor bank. The wires of different material like W, Mo, Cu, S.S.(stainless steel) and Ti were used. Molybdenum pinch gives the most intense x-rays and stainless steel gives the minimum intensity x-rays for same bank energy (∼ 3.2 kJ). Point x-ray source of size (≤ 0.5 mm) was observed using pin hole camera. The size of the source is limited by the size of the pin hole camera. The peak current in the load is approximately 150 kA. The point x-ray source could be useful in many fields like micro lithography, medicine and to study the basic physics of high Z plasmas. (author). 4 refs., 3 figs

  15. Focusing properties of x-ray polymer refractive lenses from SU-8 resist layer

    Science.gov (United States)

    Snigirev, Anatoly A.; Snigireva, Irina; Drakopoulos, Michael; Nazmov, Vladimir; Reznikova, Elena; Kuznetsov, Sergey; Grigoriev, Maxim; Mohr, Jurgen; Saile, Volker

    2003-12-01

    Compound refractive lenses printed in Al and Be are becoming the key X-ray focusing and imaging components of beamline optical layouts at the 3rd generation synchrotron radiation sources. Recently proposed planar optical elements based on Si, diamond etc. may substantially broaden the spectrum of the refractive optics applicability. Planar optics has focal distances ranging from millimeters to tens of meters offering nano- and micro-focusing lenses, as well as beam condensers and collimators. Here we promote deep X-ray lithography and LIGA-type techniques to create high aspect-ratio lens structures for different optical geometries. Planar X-ray refractive lenses were manufactured in 1 mm thick SU-8 negative resist layer by means of deep synchrotron radiation lithography. The focusing properties of lenses were studied at ID18F and BM5 beamlines at the ESRF using monochromatic radiation in the energy range of 10 - 25 keV. By optimizing lens layout, mask making and resist processing, lenses of good quality were fabricated. The resolution of about 270 nm (FWHM) with gain in the order of 300 was measured at 14 keV. In-line holography of B-fiber was realized in imaging and projection mode with a magnification of 3 and 20, respectively. Submicron features of the fiber were clearly resolved. A radiation stability test proved that the fabricated lenses don't change focusing characteristics after dose of absorbed X-ray radiation of about 2 MJ/cm3. The unique radiation stability along with the high effficiency of SU8 lenses opens wide range of their synchrotron radiation applications such as microfocusing elements, condensers and collimators.

  16. Applications of soft x-ray lasers

    International Nuclear Information System (INIS)

    Skinner, C.H.

    1993-01-01

    The high brightness and short pulse duration of soft x-ray lasers provide unique advantages for novel applications. Imaging of biological specimens using x-ray lasers has been demonstrated by several groups. Other applications to fields such as chemistry, material science, plasma diagnostics, and lithography are beginning to emerge. We review the current status of soft x-ray lasers from the perspective of applications, and present an overview of the applications currently being developed

  17. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  18. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  19. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  20. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-01-01

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during

  1. Scaling of x-ray emission and ion velocity in laser produced Cu ...

    Indian Academy of Sciences (India)

    Laser plasma; x-ray emission; conversion efficiency; ion velocities. ... fits from this kind of optimization studies are in the fields of x-ray lithography, x-ray lasers etc. .... formula between the x-ray conversion rate versus different parameters of the ...

  2. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  3. Fabrication study of GaAs mesa diodes for X-ray detection

    OpenAIRE

    Ng, J.S.; Meng, X.; Lees, J.E.; Barnett, A.; Tan, C.H.

    2014-01-01

    A study of leakage currents using GaAs mesa p-i-n diodes for X-ray photon counting is presented. Different wet chemical etching solution and etch depth were used in the fabrication of these mesa diodes. Low and uniform leakage currents were achieved when the diode fabrication used (i) a combination of main etching solution and finishing etching solution for the etching, and (ii) partially etched mesas. The diodes fabricated using these methods showed well-defined X-ray peaks when illuminated ...

  4. Hard X-ray synchrotron light source for industrial and materials research applications

    International Nuclear Information System (INIS)

    Lehr, H.; Ehrfeld, W.; Moser, H.O.; Schmidt, M.; Herminghaus, H.

    1992-01-01

    The requirements for industrial production or for an industry-related analytical environment is demonstrated for the case of the proposed hard X-ray synchrotron light source. The source is intended to provide radiation mainly for deep X-ray lithography as part of the LIGA-process in microfabrication, and for analytical and diagnostic purposes in materials research and microtechnology. It offers up to 48 bending magnet beamlines with a characteristic wavelength of 2 A. An electron energy of 2.5 GeV and normal conducting magnets will be used. A FODO lattice with a beam emittance of 3x10 -7 m rad and four dispersion-free straight sections to accommodate insertion devices, injection elements and RF structures has been designed. (R.P.) 5 refs.; 4 figs.; 1 tab

  5. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    International Nuclear Information System (INIS)

    Roozeboom, F; Kniknie, B; Lankhorst, A M; Winands, G; Knaapen, R; Smets, M; Poodt, P; Dingemans, G; Keuning, W; Kessels, W M M

    2012-01-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF 6 to form gaseous SiF x etch products, and 2) passivation with C 4 F 8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ∼20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C 4 F 8 passivation steps by ALD-based oxide (e.g. SiO 2 ) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  6. Ultrahigh-efficiency apodized grating coupler using fully etched photonic crystals

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ou, Haiyan; Peucheret, Christophe

    2013-01-01

    We present an efficient method to design apodized grating couplers with Gaussian output profiles for efficient coupling between standard single mode fibers and silicon chips. An apodized grating coupler using fully etched photonic crystal holes on the silicon-on-insulator platform is designed......, and fabricated in a single step of lithography and etching. An ultralow coupling loss of x2212;1.74x2009;x2009;dB (67% coupling efficiency) with a 3xA0;dB bandwidth of 60xA0;nm is experimentally measured....

  7. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  8. Simple, compact, high brightness source for x-ray lithography and x-ray radiography

    International Nuclear Information System (INIS)

    Hawryluk, A.M.

    1986-01-01

    A simple, compact, high brightness x-ray source has recently been built. This source utilizes a commercially available, cylindrical geometry electron beam evaporator, which has been modified to enhance the thermal cooling to the anode. Cooling is accomplished by using standard, low-conductivity laboratory water, with an inlet pressure of less than 50 psi, and a flow rate of approx.0.3 gal/min. The anode is an inverted cone geometry for efficient cooling. The x-ray source has a measured sub-millimeter spot size (FWHM). The anode has been operated at 1 KW e-beam power (10 KV, 100 ma). Higher operating levels will be investigated. A variety of different x-ray lines can be obtained by the simple interchange of anodes of different materials. Typical anodes are made from easily machined metals, or materials which are vacuum deposited onto a copper anode. Typically, a few microns of material is sufficient to stop 10 KV electrons without significantly decreasing the thermal conductivity through the anode. The small size and high brightness of this source make it useful for step and repeat exposures over several square centimeter areas, especially in a research laboratory environment. For an aluminum anode, the estimated Al-K x-ray flux at 10 cms from the source is 70 μW/cm 2

  9. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography.

    Science.gov (United States)

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Pérez-Galacho, Diego; Guerber, Sylvain; Vakarin, Vladyslav; Marcaud, Guillaume; Le Roux, Xavier; Cassan, Eric; Marris-Morini, Delphine; Cheben, Pavel; Boeuf, Frédéric; Baudot, Charles; Vivien, Laurent

    2017-09-01

    Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (-20  dB). A measured coupling efficiency of -2.7  dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

  10. Highly sensitive x-ray detectors in the low-energy range on n-type 4H-SiC epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    Mandal, Krishna C.; Muzykov, Peter G. [Department of Electrical Engineering, University of South Carolina, Columbia, South Carolina 29208 (United States); Russell Terry, J. [Space Science and Applications Group (ISR-1), Intelligence and Space Research Division, Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States)

    2012-07-30

    Schottky diodes on n-type 4H-SiC epitaxial layers have been fabricated for low-energy x-ray detection. The detectors were highly sensitive to soft x-rays and showed improved response compared to the commercial SiC UV photodiodes. Current-voltage characteristics at 475 K showed low leakage current revealing the possibility of high temperature operation. The high quality of the epi-layer was confirmed by x-ray diffraction and chemical etching. Thermally stimulated current measurements performed at 94-550 K revealed low density of deep levels which may cause charge trapping. No charge trapping on detectors' responsivity in the low x-ray energy was found.

  11. VETA-I x ray test analysis

    Science.gov (United States)

    Brissenden, R. J. V.; Chartas, G.; Freeman, M. D.; Hughes, J. P.; Kellogg, E. M.; Podgorski, W. A.; Schwartz, D. A.; Zhao, P.

    1992-01-01

    This interim report presents some definitive results from our analysis of the VETA-I x-ray testing data. It also provides a description of the hardware and software used in the conduct of the VETA-I x-ray test program performed at the MSFC x-ray Calibration Facility (XRCF). These test results also serve to supply data and information to include in the TRW final report required by DPD 692, DR XC04. To provide an authoritative compendium of results, we have taken nine papers as published in the SPIE Symposium, 'Grazing Incidence X-ray/EUV Optics for Astronomy and Projection Lithography' and have reproduced them as the content of this report.

  12. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  13. Fabrication of ultrahigh density metal-cell-metal crossbar memory devices with only two cycles of lithography and dry-etch procedures

    KAUST Repository

    Zong, Baoyu; Goh, J. Y.; Guo, Zaibing; Luo, Ping; Wang, Chenchen; Qiu, Jinjun; Ho, Pin; Chen, Yunjie; Zhang, Mingsheng; Han, Guchang

    2013-01-01

    A novel approach to the fabrication of metal-cell-metal trilayer memory devices was demonstrated by using only two cycles of lithography and dry-etch procedures. The fabricated ultrahigh density crossbar devices can be scaled down to ≤70 nm in half

  14. Electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography

    Science.gov (United States)

    Takahashi, Hiroshi; Sagara, Tomoya; Horiuchi, Toshiyuki

    2017-07-01

    Recently, it is required to develop a method for fabricating cylindrical micro-components in the field of measurement and medical engineering. Here, electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography was researched. The pipe diameter was 100 μm. At first, a pipe coated with 3-7 μm thick positive resist (tok, PMER P LA-900) was exposed to a violet laser beam with a wavelength of 408 nm (Neoark,TC20-4030-45). The laser beam was reshaped in a circle by placing a pinhole, and irradiated on the pipe by reducing the size in 1/20 using a reduction projection optics. Linearly arrayed 22 slit patterns with a width of 25 μm and a length of 175 μm were delineated in every 90-degree circumferential direction. That is, 88 slits in total were delineated at an exposure speed of 110 μm/s. In the axial direction, patterns were delineated at intervals of 90 μm. Following the pattern delineation, the pipe masked by the resist patterns was electrolytically etched. The pipe was used as an anode and an aluminum cylinder was set as a cathode around the pipe. As the electrolyte, aqueous solution of NaCl and NH4Cl was used. After etching the pipe, the resist was removed by ultrasonic cleaning in acetone. Although feasibility for fabricating multi-slit pipes was demonstrated, sizes of the etched slits were enlarged being caused by the undercut, and the shapes were partially deformed, and all the pipes were snapped at the chuck side.

  15. Opportunities for high aspect ratio micro-electro-magnetic-mechanical systems (HAR-MEMMS) at Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    Hunter, S.

    1993-10-01

    This report contains viewgraphs on the following topics: Opportunities for HAR-MEMMS at LBL; Industrial Needs and Opportunities; Deep Etch X-ray Lithography; MEMS Activities at BSAC; DNA Amplification with Microfabricated Reaction Chamber; Electrochemistry Research at LBL; MEMS Activities at LLNL; Space Microsensors and Microinstruments; The Advanced Light Source; Institute for Micromaching; IBM MEMS Interests; and Technology Transfer Opportunities at LBL

  16. X-ray masks

    International Nuclear Information System (INIS)

    Greenwood, J.C.; Satchell, D.W.

    1984-01-01

    In semiconductor manufacture, where X-ray irradiation is used, a thin silicon membrane can be used as an X-ray mask. This membrane has areas on which are patterns to define the regions to be irradiated. These regions are of antireflection material. With the thin, in the order of 3 microns, membranes used, fragility is a problem. Hence a number of ribs of silicon are formed integral with the membrane, and which are relatively thick, 5 to 10 microns. The ribs may be formed by localised deeper boron deposition followed by a selective etch. (author)

  17. Determination by Small-angle X-ray Scattering of Pore Size Distribution in Nanoporous Track-etched Polycarbonate Membranes

    Science.gov (United States)

    Jonas, A. M.; Legras, R.; Ferain, E.

    1998-03-01

    Nanoporous track-etched membranes with narrow pore size distributions and average pore size diameters tunable from 100 to 1000 Åare produced by the chemical etching of latent tracks in polymer films after irradiation by a beam of accelerated heavy ions. Nanoporous membranes are used for highly demanding filtration purposes, or as templates to obtain metallic or polymeric nanowires (L. Piraux et al., Nucl. Instr. Meth. Phys. Res. 1997, B131, 357). Such applications call for developments in nanopore size characterization techniques. In this respect, we report on the characterization by small-angle X-ray scattering (SAXS) of nanopore size distribution (nPSD) in polycarbonate track-etched membranes. The obtention of nPSD requires inverting an ill-conditioned inhomogeneous equation. We present different numerical routes to overcome the amplification of experimental errors in the resulting solutions, including a regularization technique allowing to obtain the nPSD without a priori knowledge of its shape. The effect of deviations from cylindrical pore shape on the resulting distributions are analyzed. Finally, SAXS results are compared to results obtained by electron microscopy and conductometry.

  18. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  19. Observation and theory of X-ray mirages.

    Science.gov (United States)

    Magnitskiy, Sergey; Nagorskiy, Nikolay; Faenov, Anatoly; Pikuz, Tatiana; Tanaka, Mamoko; Ishino, Masahiko; Nishikino, Masaharu; Fukuda, Yuji; Kando, Masaki; Kawachi, Tetsuya; Kato, Yoshiaki

    2013-01-01

    The advent of X-ray lasers allowed the realization of compact coherent soft X-ray sources, thus opening the way to a wide range of applications. Here we report the observation of unexpected concentric rings in the far-field beam profile at the output of a two-stage plasma-based X-ray laser, which can be considered as the first manifestation of a mirage phenomenon in X-rays. We have developed a method of solving the Maxwell-Bloch equations for this problem, and find that the experimentally observed phenomenon is due to the emergence of X-ray mirages in the plasma amplifier, appearing as phase-matched coherent virtual point sources. The obtained results bring a new insight into the physical nature of amplification of X-ray radiation in laser-induced plasma amplifiers and open additional opportunities for X-ray plasma diagnostics and extreme ultraviolet lithography.

  20. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  1. Workshop on compact storage ring technology: applications to lithography

    International Nuclear Information System (INIS)

    1986-01-01

    Project planning in the area of x-ray lithography is discussed. Three technologies that are emphasized are the light source, the lithographic technology, and masking technology. The needs of the semiconductor industry in the lithography area during the next decade are discussed, particularly as regards large scale production of high density dynamic random access memory devices. Storage ring parameters and an overall exposure tool for x-ray lithography are addressed. Competition in this area of technology from Germany and Japan is discussed briefly. The design of a storage ring is considered, including lattice design, magnets, and beam injection systems

  2. On the accuracy of X-ray lithography using synchrotron radiation for the fabrication of technical separation nozzle elements

    International Nuclear Information System (INIS)

    Becker, E.W.; Ehrfeld, W.; Muenchmeyer, D.

    1984-04-01

    As a method for the fabrication of technical separation nozzle elements with extremely small characteristic dimensions, the Institut fuer Kernverfahrenstechnik of the University and the Nuclear Research Centre of Karlsruhe in co-operation with the Siemens AG, Munich, and the Fraunhofer Institute for Solid-State Technology, Munich, are developping the LIGA-process. In this process, poly(methylmethacrylate) layers of an approximate thickness of 0.5 mm are structured by means of X-ray depth-lithography using synchrotron radiation. Subsequently, the nozzle structures are electroformed with nickel using the PMMA-layers as a mould. The manufacturing precision which can be obtained by X-ray depth-lithography was investigated by means of computer simulation of both the irradiation and the development step. In the first step the precision is limited by diffraction, photoelectrons, and beam divergency, respectively. It is shown, that under appropriate conditions each of these effects contributes only some 0.1 μm to errors at the structure edges. The simulation of the development step is based on experiments on the dissolution properties of both irradiated and unirradiated PMMA in a special developing agent. From the results of the computer simulation it can be seen, that the ratio of the slit length to the smallest width which is required for the fabrication of separation nozzles and the required precision are already obtainable in the one-step lithographic process at a characteristic wavelength of 0.2 nm. If an extreme structure height in combination with high precision is required or if a radiation source with a longer characteristic wavelength has to be used, the multi-step process can be applied. The calculations may easily be adapted to different manufacturing parameters concerning the radiation source or the developer characteristic. (orig.) [de

  3. Deep glass etched microring resonators based on silica-on-silicon technology

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rottwitt, Karsten; Philipp, Hugh Taylor

    2006-01-01

    Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented.......Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented....

  4. Polycapillary x-ray optics for macromolecular crystallography

    International Nuclear Information System (INIS)

    Owens, S.M.; Gibson, W.M.; Carter, D.C.; Sisk, R.C.; Ho, J.X.

    1996-01-01

    Polycapillary x-ray optics have found potential application in many different fields, including antiscatter and magnification in mammography, radiography, x-ray fluorescence, x-ray lithography, and x-ray diffraction techniques. In x-ray diffraction, an optic is used to collect divergent x-rays from a point source and redirect them into a quasi-parallel, or slightly focused beam. Monolithic polycapillary optics have been developed recently for macromolecular crystallography and have already shown considerable gains in diffracted beam intensity over pinhole collimation. Development is being pursued through a series of simulations and prototype optics. Many improvements have been made over the stage 1 prototype reported previously, which include better control over the manufacturing process, reducing the diameter of the output beam, and addition of a slight focusing at the output of the optic to further increase x-ray flux at the sample. The authors report the characteristics and performance of the stage 1 and stage 2 optics

  5. Study of properties of chemically modified samples of halloysite mineral with X-ray fluorescence and X-ray powder diffraction methods

    International Nuclear Information System (INIS)

    Banaś, D.; Kubala-Kukuś, A.; Braziewicz, J.; Majewska, U.; Pajek, M.; Wudarczyk-Moćko, J.; Czech, K.; Garnuszek, M.; Słomkiewicz, P.; Szczepanik, B.

    2013-01-01

    Elemental and chemical composition of raw and activated samples of halloysite mineral using wavelength dispersive X-ray fluorescence (WDXRF), total reflection X-ray fluorescence (TXRF) and X-ray powder diffraction (XRPD) methods were determined. As the result, it has been shown that application of the complementary X-ray spectrometry techniques allows very precise observation of changes in composition of halloysite mineral samples caused by its chemical modifications. Sample preparation procedure and usability of the research methods applied are described in details. Procedure of activation of raw halloysite mineral samples by etching them in sulfuric acid of various concentrations has been described and discussed. The ability of the samples to adsorb lead from intentionally contaminated water was tested and confirmed. - Author-Highlights: • We measured elemental and chemical composition of raw and activated halloysite mineral samples. • We showed that X-ray techniques allow precise study of changes in the sample composition. • We describe procedure of activation of the samples by etching them in sulfuric acid. • We tested ability of halloysite mineral to absorb lead from contaminated water

  6. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  7. Demonstration of Laser Plasma X-Ray Source with X-Ray Collimator Final Report CRADA No. TC-1564-99

    Energy Technology Data Exchange (ETDEWEB)

    Lane, S. M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Forber, R. A. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2017-09-28

    This collaborative effort between the University of California, Lawrence Livermore National Laboratory (LLNL) and JMAR Research, Inc. (JRI), was to demonstrate that LLNL x-ray collimators can effectively increase the wafer throughput of JRI's laser based x-ray lithography systems. The technical objectives were expected to be achieved by completion of the following tasks, which are separated into two task lists by funding source. The organization (LLNL or JMAR) having primary responsibility is given parenthetically for each task.

  8. Design of a normal incidence multilayer imaging X-ray microscope

    Science.gov (United States)

    Shealy, David L.; Gabardi, David R.; Hoover, Richard B.; Walker, Arthur B. C., Jr.; Lindblom, Joakim F.

    Normal incidence multilayer Cassegrain X-ray telescopes were flown on the Stanford/MSFC Rocket X-ray Spectroheliograph. These instruments produced high spatial resolution images of the sun and conclusively demonstrated that doubly reflecting multilayer X-ray optical systems are feasible. The images indicated that aplanatic imaging soft X-ray/EUV microscopes should be achievable using multilayer optics technology. A doubly reflecting normal incidence multilayer imaging X-ray microscope based on the Schwarzschild configuration has been designed. The design of the microscope and the results of the optical system ray trace analysis are discussed. High resolution aplanatic imaging X-ray microscopes using normal incidence multilayer X-ray mirrors should have many important applications in advanced X-ray astronomical instrumentation, X-ray lithography, biological, biomedical, metallurgical, and laser fusion research.

  9. XMM-Newton 13H deep field - I. X-ray sources

    Science.gov (United States)

    Loaring, N. S.; Dwelly, T.; Page, M. J.; Mason, K.; McHardy, I.; Gunn, K.; Moss, D.; Seymour, N.; Newsam, A. M.; Takata, T.; Sekguchi, K.; Sasseen, T.; Cordova, F.

    2005-10-01

    We present the results of a deep X-ray survey conducted with XMM-Newton, centred on the UK ROSAT13H deep field area. This region covers 0.18 deg2, and is the first of the two areas covered with XMM-Newton as part of an extensive multiwavelength survey designed to study the nature and evolution of the faint X-ray source population. We have produced detailed Monte Carlo simulations to obtain a quantitative characterization of the source detection procedure and to assess the reliability of the resultant sourcelist. We use the simulations to establish a likelihood threshold, above which we expect less than seven (3 per cent) of our sources to be spurious. We present the final catalogue of 225 sources. Within the central 9 arcmin, 68 per cent of source positions are accurate to 2 arcsec, making optical follow-up relatively straightforward. We construct the N(>S) relation in four energy bands: 0.2-0.5, 0.5-2, 2-5 and 5-10 keV. In all but our highest energy band we find that the source counts can be represented by a double power law with a bright-end slope consistent with the Euclidean case and a break around 10-14yergcm-2s-1. Below this flux, the counts exhibit a flattening. Our source counts reach densities of 700, 1300, 900 and 300 deg-2 at fluxes of 4.1 × 10-16,4.5 × 10-16,1.1 × 10-15 and 5.3 × 10-15ergcm-2s-1 in the 0.2-0.5, 0.5-2, 2-5 and 5-10 keV energy bands, respectively. We have compared our source counts with those in the two Chandra deep fields and Lockman hole, and found our source counts to be amongst the highest of these fields in all energy bands. We resolve >51 per cent (>50 per cent) of the X-ray background emission in the 1-2 keV (2-5 keV) energy bands.

  10. Iridium-coated micropore x-ray optics using dry etching of a silicon wafer and atomic layer deposition.

    Science.gov (United States)

    Ogawa, Tomohiro; Ezoe, Yuichiro; Moriyama, Teppei; Mitsuishi, Ikuyuki; Kakiuchi, Takuya; Ohashi, Takaya; Mitsuda, Kazuhisa; Putkonen, Matti

    2013-08-20

    To enhance x-ray reflectivity of silicon micropore optics using dry etching of silicon (111) wafers, iridium coating is tested by use of atomic layer deposition. An iridium layer is successfully formed on sidewalls of tiny micropores with a pore width of 20 μm and depth of 300 μm. The film thickness is ∼20  nm. An enhanced x-ray reflectivity compared to that of silicon is confirmed at Ti Kα 4.51 keV, for what we believe to be the first time, with this type of optics. Some discrepancies from a theoretical reflectivity curve of iridium-coated silicon are noticed at small incident angles <1.3°. When a geometrical shadowing effect due to occultation by a ridge existing on the sidewalls is taken into account, the observed reflectivity becomes well represented by the modified theoretical curve. An estimated surface micro roughness of ∼1  nm rms is consistent with atomic force microscope measurements of the sidewalls.

  11. Imbalance aware lithography hotspot detection: a deep learning approach

    Science.gov (United States)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  12. A nanovehicle developed for treating deep-seated bacteria using low-dose X-ray.

    Science.gov (United States)

    Pan, Chien-Lin; Chen, Ming-Hong; Tung, Fu-I; Liu, Tse-Ying

    2017-01-01

    Many non-antibiotic strategies, such as photocatalysis and photodynamic therapy, have been proposed to inhibit and/or kill bacteria. However, these approaches still have drawbacks such as insufficient bacterial specificity and the limited penetration depth of ultraviolet and near-infrared light. To overcome these limitations, we developed a bacteria-specific anti-bacterial technique via using low-dose X-ray. Graphene oxide quantum dots (GQDs, a multifunctional vehicle) conjugated with vancomycin (Van, a bacteria-targeting ligand) were assembled with Protoporphyrin IX (PpIX, a photo/radiation sensitizer) to yield a novel Van-GQDs/PpIX complex that specifically attached to Escherichia coli and efficiently generated intracellular reactive oxygen species following X-ray activation. Delivery using GQDs increased the PpIX/Van ratio in the target bacterial cell, damaged bacterial cell wall, and enhanced X-ray-induced PpIX activation. Hence, this approach allowed for the use of a low-dose X-ray to efficiently activate the Van-GQDs/PpIX complex to exert its bactericidal effects on Escherichia coli without damaging normal cells. Furthermore, the E. coli did not develop resistance to the proposed approach for at least 7 rounds of repeated administration during one week. Thus, this proposed vehicle exhibiting bacteria-specific X-ray-triggered toxicity is a promising alternative to antibiotics for treating serious bacterial infections occurring in deep-seated tissues/organs (e.g., osteomyelitis and peritonitis). Administration of antibiotics is the most common treatment modality for bacterial infections. However, in some cases, patient attributes such as age, health, tolerance to antibiotics do not allow for the use of high-dose antibiotics. In addition, some bacteria develop resistance to antibiotics because of improper and long-term use of these agents. Therefore, non-antibiotic strategies to treat deeply situated bacterial infections, such as osteomyelitis, are urgently

  13. Sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, Vincent L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    A new technique is presented that provides planarization after a very deep etching step in silicon. This offers the possibility for as well resist spinning and layer patterning as realization of bridges or cantilevers across deep holes or grooves. The sacrificial wafer bonding technique contains a

  14. Progress in compact soft x-ray lasers and their applications

    International Nuclear Information System (INIS)

    Suckewer, S.; Skinner, C.H.

    1995-01-01

    The ultra-high brightness and short pulse duration of soft x-ray lasers provide unique advantages for novel applications. A crucial factor in the availability of these devices is their scale and cost. Recent breakthroughs in this field has brought closer the advent of table-top devices, suitable for applications to fields such as x-ray microscopy, chemistry, material science, plasma diagnostics, and lithography. In this article we review recent progress in the development of compact (table-top) soft x-ray lasers

  15. Crystallographic orientation study of silicon steels using X-ray diffraction, electrons diffraction and the Etch Pit method

    International Nuclear Information System (INIS)

    Santos, Hamilta de Oliveira

    1999-01-01

    The aim of the present study is the microstructural and crystallographic orientation of Fe-3%Si steel. The silicon steel shows good electrical properties and it is used in the nuclear and electrical power fields. The studied steel was supplied by Cia. Acos Especiais Itabira S/A - ACESITA. The material was received in the hot compressed condition, in one or two passes. The hot compressing temperatures used were 900, 1000 and 1100 deg C with soaking times ranging from 32 to 470 s. The material preferential crystallographic orientation was evaluated in every grain of the samples. The characterization techniques used were: scanning electron microscopy (SEM) using the etch pit method; X ray diffraction using the Laue back-reflection method; orientation imaging microscopy (OIM). Microstructural characterization in terms of grain size measurement and mean number of grains in the sample were also undertaken. The Laue method was found an easy technique to access crystallographic orientation of this work polycrystalline samples 2.5 mm average grain size. This was due to the inability to focus the X-rays on a single grain of the material. The scanning electron microscopy showed microcavities left by the etch pit method, which allowed the observation of the crystallographic orientation of each grain from the samples. No conclusive grain crystallographic orientation was possible to obtain by the OIM technique due to the non-existing rolling direction. A more extensive work with the OIM technique must be undertaken on the Fe-3%Si with oriented grains and non oriented grains. (author)

  16. On the X-ray reflectivity by poly allyl diglycol carbonate (PADC)

    International Nuclear Information System (INIS)

    Ghazaly, M. El

    2011-01-01

    X-ray reflectivity via the poly allyl diglycol carbonate (CR-39 polymer sheet) was investigated. X-ray reflectivity was measured for a pristine and a chemically etched CR-39 detector in 6.25N NaOH at (70 ± 0.5) .deg. C for different durations. Far from the spectral peak, the reflectivity of the CR-39 polymer sheet has a wide peak at 2θ = 20.1 .deg. , and its intensity is decreased by increasing the etching time. Moreover, the integrated counts under the peaks, C(t e ), vary linearly as a function of the etching time t e . Data are fitted using a linear function C(t e ) = A+Bt e , with fitting parameters A = (3271 ± 170) and B = (- 960 ± 84). The reflectivity deterioration is attributed to the increase of CR-39 surface's roughness due to the chemical etching. The rocking curves of X-ray reflectivity were measured for a pristine and an etched CR-39 polymer sheet. Specular reflections are observed, as well as Yoneda wings, which broaden and move away from the specular reflections due to the increase in the CR-39 surface's roughness.

  17. Recent observations with phase-contrast x-ray computed tomography

    Science.gov (United States)

    Momose, Atsushi; Takeda, Tohoru; Itai, Yuji; Tu, Jinhong; Hirano, Keiichi

    1999-09-01

    Recent development in phase-contrast X-ray computed tomography using an X-ray interferometer is reported. To observe larger samples than is possible with our previous X-ray interferometer, a large monolithic X-ray interferometer and a separated-type X-ray interferometer were studied. At the present time, 2.5 cm X 1.5 cm interference patterns have been generated with the X-ray interferometers using synchrotron X-rays. The large monolithic X-ray interferometer has produced interference fringes with 80% visibility, and has been used to measure various tissues. To produce images with higher spatial resolution, we fabricated another X-ray interferometer whose wafer was partially thinned by chemical etching. A preliminary test suggested that the spatial resolution has been improved.

  18. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  19. Nanostructured diffractive optical devices for soft X-ray microscopes

    CERN Document Server

    Hambach, D; Schneider, G

    2001-01-01

    The new transmission X-ray microscope (TXM) installed at the BESSY II electron storage ring uses an off-axis transmission zone plate (OTZ) as diffractive and focusing element of the condenser-monochromator setup. A high resolution micro-zone plate (MZP) forms a magnified image on a CCD-detector. Both, the OTZ with an active area of up to 24 mm sup 2 and the MZP with zone widths as small as 25 nm are generated by a process including electron beam lithography (EBL), dry etching and subsequent electroplating of nickel on top of silicon membrane substrates with about 100-150 nm thickness. The combination of a larger zone width and the usage of nickel zone structures allows to increase the diffraction efficiency of the condenser element at least by a factor of 3 compared to the earlier used KZP7 condenser zone plate in the TXM at BESSY I. Groove diffraction efficiencies of 21.6% and 14.7% were measured for MZP objectives with 40 and 25 nm outermost zone width, respectively.

  20. Simulation of AZ-PN100 resist pattern fluctuation in X-ray lithography, including synchrotron beam polarization

    International Nuclear Information System (INIS)

    Scheckler, E.W.; Ogawa, Taro; Tanaka, Toshihiko; Takeda, Eiji; Oizumi, Hiroaki.

    1993-01-01

    A new simulation model for nanometer-scale pattern fluctuation in X-ray lithography is presented and applied to a study of AZ-PN100 negative chemical amplification resist. The exposure simulation considers polarized photons from a synchrotron radiation (SR) source. Monte Carlo simulation of Auger and photoelectron generation is followed by electron scattering simulation to determine the deposited energy distribution at the nanometer scale, including beam polarization effects. An acid-catalyst random walk model simulates the post-exposure bake (PEB) step. Fourier transform infrared (FTIR) spectroscopy and developed resist thickness measurements are used to fit PEB and rate models for AZ-PN100. A polymer removal model for development simulation predicts the macroscopic resist shape and pattern roughness. The simulated 3σ linewidth variation is in excess of 24 nm. Simulation also shows a detrimental effect if the beam polarization is perpendicular to the line. Simulation assuming a theoretical ideal exposure yields a 50 nm minimum line for standard process conditions. (author)

  1. X-ray photoelectron and x-ray-induced Auger electron spectroscopic data, 1

    International Nuclear Information System (INIS)

    Baba, Yuji; Sasaki, T.A.

    1984-02-01

    The intrinsic data of the X-ray photoelectron spectra (XPS) and X-ray-induced Auger electron spectra (XAES) for 3d transition-metals and related oxides were presented. The clean surfaces of the metals were obtained by two different methods ; mechanical filings and Ar + ion etchings. The oxides examined are typical compounds such as Sc 2 O 3 , TiO 2 , V 2 O 5 and NiO. The report consists of 4 wide scans, 26 core-line spectra, 10 valence-band spectra and 20 XAES spectra. The peak positions of the core-lines and the Auger lines were summarized in 8 tables together with their chemical shifts. (author)

  2. Multilayer on-chip stacked Fresnel zone plates: Hard x-ray fabrication and soft x-ray simulations

    Energy Technology Data Exchange (ETDEWEB)

    Li, Kenan; Wojcik, Michael J.; Ocola, Leonidas E.; Divan, Ralu; Jacobsen, Chris

    2015-11-01

    Fresnel zone plates are widely used as x-ray nanofocusing optics. To achieve high spatial resolution combined with good focusing efficiency, high aspect ratio nanolithography is required, and one way to achieve that is through multiple e-beam lithography writing steps to achieve on-chip stacking. A two-step writing process producing 50 nm finest zone width at a zone thickness of 1.14 µm for possible hard x-ray applications is shown here. The authors also consider in simulations the case of soft x-ray focusing where the zone thickness might exceed the depth of focus. In this case, the authors compare on-chip stacking with, and without, adjustment of zone positions and show that the offset zones lead to improved focusing efficiency. The simulations were carried out using a multislice propagation method employing Hankel transforms.

  3. Progress in the fabrication of high aspect ratio zone plates by soft x-ray lithography

    International Nuclear Information System (INIS)

    Divan, R.; Mancini, D. C.; Moldovan, N. A.; Lai, B.; Assoufid, L.; Leondard, Q.; Cerrina, F.

    2002-01-01

    Fabrication of Fresnel zone plates for the hard x-ray spectral region combines the challenge of high lateral resolution (∼100 nm) with a large thickness requirement for the phase-shifting material (0.5-3 (micro)m). For achieving a high resolution, the initial mask was fabricated by e-beam lithography and gold electroforming. To prevent the collapse of the structures between the developing and electroforming processes, drying was completely eliminated. Fabrication errors, such as nonuniform gold electroplating and collapse of structures, were systematically analyzed and largely eliminated. We optimized the exposure and developing processes for 950k and 2200k polymethylmethacrylate of different thicknesses and various adhesion promoters. We discuss the effects of these fabrication steps on the zone plate's resolution and aspect ratio. Fresnel zone plates with 110 nm outermost zone width, 150 (micro)m diameter, and 1.3 (micro)m gold thickness were fabricated. Preliminary evaluation of the FZPs was done by scanning electron microscopy and atomic force microscopy. The FZP focusing performance was characterized at the Advanced Photon Source at Argonne National Laboratory

  4. Precise rotational alignment of x-ray transmission diffraction gratings

    International Nuclear Information System (INIS)

    Hill, S.L.

    1988-01-01

    Gold transmission diffraction gratings used for x-ray spectroscopy must sometimes be rotationally aligned to the axis of a diagnostic instrument to within sub-milliradian accuracy. We have fabricated transmission diffraction gratings with high line-densities (grating period of 200 and 300 nm) using uv holographic and x-ray lithography. Since the submicron features of the gratings are not optically visible, precision alignment is time consuming and difficult to verify in situ. We have developed a technique to write an optically visible alignment pattern onto these gratings using a scanning electron microscope (SEM). At high magnification (15000 X) several submicron lines of the grating are observable in the SEM, making it possible to write an alignment pattern parallel to the grating lines in an electron-beam-sensitive coating that overlays the grating. We create an alignment pattern by following a 1-cm-long grating line using the SEM's joystick-controlled translation stage. By following the same grating line we are assured the traveled direction of the SEM electron beam is parallel to the grating to better than 10 μradian. The electron-beam-exposed line-width can be large (5 to 15 μm wide) depending on the SEM magnification, and is therefore optically visible. The exposed pattern is eventually made a permanent feature of the grating by ion beam etching or gold electroplating. The pattern can be used to accurately align the grating to the axis of a diagnostic instrument. More importantly, the alignment of the grating can be quickly verified in situ

  5. Simulation of a dense plasma focus x-ray source

    International Nuclear Information System (INIS)

    Stark, R.A.

    1994-01-01

    The authors are performing simulations of the magnetohydrodynamics of a Dense Plasma Focus (DPF) x-ray source located at Science Research Laboratory (SRL), Alameda, CA, in order to optimize its performance. The SRL DPF, which was developed as a compact source for x-ray lithography, operates at 20 Hz, giving x-ray power (9--14 Angstroms) of 500 W using neon gas. The simulations are performed with the two dimensional MHD code MACH2, developed by Mission Research Corporation, with a steady state corona model as the equation of state. The results of studies of the sensitivity of x-ray output to charging voltage and current, and to initial gas density will be presented. These studies should indicate ways to optimize x-ray production efficiency. Simulations of various inner electrode configurations will also be presented

  6. Polymer X-ray refractive nano-lenses fabricated by additive technology.

    Science.gov (United States)

    Petrov, A K; Bessonov, V O; Abrashitova, K A; Kokareva, N G; Safronov, K R; Barannikov, A A; Ershov, P A; Klimova, N B; Lyatun, I I; Yunkin, V A; Polikarpov, M; Snigireva, I; Fedyanin, A A; Snigirev, A

    2017-06-26

    The present work demonstrates the potential applicability of additive manufacturing to X-Ray refractive nano-lenses. A compound refractive lens with a radius of 5 µm was produced by the two-photon polymerization induced lithography. It was successfully tested at the X-ray microfocus laboratory source and a focal spot of 5 μm was measured. An amorphous nature of polymer material combined with the potential of additive technologies may result in a significantly enhanced focusing performance compared to the best examples of modern X-ray compound refractive lenses.

  7. A deep convolutional neural network using directional wavelets for low-dose X-ray CT reconstruction.

    Science.gov (United States)

    Kang, Eunhee; Min, Junhong; Ye, Jong Chul

    2017-10-01

    Due to the potential risk of inducing cancer, radiation exposure by X-ray CT devices should be reduced for routine patient scanning. However, in low-dose X-ray CT, severe artifacts typically occur due to photon starvation, beam hardening, and other causes, all of which decrease the reliability of the diagnosis. Thus, a high-quality reconstruction method from low-dose X-ray CT data has become a major research topic in the CT community. Conventional model-based de-noising approaches are, however, computationally very expensive, and image-domain de-noising approaches cannot readily remove CT-specific noise patterns. To tackle these problems, we want to develop a new low-dose X-ray CT algorithm based on a deep-learning approach. We propose an algorithm which uses a deep convolutional neural network (CNN) which is applied to the wavelet transform coefficients of low-dose CT images. More specifically, using a directional wavelet transform to extract the directional component of artifacts and exploit the intra- and inter- band correlations, our deep network can effectively suppress CT-specific noise. In addition, our CNN is designed with a residual learning architecture for faster network training and better performance. Experimental results confirm that the proposed algorithm effectively removes complex noise patterns from CT images derived from a reduced X-ray dose. In addition, we show that the wavelet-domain CNN is efficient when used to remove noise from low-dose CT compared to existing approaches. Our results were rigorously evaluated by several radiologists at the Mayo Clinic and won second place at the 2016 "Low-Dose CT Grand Challenge." To the best of our knowledge, this work is the first deep-learning architecture for low-dose CT reconstruction which has been rigorously evaluated and proven to be effective. In addition, the proposed algorithm, in contrast to existing model-based iterative reconstruction (MBIR) methods, has considerable potential to benefit from

  8. CubeX: The CubeSAT X-ray Telescope for Elemental Abundance Mapping of Airless Bodies and X-ray Pulsar Navigation

    Science.gov (United States)

    Nittler, L. R.; Hong, J.; Kenter, A.; Romaine, S.; Allen, B.; Kraft, R.; Masterson, R.; Elvis, M.; Gendreau, K.; Crawford, I.; Binzel, R.; Boynton, W. V.; Grindlay, J.; Ramsey, B.

    2017-12-01

    The surface elemental composition of a planetary body provides crucial information about its origin, geological evolution, and surface processing, all of which can in turn provide information about solar system evolution as a whole. Remote sensing X-ray fluorescence (XRF) spectroscopy has been used successfully to probe the major-element compositions of airless bodies in the inner solar system, including the Moon, near-Earth asteroids, and Mercury. The CubeSAT X-ray Telescope (CubeX) is a concept for a 6U planetary X-ray telescope (36U with S/C), which utilizes Miniature Wolter-I X-ray optics (MiXO), monolithic CMOS and SDD X-ray sensors for the focal plane, and a Solar X-ray Monitor (heritage from the REXIS XRF instrument on NASA's OSIRIS-REx mission). CubeX will map the surface elemental composition of diverse airless bodies by spectral measurement of XRF excited by solar X-rays. The lightweight ( 1 kg) MiXO optics provide sub-arcminute resolution with low background, while the inherently rad-hard CMOS detectors provide improved spectral resolution ( 150 eV) at 0 °C. CubeX will also demonstrate X-ray pulsar timing based deep space navigation (XNAV). Successful XNAV will enable autonomous deep navigation with little to no support from the Deep Space Network, hence lowering the operation cost for many more planetary missions. Recently selected by NASA Planetary Science Deep Space SmallSat Studies, the first CubeX concept, designed to rideshare to the Moon as a secondary spacecraft on a primary mission, is under study in collaboration with the Mission Design Center at NASA Ames Research Center. From high altitude ( 6,000 km) frozen polar circular orbits, CubeX will study > 8 regions ( 110 km) of geological interest on the Moon over one year to produce a high resolution ( 2-3 km) elemental abundance map of each region. The novel focal plane design of CubeX also allows us to evaluate the performance of absolute navigation by sequential observations of several

  9. A DEEP X-RAY VIEW OF THE BARE AGN ARK 120. I. REVEALING THE SOFT X-RAY LINE EMISSION

    Energy Technology Data Exchange (ETDEWEB)

    Reeves, J. N.; Braito, V. [Center for Space Science and Technology, University of Maryland Baltimore County, 1000 Hilltop Circle, Baltimore, MD 21250 (United States); Porquet, D. [Observatoire Astronomique de Strasbourg, Université de Strasbourg, CNRS, UMR 7550, 11 rue de l’Université, F-67000 Strasbourg (France); Nardini, E. [Astrophysics Group, School of Physical and Geographical Sciences, Keele University, Keele, Staffordshire, ST5 5BG (United Kingdom); Lobban, A. [Dept of Physics and Astronomy, University of Leicester, University Road, Leicester LE1 7RH (United Kingdom); Turner, T. J., E-mail: jreeves@umbc.edu, E-mail: j.n.reeves@keele.ac.uk [Department of Physics, University of Maryland Baltimore County, 1000 Hilltop Circle, Baltimore, MD 21250 (United States)

    2016-09-10

    The Seyfert 1 galaxy Ark 120 is a prototype example of the so-called class of bare nucleus active galactic nuclei (AGNs), whereby there is no known evidence for the presence of ionized gas along the direct line of sight. Here deep (>400 ks exposure), high-resolution X-ray spectroscopy of Ark 120 is presented from XMM-Newton observations that were carried out in 2014 March, together with simultaneous Chandra /High Energy Transmission Grating exposures. The high-resolution spectra confirmed the lack of intrinsic absorbing gas associated with Ark 120, with the only X-ray absorption present originating from the interstellar medium (ISM) of our own Galaxy, with a possible slight enhancement of the oxygen abundance required with respect to the expected ISM values in the solar neighborhood. However, the presence of several soft X-ray emission lines are revealed for the first time in the XMM-Newton RGS spectrum, associated with the AGN and arising from the He- and H-like ions of N, O, Ne, and Mg. The He-like line profiles of N, O, and Ne appear velocity broadened, with typical FWHMs of ∼5000 km s{sup −1}, whereas the H-like profiles are unresolved. From the clean measurement of the He-like triplets, we deduce that the broad lines arise from a gas of density n {sub e} ∼ 10{sup 11} cm{sup −3}, while the photoionization calculations infer that the emitting gas covers at least 10% of 4 π steradian. Thus the broad soft X-ray profiles appear coincident with an X-ray component of the optical–UV broad-line region on sub-parsec scales, whereas the narrow profiles originate on larger parsec scales, perhaps coincident with the AGN narrow-line region. The observations show that Ark 120 is not intrinsically bare and substantial X-ray-emitting gas exists out of our direct line of sight toward this AGN.

  10. Investigation on the properties of a laminar grating as a soft x-ray beam splitter

    International Nuclear Information System (INIS)

    Liu Ying; Fuchs, Hans-Joerg; Liu Zhengkun; Chen Huoyao; He Shengnan; Fu Shaojun; Kley, Ernst-Bernhard; Tuennermann, Andreas

    2010-01-01

    Laminar-type gratings as soft x-ray beam splitters for interferometry are presented. Gold-coated grating beam splitters with 1000 lines/mm are designed for grazing incidence operation at 13.9nm. They are routinely fabricated using electron beam lithography and ion etching techniques. The laminar grating is measured to have almost equal absolute efficiencies of about 20% in the zeroth and -1st orders, which enables a fringe visibility up to 0.99 in the interferometer. The discrepancy of the grating profiles between the optimized theoretical and the experimental results is analyzed according to the comparison of the optimized simulation results and the measurement realization of the grating efficiencies. By a precise control of the grating profile, the grating efficiency in the -1st order and the fringe visibility could be improved to 25% and 1, respectively.

  11. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    Science.gov (United States)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  12. A Deep X-ray Search for the Putative IMBH in Omega Centauri

    Science.gov (United States)

    Haggard, Daryl; Cool, A.; Heinke, C. O.; Cohn, H. N.; Lugger, P. M.; Van Der Marel, R. P.; Anderson, J.

    2013-04-01

    Omega Centauri, the Milky Way's most massive and enigmatic old stellar cluster, offers a treasure trove of astronomical discovery and controversy, including debate about the existence of an intermediate mass black hole (IMBH) buried in the cluster's core. We report preliminary results of deep 290 ksec) Chandra ACIS-I imaging of Omega Cen, which reveals no X-ray source at the cluster center reported by Anderson and van der Marel (2010), or at any other proposed center for the cluster. We discuss the significance of this new X-ray limit for the possible presence of an IMBH in Omega Cen. We also briefly describe our multiwavelength imaging and spectroscopic campaigns, which probe Omega Cen's binary populations, and the light they shed on the cluster's dynamical history.

  13. X-ray PIV measurement of blood flow in deep vessels of a rat: An in vivo feasibility study.

    Science.gov (United States)

    Park, Hanwook; Yeom, Eunseop; Lee, Sang Joon

    2016-01-18

    X-ray PIV measurement is a noninvasive approach to measure opaque blood flows. However, it is not easy to measure real pulsatile blood flows in the blood vessels located at deep position of the body, because the surrounding tissues significantly attenuate the contrast of X-ray images. This study investigated the effect of surrounding tissues on X-ray beam attenuation by measuring the velocity fields of blood flows in deep vessels of a live rat. The decrease in image contrast was minimized by employing biocompatible CO2 microbubbles as tracer particles. The maximum measurable velocity of blood flows in the abdominal aorta of a rat model was found through comparative examination between the PIV measurement accuracy and the level of image contrast according to the input flow rate. Furthermore, the feasibility of using X-ray PIV to accurately measure in vivo blood flows was demonstrated by determining the velocity field of blood flows in the inferior vena cava of a rat. This study may serve as a reference in conducting in vivo X-ray PIV measurements of pulsatile blood flows in animal disease models and investigating hemodynamic characteristics and circulatory vascular diseases.

  14. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  15. Update on VUV and soft X-ray facilities at SSRL

    International Nuclear Information System (INIS)

    Waldhauer, A.

    1988-01-01

    The number of experimental stations at SSRL devoted to the VUV and soft X-ray region is increasing rapidly. In 1986 there were five VUV/soft X-ray beam lines in regular operation. These consisted of two grasshopper lines, a Seya-Namioka line, a white light lithography line, and the UHV double crystal line, Jumbo. By 1988 ten beam lines, including two with insertion devices, covering the spectral range 5-4000 eV in five overlapping ranges will be operational. With the addition of these new stations, SSRL will have increased dramatically its facilities for performing VUV and soft X-ray research. (orig.)

  16. Fabrication of ultrahigh density metal-cell-metal crossbar memory devices with only two cycles of lithography and dry-etch procedures

    KAUST Repository

    Zong, Baoyu

    2013-05-20

    A novel approach to the fabrication of metal-cell-metal trilayer memory devices was demonstrated by using only two cycles of lithography and dry-etch procedures. The fabricated ultrahigh density crossbar devices can be scaled down to ≤70 nm in half-pitch without alignment issues. Depending on the different dry-etch mechanisms in transferring high and low density nanopatterns, suitable dry-etch angles and methods are studied for the transfer of high density nanopatterns. Some novel process methods have also been developed to eliminate the sidewall and other conversion obstacles for obtaining high density of uniform metallic nanopatterns. With these methods, ultrahigh density trilayer crossbar devices (∼2 × 1010 bit cm-2-kilobit electronic memory), which are composed of built-in practical magnetoresistive nanocells, have been achieved. This scalable process that we have developed provides the relevant industries with a cheap means to commercially fabricate three-dimensional high density metal-cell-metal nanodevices. © 2013 IOP Publishing Ltd.

  17. Finding AGN in Deep X-ray Flux States with Swift

    OpenAIRE

    Grupe, Dirk; Komossa, S.; Bush, Mason; Pruett, Chelsea; Ernst, Sonny; Barber, Taylor; Carter, Jen; Schartel, Norbert; Rodriguez, Pedro; Santos-Lleó, Maria

    2015-01-01

    We report on our ongoing project of finding Active Galactic Nuclei (AGN) that go into deep X-ray flux states detected by Swift. Swift is performing an extensive study on the flux and spectral variability of AGN using Guest Investigator and team fill-in programs followed by triggering XMM_Newton for deeper follow-up observations. So far this program has been very successful and has led to a number of XMM-Newton follow up observations, including Mkn 335, PG 0844+349, and RX J2340.8-5329. Recent...

  18. Effects of temperature on the etching properties of Bi4-xLaxTi3O12 thin films

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Koo, Seong-Mo; Kim, Chang-Il

    2004-01-01

    The etching properties of Bi 4-x La x Ti 3 O 12 (BLT) films etched in an inductively coupled Ar/Cl 2 plasma were investigated in terms of the gas mixing ratio, the rf power, and the substrate temperature. We obtained a high etch rate of 433 A/min at 30 .deg. C and 344 A/min at 80 .deg. C in Ar (15 sccm)/Cl 2 (15 sccm). As the rf power was increased, the ion current density increased, resulting in an increase in the etch rate. To understand the etch mechanism of BLT in a Cl 2 /Ar plasma, we performed the plasma diagnostics using a Langmuir probe (LP). The LP measurement indicated that the maximum ion density decreased with Cl 2 addition, but increased with the rf power. X-ray photoelectron spectroscopy (XPS) narrow scan analysis showed that La-chlorides remained on the etched surface and that the high accumulation of nonvolatile etch byproducts increased at high substrate temperatures. The analysis of surface reactions and the plasma diagnostics in the frameworks of an ion-assisted etching mechanism confirmed the possibility of non-monotonic etch rate behavior due to the concurrence of physical sputtering and chemical etching activated by ion bombardment.

  19. Fabrication of high-aspect-ratio nano structures using a nano x-ray shadow mask

    International Nuclear Information System (INIS)

    Kim, Yong Chul; Lee, Seung S

    2008-01-01

    This paper describes a novel method for the fabrication of high-aspect-ratio nano structures (HAR-nano structures) using a nano x-ray shadow mask and deep x-ray lithography (DXRL). The nano x-ray shadow mask is fabricated by depositing an x-ray absorber layer (Au, 3 µm) onto the back side of a nano shadow mask. The nano shadow mask is produced with nano-sized apertures whose dimensions are reduced to several tens of nanometers by the accumulation of low-stress silicon nitride (Si x N y ) using the LPCVD process on the shadow mask. A shadow mask containing apertures with a size of 1 µm is fabricated on a bulk micromachined Si x N y membrane. The thickness of an absorber layer must be in the range of several tens of micrometers in order to obtain a contrast of more than 100 for the conventional DXRL process at the Pohang Light Source (PLS). However, a 3 µm thick absorber layer can provide a sufficient contrast if the modified DXRL of the central beam-stop method is used, which blocks high-energy x-rays. A nano shadow mask with 30 nm sized apertures is fabricated and a nano x-ray shadow mask with 250 nm sized apertures is fabricated by depositing a 3 µm thick absorber layer on a nano shadow mask with 500 nm sized apertures. HAR-nano structures (circles with a diameter of 420 nm and lines with a width of 274 nm) with aspect ratios of over 10:1 on a 3.2 µm SU-8 are successfully fabricated by using the nano x-ray shadow mask and the central beam-stop method

  20. Lithography-Free Fabrication of Large Area Subwavelength Antireflection Structures Using Thermally Dewetted Pt/Pd Alloy Etch Mask

    Directory of Open Access Journals (Sweden)

    Kang Jeong-Jin

    2009-01-01

    Full Text Available Abstract We have demonstrated lithography-free, simple, and large area fabrication method for subwavelength antireflection structures (SAS to achieve low reflectance of silicon (Si surface. Thin film of Pt/Pd alloy on a Si substrate is melted and agglomerated into hemispheric nanodots by thermal dewetting process, and the array of the nanodots is used as etch mask for reactive ion etching (RIE to form SAS on the Si surface. Two critical parameters, the temperature of thermal dewetting processes and the duration of RIE, have been experimentally studied to achieve very low reflectance from SAS. All the SAS have well-tapered shapes that the refractive index may be changed continuously and monotonously in the direction of incident light. In the wavelength range from 350 to 1800 nm, the measured reflectance of the fabricated SAS averages out to 5%. Especially in the wavelength range from 550 to 650 nm, which falls within visible light, the measured reflectance is under 0.01%.

  1. The CfA Einstein Observatory extended deep X-ray survey

    Science.gov (United States)

    Primini, F. A.; Murray, S. S.; Huchra, J.; Schild, R.; Burg, R.

    1991-01-01

    All IPC exposures in the Einstein Extended Deep X-ray Survey program have been reanalyzed. The current survey covers about 2.3 sq deg with a typical limiting sensitivity of about 5 x 10 to the -14th ergs/sq cm/s in the energy range from 0.8-3.5 keV. A total of 25 IPC sources are detected above a threshold of 4.5 sigma. A total of 18 are detected independently in the HRI, leading to the identification of six with stars and 11 with extragalactic objects. The remaining sources are classified as extragalactic. The population of identified extragalactic objects is dominated by QSOs, with one or two possible clusters. The basic conclusions of the original survey remain unchanged.

  2. Plastic nuclear track detectors as high x-ray and gamma dosimeters

    International Nuclear Information System (INIS)

    Chong Chon Sing

    1995-01-01

    A brief review of recent studies on the effects of high doses of x-ray and gamma ray on the track registration properties of several plastic track detectors is presented. The bulk etching rates and the etched track sizes have been found to increase with the dose in the range up to 100 Mrad. These results suggest that the changes in track registration characteristics can be employed as an index of the radiation dose in the megarad region. In particular, recent results on the effect of X-ray irradiation on two types of cellulose nitrate track detectors obtained in our laboratory are reported in this paper. (author)

  3. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  4. A deep X-ray view of the bare AGN Ark 120. III. X-ray timing analysis and multiwavelength variability

    Science.gov (United States)

    Lobban, A. P.; Porquet, D.; Reeves, J. N.; Markowitz, A.; Nardini, E.; Grosso, N.

    2018-03-01

    We present the spectral/timing properties of the bare Seyfert galaxy Ark 120 through a deep ˜420 ks XMM-Newton campaign plus recent NuSTAR observations and a ˜6-month Swift monitoring campaign. We investigate the spectral decomposition through fractional rms, covariance and difference spectra, finding the mid- to long-time-scale (˜day-year) variability to be dominated by a relatively smooth, steep component, peaking in the soft X-ray band. Additionally, we find evidence for variable Fe K emission redward of the Fe Kα core on long time-scales, consistent with previous findings. We detect a clearly defined power spectrum which we model with a power law with a slope of α ˜ 1.9. By extending the power spectrum to lower frequencies through the inclusion of Swift and Rossi X-ray Timing Explorer data, we find tentative evidence of a high-frequency break, consistent with existing scaling relations. We also explore frequency-dependent Fourier time lags, detecting a negative (`soft') lag for the first time in this source with the 0.3-1 keV band lagging behind the 1-4 keV band with a time delay, τ, of ˜900 s. Finally, we analyse the variability in the optical and ultraviolet (UV) bands using the Optical/UV Monitor onboard XMM-Newton and the Ultra-Violet/Optical Telescope onboard Swift and search for time-dependent correlations between the optical/UV/X-ray bands. We find tentative evidence for the U-band emission lagging behind the X-rays with a time delay of τ = 2.4 ± 1.8 d, which we discuss in the context of disc reprocessing.

  5. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  6. Dry etching of ferroelectric Bi4-xEuxTi3O12 (BET) thin films

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    Bi 4-x Eu x Ti 3 O 12 (BET) thin films were etched by using a inductively coupled Cl 2 /Ar plasma. We obtained a maximum etch rate of 69 nm/min at a gas mixing ratio of Cl 2 (20 %)/Ar (80 %). This result suggests that an effective method for BET etching is chemically assisted physical etching. With increasing coil RF power, the plasma density increases so that the increased reactive free radicals and ions enhance the etch rates of BET, Pt, and SiO 2 . As the dc-bias voltage is increased, the increased ion energy leads to an increased etch rate of BET films. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O, and the Ti-O peaks change with increasing Cl 2 concentration. For a pure Ar plasma, the peak associated with the oxygen-metal (O-M: TiO 2 , Bi 2 O 3 , Eu 2 O 3 ) bond seems to disappear while the pure oxygen peak does not appear. After the BET thin films is etched by using a Cl 2 /Ar plasma, the peak associated with the O-M bond increases slowly, but more quickly than the peak associated with pure oxygen atoms, due to a decrease in the Ar-ion bombardment. These results seem to indicate that Bi and Eu react little with Cl atoms and are removed predominantly by argon-ion bombardment. Also, Ti reacts little with Cl radicals and is mainly removed by chemically assisted physical etching.

  7. Overview of the program on soft x-ray lasers and their applications at Princeton

    International Nuclear Information System (INIS)

    Suckewer, S.; Ilcisin, K.; Princeton Univ., NJ

    1991-05-01

    In the last several years, rapid progress in the development of soft x-ray lasers (SXL) has been observed at a number of laboratories worldwide. Although SXLs are very ''young'' devices they have already been used for microscopy and holography, and new ideas emerging for broader application of SXLs to microscopy, holography and lithography. This paper describes the work at Princeton University on the development of a soft x-ray imaging transmission microscopy using a SXL as a radiation source and work on the development of a novel soft x-ray reflection microscope and its application to biological cell studies and lithography. Progress in the development of a photopumped VUV laser (60 nm), and programs for the development of a small scale SXL and for the application of a powerful subpicosecond KrF laser system are also discussed. 35 refs., 9 figs., 1 tab

  8. Low temperature sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, V.L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    1994-01-01

    A new technique, at temperatures of 150°C or 450°C, that provides planarization after a very deep etching step in silicon is presented. Resist spinning and layer patterning as well as realization of bridges or cantilevers across deep holes becomes possible. The sacrificial wafer bonding technique

  9. Selective hierarchical patterning of silicon nanostructures via soft nanostencil lithography.

    Science.gov (United States)

    Du, Ke; Ding, Junjun; Wathuthanthri, Ishan; Choi, Chang-Hwan

    2017-11-17

    It is challenging to hierarchically pattern high-aspect-ratio nanostructures on microstructures using conventional lithographic techniques, where photoresist (PR) film is not able to uniformly cover on the microstructures as the aspect ratio increases. Such non-uniformity causes poor definition of nanopatterns over the microstructures. Nanostencil lithography can provide an alternative means to hierarchically construct nanostructures on microstructures via direct deposition or plasma etching through a free-standing nanoporous membrane. In this work, we demonstrate the multiscale hierarchical fabrication of high-aspect-ratio nanostructures on microstructures of silicon using a free-standing nanostencil, which is a nanoporous membrane consisting of metal (Cr), PR, and anti-reflective coating. The nanostencil membrane is used as a deposition mask to define Cr nanodot patterns on the predefined silicon microstructures. Then, deep reactive ion etching is used to hierarchically create nanostructures on the microstructures using the Cr nanodots as an etch mask. With simple modification of the main fabrication processes, high-aspect-ratio nanopillars are selectively defined only on top of the microstructures, on bottom, or on both top and bottom.

  10. A novel method of microneedle array fabrication using inclined deep x-ray exposure

    International Nuclear Information System (INIS)

    Moon, Sang Jun; Jin, Chun Yan; Lee, Seung S

    2006-01-01

    We report a novel fabrication method for the microneedle array with a 3-dimensional feature and its replication method; 'Hot-pressing' process with bio-compatible material, PLLA (Poly L-LActide). Using inclined deep X-ray exposure technique, we fabricate a band type microneedle array with a single body on the same material basement. Since the single body feature does not make adhesion problem with the microneedle shank and basement during peel-off step of a mold, the PMMA (Poly-Methyl-MethAcrylate) microneedle array mold insert can be used for mold process which is used with the soft material mold, PDMS (Poly-Di- Methyl-Siloxane). The side inclined deep X-ray exposure also makes complex 3-dimensional features by the regions which are not exposed during twice successive exposure steps. In addition, the successive exposure does not need an additional mask alignment after the first side exposure. The fabricated band type microneedle array mold inserts are assembled for large area patch type out-of-plane microneedle array. The bio-compatible microneedle array can be fabricated to the laboratory scale mass production by the single body PMMA mold insert and 'Hot-pressing' process

  11. A novel method of microneedle array fabrication using inclined deep x-ray exposure

    Energy Technology Data Exchange (ETDEWEB)

    Moon, Sang Jun; Jin, Chun Yan; Lee, Seung S [Department of Mechanical Engineering, Korea Advanced Institute of Science and Technology (KAIST), 373-1, Guseong-dong, Yuseong-dong, Daejeon (Korea, Republic of)

    2006-04-01

    We report a novel fabrication method for the microneedle array with a 3-dimensional feature and its replication method; 'Hot-pressing' process with bio-compatible material, PLLA (Poly L-LActide). Using inclined deep X-ray exposure technique, we fabricate a band type microneedle array with a single body on the same material basement. Since the single body feature does not make adhesion problem with the microneedle shank and basement during peel-off step of a mold, the PMMA (Poly-Methyl-MethAcrylate) microneedle array mold insert can be used for mold process which is used with the soft material mold, PDMS (Poly-Di- Methyl-Siloxane). The side inclined deep X-ray exposure also makes complex 3-dimensional features by the regions which are not exposed during twice successive exposure steps. In addition, the successive exposure does not need an additional mask alignment after the first side exposure. The fabricated band type microneedle array mold inserts are assembled for large area patch type out-of-plane microneedle array. The bio-compatible microneedle array can be fabricated to the laboratory scale mass production by the single body PMMA mold insert and 'Hot-pressing' process.

  12. Adaptation of spectral distribution of synchrotron radiation to X-ray depth lithography

    International Nuclear Information System (INIS)

    Maid, B.; Ehrfeld, W.; Hormes, J.; Mohr, J.; Muenchmeyer, D.

    1989-05-01

    Plastic microstructures with extremly high aspect ratios can be fabricated by X-ray depth lithography with synchrotron radiation. In order to minimize the expenditure in terms of irradiation the spectrum of the synchrotron radiation source has to be adapted to the irradiation task. It is characterized by the height of the microstructure and the maximum admissible dose ratio permitting the resist to develop in the depth without destruction of the surface as a result of radiation damage. Expenditure in terms of irradiation is minimum if an ideal sharp cutoff filter, profiting from the maximum permissible dose ratio, filters out the long-waved portion of the spectrum without attenuating the intensity of the short-waved portion of the spectrum. By the example of a typical resist-developer system the location of the filter edge was determined at different structural heights for the Bonn synchrotron and the ELSA electron stretching facility (Bonn). To be capable of building the ideal sharp cutoff filter, the thickness of an absorber was adapted for different materials in such a way that the maximum permissible dose ratio was obtained. If a thin reflector foil is used which is hit by glazing radiation, the expenditure in terms of irradiation can be reduced because of the steeper filter characteristic of resists with small maximum dose ratios. The short-waved transmitted beam is used for irradiation, with the filter edge set by the angle between the foil and the beam. The technical feasibility of a reflection filter was demonstrated on the model of a reflector foil consisting of 30 nm titanium on 7.5 μm polyimide substrate by transmission measurements performed at different angles. (orig./HP) [de

  13. Deep Chandra Survey of the Small Magellanic Cloud. II. Timing Analysis of X-Ray Pulsars

    Energy Technology Data Exchange (ETDEWEB)

    Hong, JaeSub; Antoniou, Vallia; Zezas, Andreas; Drake, Jeremy J.; Plucinsky, Paul P. [Harvard-Smithsonian Center for Astrophysics, 60 Garden St., Cambridge, MA 02138 (United States); Haberl, Frank [Max-Planck-Institut für extraterrestrische Physik, Giessenbach straße, D-85748 Garching (Germany); Sasaki, Manami [Friedrich-Alexander-Universität Erlangen-Nürnberg, Sternwartstrasse 7, 96049 Bamberg (Germany); Laycock, Silas, E-mail: jaesub@head.cfa.harvard.edu [Department of Physics, University of Massachusetts Lowell, MA 01854 (United States)

    2017-09-20

    We report the timing analysis results of X-ray pulsars from a recent deep Chandra survey of the Small Magellanic Cloud (SMC). We analyzed a total exposure of 1.4 Ms from 31 observations over a 1.2 deg{sup 2} region in the SMC under a Chandra X-ray Visionary Program. Using the Lomb–Scargle and epoch-folding techniques, we detected periodic modulations from 20 pulsars and a new candidate pulsar. The survey also covered 11 other pulsars with no clear sign of periodic modulation. The 0.5–8 keV X-ray luminosity ( L {sub X} ) of the pulsars ranges from 10{sup 34} to 10{sup 37} erg s{sup −1} at 60 kpc. All of the Chandra sources with L {sub X} ≳ 4 × 10{sup 35} erg s{sup −1} exhibit X-ray pulsations. The X-ray spectra of the SMC pulsars (and high-mass X-ray binaries) are in general harder than those of the SMC field population. All but SXP 8.02 can be fitted by an absorbed power-law model with a photon index of Γ ≲ 1.5. The X-ray spectrum of the known magnetar SXP 8.02 is better fitted with a two-temperature blackbody model. Newly measured pulsation periods of SXP 51.0, SXP 214, and SXP 701, are significantly different from the previous XMM-Newton and RXTE measurements. This survey provides a rich data set for energy-dependent pulse profile modeling. Six pulsars show an almost eclipse-like dip in the pulse profile. Phase-resolved spectral analysis reveals diverse spectral variations during pulsation cycles: e.g., for an absorbed power-law model, some exhibit an (anti)-correlation between absorption and X-ray flux, while others show more intrinsic spectral variation (i.e., changes in photon indices).

  14. Debris-free soft x-ray source with gas-puff target

    Science.gov (United States)

    Ni, Qiliang; Chen, Bo; Gong, Yan; Cao, Jianlin; Lin, Jingquan; Lee, Hongyan

    2001-12-01

    We have been developing a debris-free laser plasma light source with a gas-puff target system whose nozzle is driven by a piezoelectric crystal membrane. The gas-puff target system can utilize gases such as CO2, O2 or some gas mixture according to different experiments. Therefore, in comparison with soft X-ray source using a metal target, after continuously several-hour laser interaction with gas from the gas-puff target system, no evidences show that the light source can produce debris. The debris-free soft X-ray source is prepared for soft X-ray projection lithography research at State Key Laboratory of Applied Optics. Strong emission from CO2, O2 and Kr plasma is observed.

  15. [Diffraction gratings used in x-ray spectroscopy]: Final report

    International Nuclear Information System (INIS)

    Smith, H.I.

    1988-01-01

    This subcontract was initiated in order to facilitate the development at MIT of technologies for fabricating the very fine diffraction grating required in x-ray spectroscopy at Lawrence Livermore Laboratory (LLL). These gratings are generally gold transmission gratings with spatial periods of 200 nm or less. The major focus of our efforts was to develop a means of fabricating gratings of 100 nm period. We explored two approaches: e-beam fabrication of x-ray lithography masks, and achromatic holographic lithography. This work was pursued by Erik Anderson as a major component of his Ph.D. thesis. Erik was successful in both the e-beam and holographic approaches. However, the e-beam method proved to be highly impractical: exposure times of about 115 days would be required to cover an area of 1 cm 2 . The achromatic holography, on the other hand, should be capable of exposing areas well in excess of 1 cm 2 in times under 1 hour. Moreover, 100 nm-period gratings produced by achromatic holography are coherent over their entire area whereas gratings produced by e-beam lithography are coherent only over areas /approximately/100 μm. The remainder of this report consists of portions excerpted from Erik Anderson's thesis. These contain all the details of our work on 100 nm period gratings. 26 refs., 17 figs

  16. X-ray radiation effects in multilayer epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hicks, Jeremy; Tinkey, Holly; Hankinson, John; Heer, Walt A. de; Conrad, Edward H. [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Arora, Rajan; Kenyon, Eleazar; Chakraborty, Partha S.; Cressler, John D. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS-Institut Neel, BP 166, 38042 Grenoble Cedex 9 (France)

    2011-12-05

    We characterize multilayer graphene grown on C-face SiC before and after exposure to a total ionizing dose of 12 Mrad(SiO{sub 2}) using a 10 keV x-ray source. While we observe the partial peeling of the top graphene layers and the appearance of a modest Raman D-peak, we find that the electrical characteristics (mobility, sheet resistivity, free carrier concentration) of the material are mostly unaffected by radiation exposure. Combined with x-ray photoelectron spectroscopy data showing numerous carbon-oxygen bonds after irradiation, we conclude that the primary damage mechanism is through surface etching from reactive oxygen species created by the x-rays.

  17. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  18. Reverse pattern duplication utilizing a two-step metal lift-off process via nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Sun-Sik; Kim, Eun-Uk; Jung, Hee-Soo; Kim, Ki-Seok; Jung, Gun-Young

    2009-01-01

    A two-step metal lift-off process using a selective etching recipe was demonstrated as a new technique for the reverse pattern fabrication of the features of a master stamp via a UV-based nanoimprint lithography technique. A transparent master stamp with repeated pillars (150 nm diameter at 300 nm pitch) was fabricated by using laser interference lithography and the subsequent dry-etching process. After nanoimprint lithography and the following gold (Au) lift-off process, the corresponding gold dots (20 nm height) were generated. A thin chromium layer (Cr, 5 nm) was then deposited and subjected to the aqua regia solution, which dissolved only Au dots. By using a selective wet etching recipe between gold (Au) and chromium (Cr) materials, a Cr layer with holes was reliably generated, which was used as an etching mask to transfer holes into the silicon substrate in the subsequent dry-etching process. Hole patterns with a diameter of 146 nm were inversely replicated faithfully from the master stamp with the corresponding pillars without a notable feature size distortion

  19. Range of applications of modern superconducting synchrotron radiation sources using the source planned at Karlsruhe (KSSQ) as an example

    International Nuclear Information System (INIS)

    Moser, H.O.

    1989-06-01

    The performance of the Karlsruhe synchrotron radiation source which was designed originally for X-ray deep-etch lithography comes close to that of first and second generation synchrotron radiation sources. The range of applications spanned by KSSQ is therefore quite similar to that of those machines. The present report displays a first collection of topics from the fields of surface analysis, solid state and materials research, and biology which could be investigated using KSSQ by interested groups coming from KfK and its surroundings. (orig.) [de

  20. Surface kinetics of Bi4-xLaxTi3O12 films etched in a CF4/Ar gas chemistry

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Efremov, A. M.; Kim, Chang-Il

    2004-01-01

    The surface reactions and the etch rate of Bi 4-x La x Ti 3 O 12 (BLT) films in a CF 4 /Ar plasma were investigated in an inductively coupled plasma (ICP) reactor in terms of the gas mixing ratio. The variation of relative volume densities for the F and the Ar atoms were measured with optical emission spectroscopy (OES). The maximum etch rate of 803 A/min was obtained in a CF 4 (20 %)/Ar(80 %) plasma. The presence of a maximum in the BLT etch rate at CF 4 (20 %)/Ar(80 %) may be explained by the concurrence of two etching mechanisms, physical sputtering and chemical reaction. Ar-ion bombardment played roles of destroying the metal (Bi, La, Ti)-O bonds and assisting the chemical reaction between metal and fluorine atoms. The chemical states of BLT were investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts (La-fluorides).

  1. Temporal characteristic analysis of laser-modulated pulsed X-ray source for space X-ray communication

    Science.gov (United States)

    Hang, Shuang; Liu, Yunpeng; Li, Huan; Tang, Xiaobin; Chen, Da

    2018-04-01

    X-ray communication (XCOM) is a new communication type and is expected to realize high-speed data transmission in some special communication scenarios, such as deep space communication and blackout communication. This study proposes a high-speed modulated X-ray source scheme based on the laser-to-X-ray conversion. The temporal characteristics of the essential components of the proposed laser-modulated pulsed X-ray source (LMPXS) were analyzed to evaluate its pulse emission performance. Results show that the LMPXS can provide a maximum modulation rate up to 100 Mbps which is expected to significantly improve the data rate of XCOM.

  2. First data from X-ray astronomy satellite

    International Nuclear Information System (INIS)

    Cox, J.

    1984-01-01

    EXOSAT, the European Space Agency's first x-ray astronomy satellite which was launched last year, has sent back information on x-ray sources. The article briefly discusses the observations made by the satellite concerning Cygnus x-1 and the galactic supernova remnant Cassiopeia A. EXOSAT is the first x-ray astronomy satellite to be operated in a deep space orbit

  3. X-Pinch soft x-ray source for microlithography

    International Nuclear Information System (INIS)

    Glidden, S.C.; Hammer, D.A.; Kalantar, D.H.; Qi, N.

    1993-01-01

    The x-pinch soft x-ray source is described for application in submicron resolution lithography. Experiments have been performed to characterize the radiation emitted from magnesium wire x-pinch plasmas using an 80 ns, ≤500 kA pulse. Yields of 14.2 J averaged over three independent calibrated diagnostics at 445 kA have been measured in magnesium K-shell radiation (predominantly 8.4 angstrom to 9.4 angstrom or 1.5 keV to 1.3 keV) from a submillimeter source, with as little as 5-10% of the yield below the 6.74 angstrom silicon absorption edge. A new ≤700 kA, 100 ns pulser being used for x-pinch physics experiments is described. The design of a 40 pulse per second pulsed power system and wire loading mechanism for exposing a resist in 1 second at a distance 40 cm is presented

  4. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  5. Increasing the aperture of x-ray mosaic lenses by freeze drying

    International Nuclear Information System (INIS)

    Koch, F; Marschall, F; Meiser, J; Márkus, O; Faisal, A; Schröter, T; Meyer, P; Kunka, D; Last, A; Mohr, J

    2015-01-01

    Point focus x-ray mosaic lenses are limited in aperture by the aspect ratio that can be reached in the micro fabrication process. In lithography based micro fabrication processes, which are used to fabricate the lens pillar structures, the achievable aspect ratio is restricted by structure collapse due to capillary forces which occur during drying after development. Capillary forces can be avoided by freeze drying, hence avoiding the direct phase change from liquid to gas. Substituting conventional drying by freeze drying using cyclohexane at a temperature of  −10 °C, we could increase the achievable aspect ratio for the triangular pillar structures with edge length of 10 to 45 µm of the x-ray mosaic lenses by up to a factor of 2.2 with no further changes in process, material or structural geometry. A maximum aspect ratio of 30 was achieved for pillars with 10 µm edge length. The process can readily be employed to other structures or lithography techniques. (paper)

  6. Effect of TMAH Etching Duration on the Formation of Silicon Nano wire Transistor Patterned by AFM Nano lithography

    International Nuclear Information System (INIS)

    Hutagalung, S.D.; Lew, K.C.

    2012-01-01

    Atomic force microscopy (AFM) lithography was applied to produce nano scale pattern for silicon nano wire transistor fabrication. This technique takes advantage of imaging facility of AFM and the ability of probe movement controlling over the sample surface to create nano patterns. A conductive AFM tip was used to grow the silicon oxide nano patterns on silicon on insulator (SOI) wafer. The applied tip-sample voltage and writing speed were well controlled in order to form pre-designed silicon oxide nano wire transistor structures. The effect of tetra methyl ammonium hydroxide (TMAH) etching duration on the oxide covered silicon nano wire transistor structure has been investigated. A completed silicon nano wire transistor was obtained by removing the oxide layer via hydrofluoric acid etching process. The fabricated silicon nano wire transistor consists of a silicon nano wire that acts as a channel with source and drain pads. A lateral gate pad with a nano wire head was fabricated very close to the channel in the formation of transistor structures. (author)

  7. Autonomous orbit determination and its error analysis for deep space using X-ray pulsar

    International Nuclear Information System (INIS)

    Feng, Dongzhu; Yuan, Xiaoguang; Guo, Hehe; Wang, Xin

    2014-01-01

    Autonomous orbit determination (OD) is a complex process using filtering method to integrate observation and orbit dynamic model effectively and estimate the position and velocity of a spacecraft. As a novel technology for autonomous interplanetary OD, X-ray pulsar holds great promise for deep space exploration. The position and velocity of spacecraft should be estimated accurately during the OD process. However, under the same condition, the accuracy of OD can be greatly reduced by the error of the initial orbit value and the orbit mutation. To resolve this problem, we propose a novel OD method, which is based on the X-ray pulsar measurement and Adaptive Unscented Kalman Filter (AUKF). The accuracy of OD can be improved obviously because the AUKF estimates the orbit of spacecraft using measurement residual. During the simulation, the orbit of Phoenix Mars Lander, Deep Impact Probe, and Voyager 1 are selected. Compared with Unscented Kalman Filter (UKF) and Extended Kalman Filter (EKF), the simulation results demonstrate that the proposed OD method based on AUKF can accurately determinate the velocity and position and effectively decrease the orbit estimated errors which is caused by the orbit mutation and orbit initial errors. (authors)

  8. High-etch-rate bottom-antireflective coating and gap-fill materials using dextrin derivatives in via first dual-Damascene lithography process

    Science.gov (United States)

    Takei, Satoshi; Sakaida, Yasushi; Shinjo, Tetsuya; Hashimoto, Keisuke; Nakajima, Yasuyuki

    2008-03-01

    The present paper describes a novel class of bottom antireflective coating (BARC) and gap fill materials using dextrin derivatives. The general trend of interconnect fabrication for such a high performance LSI is to apply cupper (Cu)/ low-dielectric-constant (low-k) interconnect to reduce RC delay. A via-first dual damascene process is one of the most promising processes to fabricate Cu/ low-k interconnect due to its wide miss-alignment margin. The sacrificial materials containing dextrin derivatives under resist for lithography were developed in via-first dual damascene process. The dextrin derivatives in this study was obtained by the esterification of the hydroxyl groups of dextrin resulting in improved solubility in the resist solvents such as propylene glycol monomethylether, propylene glycol monomethylether acetate, and ethyl lactate due to avoid the issue of defects that were caused by incompatability. The etch rate of our developed BARC and gap fill materials using dextrin derivatives was more than two times faster than one of the ArF resists evaluated in a CF4 gas condition using reactive ion etching. The improved etch performance was also verified by comparison with poly(hydroxystyrene), acrylate-type materials and latest low-k materials as a reference. In addition to superior etch performance, these materials showed good resist profiles and via filling performance without voids in via holes.

  9. The XMM deep survey in the CDF-S. X. X-ray variability of bright sources

    Science.gov (United States)

    Falocco, S.; Paolillo, M.; Comastri, A.; Carrera, F. J.; Ranalli, P.; Iwasawa, K.; Georgantopoulos, I.; Vignali, C.; Gilli, R.

    2017-12-01

    Aims: We aim to study the variability properties of bright hard X-ray selected active galactic nuclei (AGN) in the redshift range between 0.3 and 1.6 detected in the Chandra Deep Field South (XMM-CDFS) by a long ( 3 Ms) XMM observation. Methods: Taking advantage of the good count statistics in the XMM CDFS, we search for flux and spectral variability using the hardness ratio (HR) techniques. We also investigate the spectral variability of different spectral components (photon index of the power law, column density of the local absorber, and reflection intensity). The spectra were merged in six epochs (defined as adjacent observations) and in high and low flux states to understand whether the flux transitions are accompanied by spectral changes. Results: The flux variability is significant in all the sources investigated. The HRs in general are not as variable as the fluxes, in line with previous results on deep fields. Only one source displays a variable HR, anti-correlated with the flux (source 337). The spectral analysis in the available epochs confirms the steeper when brighter trend consistent with Comptonisation models only in this source at 99% confidence level. Finding this trend in one out of seven unabsorbed sources is consistent, within the statistical limits, with the 15% of unabsorbed AGN in previous deep surveys. No significant variability in the column densities, nor in the Compton reflection component, has been detected across the epochs considered. The high and low states display in general different normalisations but consistent spectral properties. Conclusions: X-ray flux fluctuations are ubiquitous in AGN, though in some cases the data quality does not allow for their detection. In general, the significant flux variations are not associated with spectral variability: photon index and column densities are not significantly variable in nine out of the ten AGN over long timescales (from three to six and a half years). Photon index variability is

  10. Charge collection and absorption-limited x-ray sensitivity of pixellated x-ray detectors

    International Nuclear Information System (INIS)

    Kabir, M. Zahangir; Kasap, S.O.

    2004-01-01

    The charge collection and absorption-limited x-ray sensitivity of a direct conversion pixellated x-ray detector operating in the presence of deep trapping of charge carriers is calculated using the Shockley-Ramo theorem and the weighting potential of the individual pixel. The sensitivity of a pixellated x-ray detector is analyzed in terms of normalized parameters; (a) the normalized x-ray absorption depth (absorption depth/photoconductor thickness), (b) normalized pixel width (pixel size/thickness), and (c) normalized carrier schubwegs (schubweg/thickness). The charge collection and absorption-limited sensitivity of pixellated x-ray detectors mainly depends on the transport properties (mobility and lifetime) of the charges that move towards the pixel electrodes and the extent of dependence increases with decreasing normalized pixel width. The x-ray sensitivity of smaller pixels may be higher or lower than that of larger pixels depending on the rate of electron and hole trapping and the bias polarity. The sensitivity of pixellated detectors can be improved by ensuring that the carrier with the higher mobility-lifetime product is drifted towards the pixel electrodes

  11. SphinX MEASUREMENTS OF THE 2009 SOLAR MINIMUM X-RAY EMISSION

    OpenAIRE

    Sylwester, J.; Kowalinski, M.; Gburek, S.; Siarkowski, M.; Kuzin, S.; Farnik, F.; Reale, F.; Phillips, K. J. H.; Bakala, J.; Gryciuk, M.; Podgorski, P.; Sylwester, B.

    2012-01-01

    The SphinX X-ray spectrophotometer on the CORONAS-PHOTON spacecraft measured soft X-ray emission in the 1-15 keV energy range during the deep solar minimum of 2009 with a sensitivity much greater than GOES. Several intervals are identified when the X-ray flux was exceptionally low, and the flux and solar X-ray luminosity are estimated. Spectral fits to the emission at these times give temperatures of 1.7-1.9 MK and emission measures between 4 x 10^47 cm^-3 and 1.1 x 10^48 cm^-3. Comparing Sph...

  12. Study of the earth's deep interior and crystallography. X-ray and neutron diffraction experiments under high pressures

    International Nuclear Information System (INIS)

    Yagi, Takehiko

    2014-01-01

    History of the study of the Earth's deep interior was reviewed. In order to understand Earth's deep interior from the view point of materials science, X-ray diffraction under high pressure and high temperature played very important role. Use of synchrotron radiation dramatically advanced this experimental technique and it is now possible to make precise X-ray study under the P-T conditions corresponding even to the center of the Earth. In order to clarify the behavior of light elements such as hydrogen, however, studies using neutron diffraction are also required. A new neutron beam line dedicated for high-pressure science is constructed at J-PARC and is now ready for use. (author)

  13. Deep Reactive Ion Etching for High Aspect Ratio Microelectromechanical Components

    DEFF Research Database (Denmark)

    Jensen, Søren; Yalcinkaya, Arda Deniz; Jacobsen, S.

    2004-01-01

    A deep reactive ion etch (DRIE) process for fabrication of high aspect ratio trenches has been developed. Trenches with aspect ratios exceeding 20 and vertical sidewalls with low roughness have been demonstrated. The process has successfully been used in the fabrication of silicon-on-insulator (SOI...

  14. Evaluation of alignment error of micropore X-ray optics caused by hot plastic deformation

    Science.gov (United States)

    Numazawa, Masaki; Ishi, Daiki; Ezoe, Yuichiro; Takeuchi, Kazuma; Terada, Masaru; Fujitani, Maiko; Ishikawa, Kumi; Nakajima, Kazuo; Morishita, Kohei; Ohashi, Takaya; Mitsuda, Kazuhisa; Nakamura, Kasumi; Noda, Yusuke

    2018-06-01

    We report on the evaluation and characterization of micro-electromechanical system (MEMS) X-ray optics produced by silicon dry etching and hot plastic deformation. Sidewalls of micropores formed by etching through a silicon wafer are used as X-ray reflecting mirrors. The wafer is deformed into a spherical shape to focus parallel incidence X-rays. We quantitatively evaluated a mirror alignment error using an X-ray pencil beam (Al Kα line at 1.49 keV). The deviation angle caused only by the deformation was estimated from angular shifts of the X-ray focusing point before and after the deformation to be 2.7 ± 0.3 arcmin on average within the optics. This gives an angular resolution of 12.9 ± 1.4 arcmin in half-power diameter (HPD). The surface profile of the deformed optics measured using a NH-3Ns surface profiler (Mitaka Kohki) also indicated that the resolution was 11.4 ± 0.9 arcmin in HPD, suggesting that we can simply evaluate the alignment error caused by the hot plastic deformation.

  15. CoSi{sub x} contact resistance after etching and ashing plasma exposure

    Energy Technology Data Exchange (ETDEWEB)

    Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya [Nagasaki Production Division 1, Sony Semiconductor Kyushu Corporation, 1883-43 Tsukuba-machi, Isahaya-shi, Nagasaki 854-0065 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan)

    2009-07-15

    The authors investigated the contact resistance fluctuation caused by CoSi{sub x} damage in plasma etching and ashing processes. They found that CoSi{sub x} layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH{sub 3}F is used instead of CF{sub 4} during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H{sub 2}/N{sub 2} ashing process in which O{sub 2} was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi{sub x}. This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.

  16. Nanoparticle-Assisted Scanning Focusing X-Ray Therapy with Needle Beam X Rays.

    Science.gov (United States)

    Davidson, R Andrew; Guo, Ting

    2016-01-01

    In this work, we show a new therapeutic approach using 40-120 keV X rays to deliver a radiation dose at the isocenter located many centimeters below the skin surface several hundred times greater than at the skin and how this dose enhancement can be augmented with nanomaterials to create several thousand-fold total dose enhancement effect. This novel approach employs a needle X-ray beam directed at the isocenter centimeters deep in the body while continuously scanning the beam to cover a large solid angle without overlapping at the skin. A Monte Carlo method was developed to simulate an X-ray dose delivered to the isocenter filled with X-ray absorbing and catalytic nanoparticles in a water phantom. An experimental apparatus consisting of a moving plastic phantom irradiated with a stationary 1 mm needle X-ray beam was built to test the theoretical predictions. X-ray films were used to characterize the dose profiles of the scanning X-ray apparatus. Through this work, it was determined that the X-ray dose delivered to the isocenter in a treatment voxel (t-voxel) underneath a 5 cm deep high-density polyethylene (HDPE) phantom was 295 ± 48 times greater than the surface dose. This measured value was in good agreement with the theoretical predicted value of 339-fold. Adding X-ray-absorbing nanoparticles, catalytic nanoparticles or both into the t-voxel can further augment the dose enhancement. For example, we predicted that adding 1 weight percentage (wp) of gold into water could increase the effective dose delivered to the target by onefold. Dose enhancement using 1 mm X-ray beam could reach about 1,600-fold in the t-voxel when 7.5 wp of 88 nm diameter silica-covered gold nanoparticles were added, which we showed in a previously published study can create a dose enhancement of 5.5 ± 0.46-fold without scanning focusing enhancement. Based on the experimental data from that study, mixing 0.02 wp 2.5 nm diameter small tetrakis hydroxymethyl phosphonium chloride (THPC

  17. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  18. Maskless, parallel patterning with zone-plate array lithography

    International Nuclear Information System (INIS)

    Carter, D. J. D.; Gil, Dario; Menon, Rajesh; Mondol, Mark K.; Smith, Henry I.; Anderson, Erik H.

    1999-01-01

    Zone-plate array lithography (ZPAL) is a maskless lithography scheme that uses an array of shuttered zone plates to print arbitrary patterns on a substrate. An experimental ultraviolet ZPAL system has been constructed and used to simultaneously expose nine different patterns with a 3x3 array of zone plates in a quasidot-matrix fashion. We present exposed patterns, describe the system design and construction, and discuss issues essential to a functional ZPAL system. We also discuss another ZPAL system which operates with 4.5 nm x radiation from a point source. We present simulations which show that, with our existing x-ray zone plates and this system, we should be able to achieve 55 nm resolution. (c) 1999 American Vacuum Society

  19. Growth and etching characteristics of gallium oxide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Ou, Sin-Liang; Wuu, Dong-Sing; Fu, Yu-Chuan; Liu, Shu-Ping; Horng, Ray-Hua; Liu, Lei; Feng, Zhe-Chuan

    2012-01-01

    Highlights: ► The β-Ga2O3 thin films are prepared by pulsed laser deposition. ► The substrate temperature affects the structural, optical and etching properties of the grown films. ► The optical transmittance and band gap of the films increased with increasing the substrate temperature. ► The etching treatments for gallium oxide are performed in 49 mol% HF solution at room temperature. ► The gallium oxide thin film grown at 400 °C has the highest etching rate of 490 nm s −1 . - Abstract: The gallium oxide films were deposited on (0 0 1) sapphire at various substrate temperatures from 400 to 1000 °C by pulsed laser deposition using a KrF excimer laser. The etching treatments for as-grown gallium oxide were performed in a 49 mol% HF solution at room temperature. The structural, optical and etching properties of the grown films were investigated in terms of high resolution X-ray diffraction, optical transmittance, atomic force microscopy, and X-ray photoelectron spectroscopy. The phase transition from amorphous to polycrystalline β-Ga 2 O 3 structure was observed with increasing growth temperature. From the optical transmittance measurements, the films grown at 550–1000 °C exhibit a clear absorption edge at deep ultraviolet region around 250–275 nm wavelength. It was found that the optical band gap of gallium oxide films increased from 4.56 to 4.87 eV when the substrate temperature increased from 400 to 1000 °C. As the substrate temperature increases, the crystallinity of gallium oxide film is enhanced and the etching rate is decreased. The high etching rate of 490 nm s −1 for gallium oxide film grown at 400 °C could be due to its amorphous phase, which is referred to higher void ratio and looser atomic structure.

  20. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    International Nuclear Information System (INIS)

    Luna, Lunet E; Tadjer, Marko J; Anderson, Travis J; Imhoff, Eugene A; Hobart, Karl D; Kub, Fritz J

    2017-01-01

    Cycles of inductively coupled SF 6 /O 2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µ m-deep trenches with 5.5 µ m-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µ m at an etch rate of ∼0.26 µ m min −1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated. (paper)

  1. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    Science.gov (United States)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  2. The histories of capillary optics for x-rays and ion beams in Russia, USA, and Japan

    International Nuclear Information System (INIS)

    Umezawa, Kenji

    2009-01-01

    This article introduces the history of X-ray lens and the present situation of ion beam focusing with glass capillaries systems. The basic technology of X-ray lens using glass capillaries was independently developed over 20 years by Prof. Kumakhov in the former Soviet Union and Dr. Soejima in Japan, respectively. In the 1990's, Prof. W.M. Gibson and his coworkers intensively studied X-rays and neutron optics in Albany, NY, USA. X-ray optics with glass capillaries, in these days is well known in the world. This unique technique was fabricated to collimate X-rays. Also, new ion beam analysis technique with glass capillaries systems has been intensively developed by Dr. Nebiki and Prof. Narusawa in Kochi, Japan. These X-rays and ion beams techniques have brought new application for many fields; X-ray detector, X-ray lithography, X-ray astronomy, microdiffraction, medical therapy and biological applications. (author)

  3. Precise small-angle X-ray scattering evaluation of the pore structures in track-etched membranes: Comparison with other convenient evaluation methods

    Energy Technology Data Exchange (ETDEWEB)

    Miyazaki, Tsukasa, E-mail: t_miyazaki@cross.or.jp [Neutron Science and Technology Center, Comprehensive Research Organization for Science and Society, 162-1, Shirakata, Tokai-mura, Naka-gun, Ibaraki 319-1106 (Japan); Takenaka, Mikihito [Department of Polymer Chemistry, Gradual School of Engineering, Kyoto University, Kyotodaigaku-katsura, Kyoto 615-8510 (Japan)

    2017-03-01

    Poly(ethylene terephthalate) (PET)-based track-etched membranes (TMs) with pore sizes ranging from few nanometers to approximately 1 μm are used in various applications in the biological field, and their pore structures are determined by small-angle X-ray scattering (SAXS). These TMs with the nanometer-sized cylindrical pores aligned parallel to the film thickness direction are produced by chemical etching of the track in the PET films irradiated by heavy ions with the sodium hydroxide aqueous solution. It is well known that SAXS allows us to precisely and statistically estimate the pore size and the pore size distribution in the TMs by using the form factor of a cylinder with the extremely long pore length relative to the pore diameter. The results obtained were compared with those estimated with scanning electron microscopy and gas permeability measurements. The result showed that the gas permeability measurement is convenient to evaluate the pore size of TMs within a wide length scale, and the SEM observation is also suited to estimate the pore size, although SEM observation is usually limited above approximately 30 nm.

  4. Reference binding energies of transition metal carbides by core-level x-ray photoelectron spectroscopy free from Ar+ etching artefacts

    Science.gov (United States)

    Greczynski, G.; Primetzhofer, D.; Hultman, L.

    2018-04-01

    We report x-ray photoelectron spectroscopy (XPS) core level binding energies (BE's) for the widely-applicable groups IVb-VIb transition metal carbides (TMCs) TiC, VC, CrC, ZrC, NbC, MoC, HfC, TaC, and WC. Thin film samples are grown in the same deposition system, by dc magnetron co-sputtering from graphite and respective elemental metal targets in Ar atmosphere. To remove surface contaminations resulting from exposure to air during sample transfer from the growth chamber into the XPS system, layers are either (i) Ar+ ion-etched or (ii) UHV-annealed in situ prior to XPS analyses. High resolution XPS spectra reveal that even gentle etching affects the shape of core level signals, as well as BE values, which are systematically offset by 0.2-0.5 eV towards lower BE. These destructive effects of Ar+ ion etch become more pronounced with increasing the metal atom mass due to an increasing carbon-to-metal sputter yield ratio. Systematic analysis reveals that for each row in the periodic table (3d, 4d, and 5d) C 1s BE increases from left to right indicative of a decreased charge transfer from TM to C atoms, hence bond weakening. Moreover, C 1s BE decreases linearly with increasing carbide/metal melting point ratio. Spectra reported here, acquired from a consistent set of samples in the same instrument, should serve as a reference for true deconvolution of complex XPS cases, including multinary carbides, nitrides, and carbonitrides.

  5. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  6. High Excitation Efficiency of Channel Plasmon Polaritons in Tailored, UV-Lithography-Defined V-Grooves

    DEFF Research Database (Denmark)

    Smith, Cameron; Thilsted, Anil Haraksingh; Garcia-Ortiz, Cesar E.

    2014-01-01

    We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs.......We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs....

  7. Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications

    International Nuclear Information System (INIS)

    Ray, Tathagata; Zhu, Haixin; Meldrum, Deirdre R

    2010-01-01

    In this note, we present our results from process development and characterization of reactive ion etching (RIE) of fused silica using a single-coated soft masking layer (KMPR® 1025, Microchem Corporation, Newton, MA). The effects of a number of fluorine-radical-based gaseous chemistries, the gas flow rate, RF power and chamber pressure on the etch rate and etching selectivity of fused silica were studied using factorial experimental designs. RF power and pressure were found to be the most important factors in determining the etch rate. The highest fused silica etch rate obtained was about 933 Å min −1 by using SF 6 -based gas chemistry, and the highest etching selectivity between the fused silica and KMPR® 1025 was up to 1.2 using a combination of CF 4 , CHF 3 and Ar. Up to 30 µm deep microstructures have been successfully fabricated using the developed processes. The average area roughness (R a ) of the etched surface was measured and results showed it is comparable to the roughness obtained using a wet etching technique. Additionally, near-vertical sidewalls (with a taper angle up to 85°) have been obtained for the etched microstructures. The processes developed here can be applied to any application requiring fabrication of deep microstructures in fused silica with near-vertical sidewalls. To our knowledge, this is the first note on deep RIE of fused silica using a single-coated KMPR® 1025 masking layer and a non-ICP-based reactive ion etcher. (technical note)

  8. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  9. Sequential infiltration synthesis for advanced lithography

    Energy Technology Data Exchange (ETDEWEB)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih; Peng, Qing

    2017-10-10

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.

  10. Consideration of correlativity between litho and etching shape

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2012-03-01

    We developed an effective method for evaluating the correlation of shape of Litho and Etching pattern. The purpose of this method, makes the relations of the shape after that is the etching pattern an index in wafer same as a pattern shape on wafer made by a lithography process. Therefore, this method measures the characteristic of the shape of the wafer pattern by the lithography process and can predict the hotspot pattern shape by the etching process. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used wafer CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and lithography management, and this has a big impact on the semiconductor market that centers on the semiconductor business. 2-dimensional shape of wafer quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. In this study, we conducted experiments for correlation method of the pattern (Measurement Based Contouring) as two-dimensional litho and etch evaluation technique. That is, observation of the identical position of a litho and etch was considered. It is possible to analyze variability of the edge of the same position with high precision.

  11. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  12. A novel x-ray circularly polarized ranging method

    International Nuclear Information System (INIS)

    Song Shi-Bin; Xu Lu-Ping; Zhang Hua; Shen Yang-He; Gao Na

    2015-01-01

    Range measurement has found multiple applications in deep space missions. With more and further deep space exploration activities happening now and in the future, the requirement for range measurement has risen. In view of the future ranging requirement, a novel x-ray polarized ranging method based on the circular polarization modulation is proposed, termed as x-ray circularly polarized ranging (XCPolR). XCPolR utilizes the circular polarization modulation to process x-ray signals and the ranging information is conveyed by the circular polarization states. As the circular polarization states present good stability in space propagation and x-ray detectors have light weight and low power consumption, XCPolR shows great potential in the long-distance range measurement and provides an option for future deep space ranging. In this paper, we present a detailed illustration of XCPolR. Firstly, the structure of the polarized ranging system is described and the signal models in the ranging process are established mathematically. Then, the main factors that affect the ranging accuracy, including the Doppler effect, the differential demodulation, and the correlation error, are analyzed theoretically. Finally, numerical simulation is carried out to evaluate the performance of XCPolR. (paper)

  13. X-ray photoelectron and x-ray-induced auger electron spectroscopic data, 2

    International Nuclear Information System (INIS)

    Baba, Yuji; Sasaki, Teikichi

    1984-04-01

    The intrinsic data of the X-ray photoelectron spectra (XPS) and X-ray-induced Auger electron spectra (XAES) for 4d transition-metals and related oxides were obtained by means of a spherical electron spectrometer. The metallic surfaces were cleaned by two different metheds : mechanical filing and Ar + ion etching. In the case of the Ar + io n bombarded Y, Zr, and Nb metals, the binding energies of the core-lines and the kinetic energies of the Auger lines shift from those for the mechanically filed surfaces. The energy shifts were interpreted in terms of the ion-induced lattice distortion of the metal surfaces. The oxides examined are typical compounds such as Y 2 O 3 , ZrO 2 , Nb 2 O 5 , MoO 3 and RuO 2 . The data consists of 4 wide scans, 33 core-line spectra, 10 valence-band spectra and 12 XAES spectra. The peak positions of the core-lines and the Auger lines were summarized in 6 tables together with their chemical shifts. (author)

  14. SMART-X: Square Meter, Arcsecond Resolution Telescope for X-rays

    Science.gov (United States)

    Vikhlinin, Alexey; SMART-X Collaboration

    2013-04-01

    SMART-X is a concept for a next-generation X-ray observatory with large-area, 0.5" angular resolution grazing incidence adjustable X-ray mirrors, high-throughput critical angle transmission gratings, and X-ray microcalorimeter and CMOS-based imager in the focal plane. High angular resolution is enabled by new technology based on controlling the shape of mirror segments using thin film piezo actuators deposited on the back surface. Science applications include observations of growth of supermassive black holes since redshifts of ~10, ultra-deep surveys over 10's of square degrees, galaxy assembly at z=2-3, as well as new opportunities in the high-resolution X-ray spectroscopy and time domains. We also review the progress in technology development, tests, and mission design over the past year.

  15. In situ X-ray scattering studies of protein solution droplets drying on micro- and nanopatterned superhydrophobic PMMA surfaces.

    Science.gov (United States)

    Accardo, Angelo; Gentile, Francesco; Mecarini, Federico; De Angelis, Francesco; Burghammer, Manfred; Di Fabrizio, Enzo; Riekel, Christian

    2010-09-21

    Superhydrophobic poly(methyl methacrylate) surfaces with contact angles of ∼170° and high optical and X-ray transparencies have been fabricated through the use of optical lithography and plasma etching. The surfaces contain either a microscale pattern of micropillars or a random nanofibrillar pattern. Nanoscale asperities on top of the micropillars closely resemble Nelumbo nucifera lotus leaves. The evolution of the contact angle of water and lysozyme solution droplets during evaporation was studied on the micro- and nanopatterned surfaces, showing in particular contact-line pinning for the protein solution droplet on the nanopatterned surface. The microstructural evolution of lysozyme solution droplets was studied on both types of surfaces in situ under nearly contact-free conditions by synchrotron radiation microbeam wide-angle and small-angle X-ray scattering revealing the increasing protein concentration and the onset of precipitation. The solid residuals show hollow sphere morphologies. Rastermicrodiffraction of the detached residuals suggests about a 1/3 volume fraction of ≥17 nm lysozyme nanocrystalline domains and about a 2/3 short-range-order volume fraction. About 5-fold larger nanocrystalline domains were observed at the attachment points of the sphere to the substrates, which is attributed to particle growth in a shear flow. Such surfaces represent nearly contact-free sample supports for studies of inorganic and organic solution droplets, which find applications in biochips.

  16. The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Grigoras, K; Franssila, S; Tittonen, I; Peltonen, A

    2009-01-01

    We show that gallium-ion-implanted silicon serves as an etch mask for fabrication of high aspect ratio nanostructures by cryogenic plasma etching (deep reactive ion etching). The speed of focused ion beam (FIB) patterning is greatly enhanced by the fact that only a thin approx. 30 nm surface layer needs to be modified to create a mask for the etching step. Etch selectivity between gallium-doped and undoped material is at least 1000:1, greatly decreasing the mask erosion problems. The resolution of the combined FIB-DRIE process is 20 lines μm -1 with the smallest masked feature size of 40 nm. The maximum achieved aspect ratio is 15:1 (e.g. 600 nm high pillars 40 nm in diameter).

  17. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  18. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  19. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  20. Integrated one diode-one resistor architecture in nanopillar SiOx resistive switching memory by nanosphere lithography.

    Science.gov (United States)

    Ji, Li; Chang, Yao-Feng; Fowler, Burt; Chen, Ying-Chen; Tsai, Tsung-Ming; Chang, Kuan-Chang; Chen, Min-Chen; Chang, Ting-Chang; Sze, Simon M; Yu, Edward T; Lee, Jack C

    2014-02-12

    We report on a highly compact, one diode-one resistor (1D-1R) nanopillar device architecture for SiOx-based ReRAM fabricated using nanosphere lithography (NSL). The intrinsic SiOx-based resistive switching element and Si diode are self-aligned on an epitaxial silicon wafer using NSL and a deep-Si-etch process without conventional photolithography. AC-pulse response in 50 ns regime, multibit operation, and good reliability are demonstrated. The NSL process provides a fast and economical approach to large-scale patterning of high-density 1D-1R ReRAM with good potential for use in future applications.

  1. Refractive microlensarray made of silver-halide sensitized gelatin (SHSG) etched by enzyme with SLM-based lithography

    Science.gov (United States)

    Guo, Xiaowei; Chen, Mingyong; Zhu, Jianhua; Ma, Yanqin; Du, Jinglei; Guo, Yongkang; Du, Chunlei

    2006-01-01

    A novel method for the fabrication of continuous micro-optical components is presented in this paper. It employs a computer controlled digital-micromirror-device(DMD TM) as a switchable projection mask and silver-halide sensitized gelatin (SHSG) as recording material. By etching SHSG with enzyme solution, the micro-optical components with relief modulation can be generated through special processing procedures. The principles of etching SHSG with enzyme and theoretical analysis for deep etching are also discussed in detail, and the detailed quantitative experiments on the processing procedures are conducted to determine optimum technique parameters. A good linear relationship within a depth range of 4μm was experimentally obtained between exposure dose and relief depth. At last, the microlensarray with 256.8μm radius and 2.572μm depth was achieved. This method is simple, cheap and the aberration in processing procedures can be corrected in the step of designing mask, so it is a practical method to fabricate good continuous profile for low-volume production.

  2. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  3. Fabrication and characterization of pixelated Gd{sub 2}O{sub 2}S:Tb scintillator screens for digital X-ray imaging applications

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jongyul, E-mail: kjongyul@kaist.ac.kr [Korea Advanced Institute of Science and Technology, 335 Gwahangno, Daejeon 305-701 (Korea, Republic of); Kyoung Cha, Bo; Hyung Bae, Jun; Lee, Chae-hun; Kim, Hyungtaek; Chang, Sungho; Cho, Gyuseong [Korea Advanced Institute of Science and Technology, 335 Gwahangno, Daejeon 305-701 (Korea, Republic of); Sim, Cheulmuu; Kim, Taejoo [Korea Atomic Energy Research Institute, 150 Deokjin-dong, Daejeon 305-353 (Korea, Republic of)

    2011-05-15

    X-ray imaging detectors in combination with scintillator screens have been widely used in digital X-ray imaging applications. Gd{sub 2}O{sub 2}S:Tb was used as scintillation material for pixelated scintillator screens based on silicon substrates (wafer) with a micropore array of various dimensions fabricated using the photolithography and deep reactive ion etching (DRIE) process. The relative light output and the modulation transfer function (MTF) of each fabricated scintillator screen were measured by a cooled CCD and compared with those of Lanex screens. The spatial resolution of our scintillator screens was higher but their light outputs were lower than those of Lanex screen probably due to the loss of light at the wall surfaces. Therefore further treatment of the wall surface, such as reflective coating, seems necessary to compensate the light loss.

  4. SphinX MEASUREMENTS OF THE 2009 SOLAR MINIMUM X-RAY EMISSION

    International Nuclear Information System (INIS)

    Sylwester, J.; Kowalinski, M.; Gburek, S.; Siarkowski, M.; Bakała, J.; Gryciuk, M.; Podgorski, P.; Sylwester, B.; Kuzin, S.; Farnik, F.; Reale, F.; Phillips, K. J. H.

    2012-01-01

    The SphinX X-ray spectrophotometer on the CORONAS-PHOTON spacecraft measured soft X-ray emission in the 1-15 keV energy range during the deep solar minimum of 2009 with a sensitivity much greater than GOES. Several intervals are identified when the X-ray flux was exceptionally low, and the flux and solar X-ray luminosity are estimated. Spectral fits to the emission at these times give temperatures of 1.7-1.9 MK and emission measures between 4 × 10 47 cm –3 and 1.1 × 10 48 cm –3 . Comparing SphinX emission with that from the Hinode X-ray Telescope, we deduce that most of the emission is from general coronal structures rather than confined features like bright points. For one of 27 intervals of exceptionally low activity identified in the SphinX data, the Sun's X-ray luminosity in an energy range roughly extrapolated to that of ROSAT (0.1-2.4 keV) was less than most nearby K and M dwarfs.

  5. SphinX Measurements of the 2009 Solar Minimum X-Ray Emission

    Science.gov (United States)

    Sylwester, J.; Kowalinski, M.; Gburek, S.; Siarkowski, M.; Kuzin, S.; Farnik, F.; Reale, F.; Phillips, K. J. H.; Bakała, J.; Gryciuk, M.; Podgorski, P.; Sylwester, B.

    2012-06-01

    The SphinX X-ray spectrophotometer on the CORONAS-PHOTON spacecraft measured soft X-ray emission in the 1-15 keV energy range during the deep solar minimum of 2009 with a sensitivity much greater than GOES. Several intervals are identified when the X-ray flux was exceptionally low, and the flux and solar X-ray luminosity are estimated. Spectral fits to the emission at these times give temperatures of 1.7-1.9 MK and emission measures between 4 × 1047 cm-3 and 1.1 × 1048 cm-3. Comparing SphinX emission with that from the Hinode X-ray Telescope, we deduce that most of the emission is from general coronal structures rather than confined features like bright points. For one of 27 intervals of exceptionally low activity identified in the SphinX data, the Sun's X-ray luminosity in an energy range roughly extrapolated to that of ROSAT (0.1-2.4 keV) was less than most nearby K and M dwarfs.

  6. SphinX MEASUREMENTS OF THE 2009 SOLAR MINIMUM X-RAY EMISSION

    Energy Technology Data Exchange (ETDEWEB)

    Sylwester, J.; Kowalinski, M.; Gburek, S.; Siarkowski, M.; Bakala, J.; Gryciuk, M.; Podgorski, P.; Sylwester, B. [Space Research Centre, Polish Academy of Sciences, 51-622, Kopernika 11, Wroclaw (Poland); Kuzin, S. [P. N. Lebedev Physical Institute (FIAN), Russian Academy of Sciences, Leninsky Prospect 53, Moscow 119991 (Russian Federation); Farnik, F. [Astronomical Institute, Ondrejov Observatory (Czech Republic); Reale, F. [Dipartimento di Fisica, Universita di Palermo, Palermo, Italy, and INAF, Osservatorio Astronomico di Palermo, Palermo (Italy); Phillips, K. J. H., E-mail: js@cbk.pan.wroc.pl [Mullard Space Science Laboratory, University College London, Holmbury St. Mary, Dorking, Surrey RH5 6NT (United Kingdom)

    2012-06-01

    The SphinX X-ray spectrophotometer on the CORONAS-PHOTON spacecraft measured soft X-ray emission in the 1-15 keV energy range during the deep solar minimum of 2009 with a sensitivity much greater than GOES. Several intervals are identified when the X-ray flux was exceptionally low, and the flux and solar X-ray luminosity are estimated. Spectral fits to the emission at these times give temperatures of 1.7-1.9 MK and emission measures between 4 Multiplication-Sign 10{sup 47} cm{sup -3} and 1.1 Multiplication-Sign 10{sup 48} cm{sup -3}. Comparing SphinX emission with that from the Hinode X-ray Telescope, we deduce that most of the emission is from general coronal structures rather than confined features like bright points. For one of 27 intervals of exceptionally low activity identified in the SphinX data, the Sun's X-ray luminosity in an energy range roughly extrapolated to that of ROSAT (0.1-2.4 keV) was less than most nearby K and M dwarfs.

  7. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  8. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  9. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  10. The radio-X-ray relation as a star formation indicator: results from the Very Large Array-Extended Chandra Deep Field-South

    Science.gov (United States)

    Vattakunnel, S.; Tozzi, P.; Matteucci, F.; Padovani, P.; Miller, N.; Bonzini, M.; Mainieri, V.; Paolillo, M.; Vincoletto, L.; Brandt, W. N.; Luo, B.; Kellermann, K. I.; Xue, Y. Q.

    2012-03-01

    In order to trace the instantaneous star formation rate (SFR) at high redshift, and thus help in understanding the relation between the different emission mechanisms related to star formation, we combine the recent 4-Ms Chandra X-ray data and the deep Very Large Array radio data in the Extended Chandra Deep Field-South region. We find 268 sources detected both in the X-ray and radio bands. The availability of redshifts for ˜95 per cent of the sources in our sample allows us to derive reliable luminosity estimates and the intrinsic properties from X-ray analysis for the majority of the objects. With the aim of selecting sources powered by star formation in both bands, we adopt classification criteria based on X-ray and radio data, exploiting the X-ray spectral features and time variability, taking advantage of observations scattered across more than 10 years. We identify 43 objects consistent with being powered by star formation. We also add another 111 and 70 star-forming candidates detected only in the radio and X-ray bands, respectively. We find a clear linear correlation between radio and X-ray luminosity in star-forming galaxies over three orders of magnitude and up to z˜ 1.5. We also measure a significant scatter of the order of 0.4 dex, higher than that observed at low redshift, implying an intrinsic scatter component. The correlation is consistent with that measured locally, and no evolution with redshift is observed. Using a locally calibrated relation between the SFR and the radio luminosity, we investigate the LX(2-10 keV)-SFR relation at high redshift. The comparison of the SFR measured in our sample with some theoretical models for the Milky Way and M31, two typical spiral galaxies, indicates that, with current data, we can trace typical spirals only at z≤ 0.2, and strong starburst galaxies with SFRs as high as ˜100 M⊙ yr-1, up to z˜ 1.5.

  11. Deepest X-Rays Ever Reveal universe Teeming With Black Holes

    Science.gov (United States)

    2001-03-01

    For the first time, astronomers believe they have proof black holes of all sizes once ruled the universe. NASA's Chandra X-ray Observatory provided the deepest X-ray images ever recorded, and those pictures deliver a novel look at the past 12 billion years of black holes. Two independent teams of astronomers today presented images that contain the faintest X-ray sources ever detected, which include an abundance of active super massive black holes. "The Chandra data show us that giant black holes were much more active in the past than at present," said Riccardo Giacconi, of Johns Hopkins University and Associated Universities, Inc., Washington, DC. The exposure is known as "Chandra Deep Field South" since it is located in the Southern Hemisphere constellation of Fornax. "In this million-second image, we also detect relatively faint X-ray emission from galaxies, groups, and clusters of galaxies". The images, known as Chandra Deep Fields, were obtained during many long exposures over the course of more than a year. Data from the Chandra Deep Field South will be placed in a public archive for scientists beginning today. "For the first time, we are able to use X-rays to look back to a time when normal galaxies were several billion years younger," said Ann Hornschemeier, Pennsylvania State University, University Park. The group’s 500,000-second exposure included the Hubble Deep Field North, allowing scientists the opportunity to combine the power of Chandra and the Hubble Space Telescope, two of NASA's Great Observatories. The Penn State team recently acquired an additional 500,000 seconds of data, creating another one-million-second Chandra Deep Field, located in the constellation of Ursa Major. Chandra Deep Field North/Hubble Deep Field North Press Image and Caption The images are called Chandra Deep Fields because they are comparable to the famous Hubble Deep Field in being able to see further and fainter objects than any image of the universe taken at X-ray

  12. Fine-pitch glass GEM for high-resolution X-ray imaging

    International Nuclear Information System (INIS)

    Fujiwara, T.; Toyokawa, H.; Mitsuya, Y.

    2016-01-01

    We have developed a fine-pitch glass gas electron multiplier (G-GEM) for high-resolution X-ray imaging. The fine-pitch G-GEM is made of a 400 μm thick photo-etchable glass substrate with 150 μm pitch holes. It is fabricated using the same wet etching technique as that for the standard G-GEM. In this work, we present the experimental results obtained with a single fine-pitch G-GEM with a 50 × 50 mm 2 effective area. We recorded an energy resolution of 16.2% and gas gain up to 5,500 when the detector was irradiated with 5.9 keV X-rays. We present a 50 × 50 mm 2 X-ray radiograph image acquired with a scintillation gas and optical readout system.

  13. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  14. Fabrication update on critical-angle transmission gratings for soft x-ray grating spectrometers

    Science.gov (United States)

    Heilmann, Ralf K.; Bruccoleri, Alex; Mukherjee, Pran; Yam, Jonathan; Schattenburg, Mark L.

    2011-09-01

    Diffraction grating-based, wavelength dispersive high-resolution soft x-ray spectroscopy of celestial sources promises to reveal crucial data for the study of the Warm-Hot Intergalactic Medium, the Interstellar Medium, warm absorption and outflows in Active Galactic Nuclei, coronal emission from stars, and other areas of interest to the astrophysics community. Our recently developed critical-angle transmission (CAT) gratings combine the advantages of the Chandra high and medium energy transmission gratings (low mass, high tolerance of misalignments and figure errors, polarization insensitivity) with those of blazed reflection gratings (high broad band diffraction efficiency, high resolution through use of higher diffraction orders) such as the ones on XMM-Newton. Extensive instrument and system configuration studies have shown that a CAT grating-based spectrometer is an outstanding instrument capable of delivering resolving power on the order of 5,000 and high effective area, even with a telescope point-spread function on the order of many arc-seconds. We have fabricated freestanding, ultra-high aspect-ratio CAT grating bars from silicon-on-insulator wafers using both wet and dry etch processes. The 200 nm-period grating bars are supported by an integrated Level 1 support mesh, and a coarser external Level 2 support mesh. The resulting grating membrane is mounted to a frame, resulting in a grating facet. Many such facets comprise a grating array that provides light-weight coverage of large-area telescope apertures. Here we present fabrication results on the integration of CAT gratings and the different high-throughput support mesh levels and on membrane-frame bonding. We also summarize recent x-ray data analysis of 3 and 6 micron deep wet-etched CAT grating prototypes.

  15. Simulations for printing contacts with near field x-rays

    International Nuclear Information System (INIS)

    Bourdillon, Antony J; Boothroyd, Chris B

    2005-01-01

    In ultra high resolution lithography, sometimes called near field x-ray lithography, Fresnel diffraction is deliberately used to increase resolution: the contraction in current occurring beyond a clear mask feature has, further, important experimentally beneficial effects that were previously overlooked. All the key features of the technique have, by now, been demonstrated and previously reported. The technique is also an enhancement of the most-developed next generation lithography. The enhancement has fundamental advantages, including an increase in mask-wafer Gap (the Gap scales as the square of the width of a clear mask feature); reduced exposure times; more easily fabricated masks; high density prints by multiple exposures; high contrast; elimination of sidebands; reduction in the effects of mask defects, compact masks, etc. We have, previously reported experimental and simulated prints from lines and more complex flag and bridge structures; here we report simulations for symmetrical contacts. More particularly, in the printing of circular features, it is shown that a demagnification factor around 7 can be routinely used to optimize mask-wafer Gap. Although the Gap is significantly extended by using larger clear mask features, finer prints can still be developed

  16. A PILOT DEEP SURVEY FOR X-RAY EMISSION FROM fuvAGB STARS

    Energy Technology Data Exchange (ETDEWEB)

    Sahai, R. [Jet Propulsion Laboratory, MS 183-900, California Institute of Technology, Pasadena, CA 91109 (United States); Sanz-Forcada, J.; Sánchez Contreras, C. [Astrobiology Center (CSIC-INTA), ESAC campus, E-28691 Villanueva de la Canada, Madrid (Spain); Stute, M. [Institute for Astronomy and Astrophysics, Eberhard Karls Universität Tübingen, Auf der Morgenstelle 10, D-72076, Tübingen (Germany)

    2015-09-01

    We report the results of a pilot survey for X-ray emission from a newly discovered class of AGB stars with far-ultraviolet excesses (fuvAGB stars) using XMM-Newton and Chandra. We detected X-ray emission in three of six fuvAGB stars observed—the X-ray fluxes are found to vary in a stochastic or quasi-periodic manner on roughly hour-long timescales, and simultaneous UV observations using the Optical Monitor on XMM for these sources show similar variations in the UV flux. These data, together with previous studies, show that X-ray emission is found only in fuvAGB stars. From modeling the spectra, we find that the observed X-ray luminosities are ∼(0.002–0.2) L{sub ⊙} and the X-ray-emitting plasma temperatures are ∼(35–160) × 10{sup 6} K. The high X-ray temperatures argue against the emission arising in stellar coronae, or directly in an accretion shock, unless it occurs on a WD companion. However, none of the detected objects is a known WD-symbiotic star, suggesting that if WD companions are present, they are relatively cool (<20,000 K). In addition, the high X-ray luminosities specifically argue against emission originating in the coronae of main-sequence companions. We discuss several models for the X-ray emission and its variability and find that the most likely scenario for the origin of the X-ray (and FUV) emission involves accretion activity around a companion star, with confinement by strong magnetic fields associated with the companion and/or an accretion disk around it.

  17. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  18. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  19. Inductively coupled plasma nanoetching of atomic layer deposition alumina

    DEFF Research Database (Denmark)

    Han, Anpan; Chang, Bingdong; Todeschini, Matteo

    2018-01-01

    such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar...... the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching, and we......Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for Micro and Nano Electro-Mechanical Systems (MEMS and NEMS). 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials...

  20. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  1. Probing hot-electron effects in wide area plasmonic surfaces using X-ray photoelectron spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ayas, Sencer; Cupallari, Andi; Dana, Aykutlu, E-mail: aykutlu@unam.bilkent.edu.tr [UNAM Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2014-12-01

    Plasmon enhanced hot carrier formation in metallic nanostructures increasingly attracts attention due to potential applications in photodetection, photocatalysis, and solar energy conversion. Here, hot-electron effects in nanoscale metal-insulator-metal (MIM) structures are investigated using a non-contact X-ray photoelectron spectroscopy based technique using continuous wave X-ray and laser excitations. The effects are observed through shifts of the binding energy of the top metal layer upon excitation with lasers of 445, 532, and 650 nm wavelength. The shifts are polarization dependent for plasmonic MIM grating structures fabricated by electron beam lithography. Wide area plasmonic MIM surfaces fabricated using a lithography free route by the dewetting of evaporated Ag on HfO{sub 2} exhibit polarization independent optical absorption and surface photovoltage. Using a simple model and making several assumptions about the magnitude of the photoemission current, the responsivity and external quantum efficiency of wide area plasmonic MIM surfaces are estimated as 500 nA/W and 11 × 10{sup −6} for 445 nm illumination.

  2. Template assisted self-assembly of iron oxide nanoparticles: An x-ray structural analysis

    International Nuclear Information System (INIS)

    Mishra, D.; Zabel, H.; Ulyanov, S. V.; Romanov, V. P.; Uzdin, V. M.

    2014-01-01

    We have fabricated by e-beam lithography periodic arrays of rectangular shaped trenches of different widths into Si substrates. The trenches were filled with iron oxide nanoparticles, 20 nm in diameter, by spin-coating them onto the Si substrate. The trenches have the purpose to assist the self-assembly of the iron oxide nanoparticles. Using x-ray scattering techniques, we have analyzed the structure factor of the trenches before and after filling in order to determine the filling factor. We present a theoretical analysis of the x-ray scattering function within the distorted-wave Born approximation and we present a quantitative comparison between theory and experiment

  3. Template assisted self-assembly of iron oxide nanoparticles: An x-ray structural analysis

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, D. [Department of Physics, Ruhr-University Bochum, 44780 Bochum (Germany); Institut fuer Optik und Atomare Physik, Technische Universitaet Berlin, Strasse des 17. Juni 135, 10623 Berlin (Germany); Zabel, H. [Department of Physics, Ruhr-University Bochum, 44780 Bochum (Germany); Ulyanov, S. V. [St.-Petersburg State University, Ul' yanovskaya ul.1, Petrodvorets, St.-Petersburg 198904 (Russian Federation); St.-Petersburg University of Commerce and Economics, St.-Petersburg 194018 (Russian Federation); Romanov, V. P. [St.-Petersburg State University, Ul' yanovskaya ul.1, Petrodvorets, St.-Petersburg 198904 (Russian Federation); Uzdin, V. M. [St.-Petersburg State University, Ul' yanovskaya ul.1, Petrodvorets, St.-Petersburg 198904 (Russian Federation); St.-Petersburg National Research University of Information Technologies, Mechanics and Optics, 49, Kronverkskij, St.-Petersburg 197101 (Russian Federation)

    2014-02-07

    We have fabricated by e-beam lithography periodic arrays of rectangular shaped trenches of different widths into Si substrates. The trenches were filled with iron oxide nanoparticles, 20 nm in diameter, by spin-coating them onto the Si substrate. The trenches have the purpose to assist the self-assembly of the iron oxide nanoparticles. Using x-ray scattering techniques, we have analyzed the structure factor of the trenches before and after filling in order to determine the filling factor. We present a theoretical analysis of the x-ray scattering function within the distorted-wave Born approximation and we present a quantitative comparison between theory and experiment.

  4. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  5. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  6. Infrared observations of the possible X-ray counterpart to the 1992 May 1 gamma-ray burst

    NARCIS (Netherlands)

    Blaes, O; Hurt, T; Antonucci, R; Hurley, K; Smette, A

    1997-01-01

    We present the results of deep infrared imaging in J, H, and K of the quiescent X-ray source located within the 1992 May 1 gamma-ray burst error box. The field is crowded, containing both stars and galaxies, and we discuss the Likelihood that they are associated with the X-ray source. Two objects

  7. Application of high-resolution film for lithography to synchrotron X-ray topography

    International Nuclear Information System (INIS)

    Mizuno, Kaoru; Ito, Kazuyoshi; Iwami, Masayuki; Hashimoto, Eiji; Kino, Takao.

    1994-01-01

    A high-resolution film for lithography is applied to a detector for synchrotron radiation topography, instead of a nuclear plate. The film shows much better resolution than that of the plate although exposure time an about 500 times longer is required. The size distribution of interstitial loops grown as vacancy sources in a nearly perfect aluminum crystal after a temperature rise is examined from the while beam topograph. (author)

  8. Pt thermal atomic layer deposition for silicon x-ray micropore optics.

    Science.gov (United States)

    Takeuchi, Kazuma; Ezoe, Yuichiro; Ishikawa, Kumi; Numazawa, Masaki; Terada, Masaru; Ishi, Daiki; Fujitani, Maiko; Sowa, Mark J; Ohashi, Takaya; Mitsuda, Kazuhisa

    2018-04-20

    We fabricated a silicon micropore optic using deep reactive ion etching and coated by Pt with atomic layer deposition (ALD). We confirmed that a metal/metal oxide bilayer of Al 2 O 3 ∼10  nm and Pt ∼20  nm was successfully deposited on the micropores whose width and depth are 20 μm and 300 μm, respectively. An increase of surface roughness of sidewalls of the micropores was observed with a transmission electron microscope and an atomic force microscope. X-ray reflectivity with an Al Kα line at 1.49 keV before and after the deposition was measured and compared to ray-tracing simulations. The surface roughness of the sidewalls was estimated to increase from 1.6±0.2  nm rms to 2.2±0.2  nm rms. This result is consistent with the microscope measurements. Post annealing of the Pt-coated optic at 1000°C for 2 h showed a sign of reduced surface roughness and better angular resolution. To reduce the surface roughness, possible methods such as the annealing after deposition and a plasma-enhanced ALD are discussed.

  9. Analysis of Ti/Mo film by X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Mou Fangming; Tu Bing; Yao Bing; Liu Jinhua; Long Xinggui

    2002-01-01

    Chemical elements and their electronic binding energy on surface of Ti film and bulk are analyzed by X-ray photoelectron spectroscopy (XPS) and Ar + etching. The results show that the surface of specimens is contaminated by carbon and oxygen. Mo on surface of Ti film is from substrate. The XPS spectra of Ti 2p of the etched specimens are fitted on. The results show that Ti chemical states on surface of Ti film are TiO 2 with a content of approaching to 100% and a little Ti. Some TiO 2 will be reduced to low chemical states with the increasing of etching time. The chemical states of Mo on surface of Ti film are MoO 3 and Mo. The content of Mo increases as etching time increasing. Chemical state of carbon on the surface of film is graphite and carbide with binding energy of 288.2-288.9 eV

  10. The Marshall Grazing Incidence X-ray Spectrometer

    Science.gov (United States)

    Kobayashi, Ken; Winebarger, Amy R.; Savage, Sabrina; Champey, Patrick; Cheimets, Peter N.; Hertz, Edward; Bruccoleri, Alexander R.; Golub, Leon; Ramsey, Brian; Ranganathan, Jaganathan; Marquez, Vanessa; Allured, Ryan; Parker, Theodore; Heilmann, Ralf K.; Schattenburg, Mark L.

    2017-08-01

    The Marshall Grazing Incidence X-ray Spectrometer (MaGIXS) is a NASA sounding rocket instrument designed to obtain spatially resolved soft X-ray spectra of the solar atmosphere in the 6-24 Å (0.5-2.0 keV) range. The instrument consists of a single shell Wolter Type-I telescope, a slit, and a spectrometer comprising a matched pair of grazing incidence parabolic mirrors and a planar varied-line space diffraction grating. The instrument is designed to achieve a 50 mÅ spectral resolution and 5 arcsecond spatial resolution along a +/-4-arcminute long slit, and launch is planned for 2019. We report on the status and our approaches for fabrication and alignment for this novel optical system. The telescope and spectrometer mirrors are replicated nickel shells, and are currently being fabricated at the NASA Marshall Space Flight Center. The diffraction grating is currently under development by the Massachusetts Institute of Technology (MIT); because of the strong line spacing variation across the grating, it will be fabricated through e-beam lithography.

  11. A Deep X-ray Survey of the Globular Cluster Omega Centauri

    Science.gov (United States)

    Henleywillis, Simon; Cool, Adrienne M.; Haggard, Daryl; Heinke, Craig; Callanan, Paul; Zhao, Yue

    2018-03-01

    We identify 233 X-ray sources, of which 95 are new, in a 222 ks exposure of Omega Centauri with the Chandra X-ray Observatory's ACIS-I detector. The limiting unabsorbed flux in the core is fX(0.5-6.0 keV) ≃ 3×10-16 erg s-1 cm-2 (Lx ≃ 1×1030 erg s-1 at 5.2 kpc). We estimate that ˜60 ± 20 of these are cluster members, of which ˜30 lie within the core (rc = 155 arcsec), and another ˜30 between 1-2 core radii. We identify four new optical counterparts, for a total of 45 likely identifications. Probable cluster members include 18 cataclysmic variables (CVs) and CV candidates, one quiescent low-mass X-ray binary, four variable stars, and five stars that are either associated with ω Cen's anomalous red giant branch, or are sub-subgiants. We estimate that the cluster contains 40 ± 10 CVs with Lx > 1031 erg s-1, confirming that CVs are underabundant in ω Cen relative to the field. Intrinsic absorption is required to fit X-ray spectra of six of the nine brightest CVs, suggesting magnetic CVs, or high-inclination systems. Though no radio millisecond pulsars (MSPs) are currently known in ω Cen, more than 30 unidentified sources have luminosities and X-ray colours like those of MSPs found in other globular clusters; these could be responsible for the Fermi-detected gamma-ray emission from the cluster. Finally, we identify a CH star as the counterpart to the second-brightest X-ray source in the cluster and argue that it is a symbiotic star. This is the first such giant/white dwarf binary to be identified in a globular cluster.

  12. THE SPECTACULAR RADIO-NEAR-IR-X-RAY JET OF 3C 111: THE X-RAY EMISSION MECHANISM AND JET KINEMATICS

    Energy Technology Data Exchange (ETDEWEB)

    Clautice, Devon; Perlman, Eric S. [Department of Physics and Space Sciences, Florida Institute of Technology, 150 W. University Boulevard, Melbourne, FL 32901 (United States); Georganopoulos, Markos [Department of Physics, University of Maryland—Baltimore County, 1000 Hilltop Circle, Baltimore, MD 21250 (United States); Lister, Matthew L.; Hogan, Brandon [Department of Physics and Astronomy, Purdue University, 525 Northwestern Avenue, West Lafayette, IN 47907 (United States); Tombesi, Francesco [Department of Astronomy, University of Maryland, College Park, MD 20742-2421 (United States); Cara, Mihai [Space Telescope Science Institute, 3700 San Martin Drive, Baltimore, MD 21218 (United States); Marshall, Herman L. [Kavli Institute for Astrophysics and Space Research, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Kazanas, Demos [NASA’s Goddard Space Flight Center, Astrophysics Science Division, Code 663, Greenbelt, MD 20771 (United States)

    2016-08-01

    Relativistic jets are the most energetic manifestation of the active galactic nucleus (AGN) phenomenon. AGN jets are observed from the radio through gamma-rays and carry copious amounts of matter and energy from the sub-parsec central regions out to the kiloparsec and often megaparsec scale galaxy and cluster environs. While most spatially resolved jets are seen in the radio, an increasing number have been discovered to emit in the optical/near-IR and/or X-ray bands. Here we discuss a spectacular example of this class, the 3C 111 jet, housed in one of the nearest, double-lobed FR II radio galaxies known. We discuss new, deep Chandra and Hubble Space Telescope ( HST ) observations that reveal both near-IR and X-ray emission from several components of the 3C 111 jet, as well as both the northern and southern hotspots. Important differences are seen between the morphologies in the radio, X-ray, and near-IR bands. The long (over 100 kpc on each side), straight nature of this jet makes it an excellent prototype for future, deep observations, as it is one of the longest such features seen in the radio, near-IR/optical, and X-ray bands. Several independent lines of evidence, including the X-ray and broadband spectral shape as well as the implied velocity of the approaching hotspot, lead us to strongly disfavor the EC/CMB model and instead favor a two-component synchrotron model to explain the observed X-ray emission for several jet components. Future observations with NuSTAR , HST , and Chandra will allow us to further constrain the emission mechanisms.

  13. Solar X-rays from Axions: Rest-Mass Dependent Signatures

    CERN Document Server

    Zioutas, Konstantin; Semertzidis, Yannis; Papaevangelou, Thomas; Gardikiotis, Antonios; Dafni, Theopisti; Anastassopoulos, Vassilis

    2010-01-01

    The spectral shape of solar X-rays is a power law. The more active the Sun is, the less steep the distribution. This behaviour can be explained by axion regeneration to X-rays occurring ~400km deep into the photosphere. Their down-comptonization reproduces the measured spectral shape, pointing at axions with rest mass m_a~17 meV/c2, without contradicting astrophysical-laboratory limits. Directly measured soft X-ray spectra from the extremely quiet Sun during 2009 (SphinX mission), though hitherto overlooked, fitt the axion scenario.

  14. X-ray-to-current signal conversion characteristics of trench-structured photodiodes for direct-conversion-type silicon X-ray sensor

    International Nuclear Information System (INIS)

    Ariyoshi, Tetsuya; Funaki, Shota; Sakamoto, Kenji; Baba, Akiyoshi; Arima, Yutaka

    2017-01-01

    To reduce the radiation dose required in medical X-ray diagnoses, we propose a high-sensitivity direct-conversion-type silicon X-ray sensor that uses trench-structured photodiodes. This sensor is advantageous in terms of its long device lifetime, noise immunity, and low power consumption because of its low bias voltage. With this sensor, it is possible to detect X-rays with almost 100% efficiency; sensitivity can therefore be improved by approximately 10 times when compared with conventional indirect-conversion-type sensors. In this study, a test chip was fabricated using a single-poly single-metal 0.35 μm process. The formed trench photodiodes for the X-ray sensor were approximately 170 and 300 μm deep. At a bias voltage of 25 V, the absorbed X-ray-to-current signal conversion efficiencies were 89.3% (theoretical limit; 96.7%) at a trench depth of 170 μm and 91.1% (theoretical limit; 94.3%) at a trench depth of 300 μm. (author)

  15. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  16. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  17. Dry Etching of Copper Phthalocyanine Thin Films: Effects on Morphology and Surface Stoichiometry

    Directory of Open Access Journals (Sweden)

    Michael J. Brett

    2012-08-01

    Full Text Available We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  18. Organization of silicon nanocrystals by localized electrochemical etching

    International Nuclear Information System (INIS)

    Ayari-Kanoun, Asma; Drouin, Dominique; Beauvais, Jacques; Lysenko, Vladimir; Nychyporuk, Tetyana; Souifi, Abdelkader

    2009-01-01

    An approach to form a monolayer of organized silicon nanocrystals on a monocrystalline Si wafer is reported. Ordered arrays of nanoholes in a silicon nitride layer were obtained by combining electron beam lithography and plasma etching. Then, a short electrochemical etching current pulse led to formation of a single Si nanocrystal per each nanohole. As a result, high quality silicon nanocrystal arrays were formed with well controlled and reproducible morphologies. In future, this approach can be used to fabricate single electron devices.

  19. SQCX X-ray Observations Of The Deep Impact Spacecraft Close Encounters With Comets 9P/Tempel 1 And 103P/Hartley 2

    Science.gov (United States)

    Lisse, Carey M.; Dennerl, K.; Wolk, S. J.; Christian, D. J.; Bodewits, D.; Zurbuchen, T. H.; Combi, M.

    2010-03-01

    We present results from the extensive Chandra, SWIFT, Spitzer, and groundbased observing campaigns studying Comet 9P/Tempel 1 in support of NASA's Deep Impact (DI) mission as an indication of the results expected for the next DI flyby of comet 103P/Hartley 2 at 0.1 AU geocentric distance in November 2010. 9P/Tempel 1 was observed for 300 ksec between 30th June and 24th July 2005, and continuously for 60 ksec on July 4th during the impact event. X-ray emission qualitatively similar to that observed for the collisionally thin, cold wind comet 2P/Encke system (Lisse et al. 2005) was found, with emission morphology centered on the nucleus and emission lines due to C, N, O, and Ne solar wind minor ions. The comet was relatively faint on July 4th, and the total increase in x-ray flux due to the Deep Impact excavation was small, 20% of the immediate pre-impact value, consistent with estimates that the total coma neutral gas release due to the impact was 5 x 106 kg ( 10 hrs of normal coma outflow). Over time, other temporally variable spectral features due to changing solar wind flux densities and charge states were clearly seen. Good agreement between the Chandra and SWIFT x-ray photometry was found. Two flares, much stronger than the man-made increase due to Deep Impact, were found in the observed x-rays on June 30th and July 8th, 2005, and are coincident with increases in the solar wind flux arriving at the comet. Modeling of the Chandra data using observed Spitzer gas production rates and ACE solar wind ion fluxes with a SWCX mechanism for the emission was found to be consistent with the temporal- and spectral behavior expected for a slow, hot wind typical of low latitude emission from the solar corona interacting with the comet's neutral coma.

  20. A deep X-ray view of the bare AGN Ark120. IV. XMM-Newton and NuSTAR spectra dominated by two temperature (warm, hot) Comptonization processes

    DEFF Research Database (Denmark)

    Porquet, D.; Reeves, J. N.; Matt, G.

    2018-01-01

    an extensive campaign observing Ark 120 in X-rays with XMM-Newton (4 × 120 ks, 2014 March 18–24), and NuSTAR (65.5 ks, 2014 March 22).Results. During this very deep X-ray campaign, the source was caught in a high-flux state similar to the earlier 2003 XMM-Newton observation, and about twice as bright...

  1. Imaging and etching, soft x-ray microscopy on whole wet cells

    International Nuclear Information System (INIS)

    Gilbert, J.R.; Pine, J.

    1993-01-01

    The authors have produced images of whole wet tissue culture cells with the Stony Brook/BNL scanning transmission x-ray microscope (STXM). For fixed cells the authors have taken images at theoretical resolutions of ∼50-75nm, and in practice have measured FWHM of features down to near 100nm, without any exotic image processing. For unfixed (i.e., initially live) cells the authors have imaged with 100nm pixels and measured features down to 250nm. In order to do this the authors have developed, tested and used a wet cell for maintaining fixed or live cells on the STXM stage during imaging. The design of the wet cell and the culture substrates that go with it make the STXM compatible with almost all standard systems for surface adherent tissue culture. The authors will show some new images of whole wet fixed and unfixed cells, with visible sub-micron features. The authors will also report data that helps to characterize the tissue damage due to x-ray absorption during STXM imaging

  2. X-ray conductivity of ZnSe single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Degoda, V. Ya., E-mail: degoda@univ.kiev.ua; Podust, G. P. [Taras Shevchenko Kyiv National University, Physics Department (Ukraine)

    2016-05-15

    The experimental I–V and current–illuminance characteristics of the X-ray conductivity and X-ray luminescence of zinc-selenide single crystals feature a nonlinear shape. The performed theoretical analysis of the kinetics of the X-ray conductivity shows that even with the presence of shallow and deep traps for free charge carriers in a semiconductor sample, the integral characteristics of the X-ray conductivity (the current–illuminance and I–V dependences) should be linear. It is possible to assume that the nonlinearity experimentally obtained in the I–V and current–illuminance characteristics can be caused by features of the generation of free charge carriers upon X-ray irradiation, i.e., the generation of hundreds of thousands of free charge carriers of opposite sign in a local region with a diameter of <1 μm and Coulomb interaction between the free charge carriers of opposite signs.

  3. X-Ray Topography of the Subsurface Crystal Layers in the Skew Asymmetric Reflection Geometry

    Directory of Open Access Journals (Sweden)

    Swiątek Z.

    2016-12-01

    Full Text Available The technique of X ray topography with the asymmetric reflection geometry of X-ray diffraction presented in this paper as useful tool for structural characterization of materials, particularly, epitaxial thin films and semiconductor multi-layered crystal systems used for the optoelectronic devices. New possibilities of this technique for a layer-by-layer visualization of structural changes in the subsurface crystal layers are demonstrated for semiconductors after various types of surface treatment, such as chemical etching, laser irradiation and ion implantation.

  4. Moth eye-inspired anti-reflective surfaces for improved IR optical systems & visible LEDs fabricated with colloidal lithography and etching.

    Science.gov (United States)

    Chan, Lesley W; Morse, Daniel E; Gordon, Michael J

    2018-05-08

    Near- and sub-wavelength photonic structures are used by numerous organisms (e.g. insects, cephalopods, fish, birds) to create vivid and often dynamically-tunable colors, as well as create, manipulate, or capture light for vision, communication, crypsis, photosynthesis, and defense. This review introduces the physics of moth eye (ME)-like, biomimetic nanostructures and discusses their application to reduce optical losses and improve efficiency of various optoelectronic devices, including photodetectors, photovoltaics, imagers, and light emitting diodes. Light-matter interactions at structured and heterogeneous surfaces over different length scales are discussed, as are the various methods used to create ME-inspired surfaces. Special interest is placed on a simple, scalable, and tunable method, namely colloidal lithography with plasma dry etching, to fabricate ME-inspired nanostructures in a vast suite of materials. Anti-reflective surfaces and coatings for IR devices and enhancing light extraction from visible light emitting diodes are highlighted.

  5. X-ray cluster Abell 744

    International Nuclear Information System (INIS)

    Kurtz, M.J.; Huchra, J.P.; Beers, T.C.; Geller, M.J.; Gioia, I.M.

    1985-01-01

    X-ray and optical observations of the cluster of galaxies Abell 744 are presented. The X-ray flux (assuming H(0) = 100 km/s per Mpc) is about 9 x 10 to the 42nd erg/s. The X-ray source is extended, but shows no other structure. Photographic photometry (in Kron-Cousins R), calibrated by deep CCD frames, is presented for all galaxies brighter than 19th magnitude within 0.75 Mpc of the cluster center. The luminosity function is normal, and the isopleths show little evidence of substructure near the cluster center. The cluster has a dominant central galaxy, which is classified as a normal brightest-cluster elliptical on the basis of its luminosity profile. New redshifts were obtained for 26 galaxies in the vicinity of the cluster center; 20 appear to be cluster members. The spatial distribution of redshifts is peculiar; the dispersion within the 150 kpc core radius is much greater than outside. Abell 744 is similar to the nearby cluster Abell 1060. 31 references

  6. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    Science.gov (United States)

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  7. High brightness--multiple beamlets source for patterned X-ray production

    Science.gov (United States)

    Leung, Ka-Ngo [Hercules, CA; Ji, Qing [Albany, CA; Barletta, William A [Oakland, CA; Jiang, Ximan [El Cerrito, CA; Ji, Lili [Albany, CA

    2009-10-27

    Techniques for controllably directing beamlets to a target substrate are disclosed. The beamlets may be either positive ions or electrons. It has been shown that beamlets may be produced with a diameter of 1 .mu.m, with inter-aperture spacings of 12 .mu.m. An array of such beamlets, may be used for maskless lithography. By step-wise movement of the beamlets relative to the target substrate, individual devices may be directly e-beam written. Ion beams may be directly written as well. Due to the high brightness of the beamlets from extraction from a multicusp source, exposure times for lithographic exposure are thought to be minimized. Alternatively, the beamlets may be electrons striking a high Z material for X-ray production, thereafter collimated to provide patterned X-ray exposures such as those used in CAT scans. Such a device may be used for remote detection of explosives.

  8. [Experimental investigation of laser plasma soft X-ray source with gas target].

    Science.gov (United States)

    Ni, Qi-liang; Gong, Yan; Lin, Jing-quan; Chen, Bo; Cao, Jian-lin

    2003-02-01

    This paper describes a debris-free laser plasma soft X-ray source with a gas target, which has high operating frequency and can produce strong soft X-ray radiation. The valve of this light source is drived by a piezoelectrical ceramic whose operating frequency is up to 400 Hz. In comparison with laser plasma soft X-ray sources using metal target, the light source is debris-free. And it has higher operating frequency than gas target soft X-ray sources whose nozzle is controlled by a solenoid valve. A channel electron multiplier (CEM) operating in analog mode is used to detect the soft X-ray generated by the laser plasma source, and the CEM's output is fed to to a charge-sensitive preamplifier for further amplification purpose. Output charges from the CEM are proportional to the amplitude of the preamplifier's output voltage. Spectra of CO2, Xe and Kr at 8-14 nm wavelength which can be used for soft X-ray projection lithography are measured. The spectrum for CO2 consists of separate spectral lines originate mainly from the transitions in Li-like and Be-like ions. The Xe spectrum originating mainly from 4d-5f, 4d-4f, 4d-6p and 4d-5p transitions in multiply charged xenon ions. The spectrum for Kr consists of separate spectral lines and continuous broad spectra originating mainly from the transitions in Cu-, Ni-, Co- and Fe-like ions.

  9. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics

    KAUST Repository

    Ghoneim, Mohamed T.; Hussain, Muhammad Mustafa

    2017-01-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible

  10. Impact of x-ray dose on track formation and data analysis for CR-39-based proton diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Rinderknecht, H. G., E-mail: rinderknecht1@llnl.gov; Rojas-Herrera, J.; Zylstra, A. B.; Frenje, J. A.; Gatu Johnson, M.; Sio, H.; Sinenian, N.; Rosenberg, M. J.; Li, C. K.; Séguin, F. H.; Petrasso, R. D. [Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Filkins, T.; Steidle, Jessica A.; Traynor, N.; Freeman, C. [State University of New York at Geneseo, Geneseo, New York 14454 (United States); Steidle, Jeffrey A. [Rochester Institute of Technology, Rochester, New York 14623 (United States)

    2015-12-15

    The nuclear track detector CR-39 is used extensively for charged particle diagnosis, in particular proton spectroscopy, at inertial confinement fusion facilities. These detectors can absorb x-ray doses from the experiments in the order of 1–100 Gy, the effects of which are not accounted for in the previous detector calibrations. X-ray dose absorbed in the CR-39 has previously been shown to affect the track size of alpha particles in the detector, primarily due to a measured reduction in the material bulk etch rate [Rojas-Herrera et al., Rev. Sci. Instrum. 86, 033501 (2015)]. Similar to the previous findings for alpha particles, protons with energies in the range 0.5–9.1 MeV are shown to produce tracks that are systematically smaller as a function of the absorbed x-ray dose in the CR-39. The reduction of track size due to x-ray dose is found to diminish with time between exposure and etching if the CR-39 is stored at ambient temperature, and complete recovery is observed after two weeks. The impact of this effect on the analysis of data from existing CR-39-based proton diagnostics on OMEGA and the National Ignition Facility is evaluated and best practices are proposed for cases in which the effect of x rays is significant.

  11. Impact of x-ray dose on track formation and data analysis for CR-39-based proton diagnostics

    International Nuclear Information System (INIS)

    Rinderknecht, H. G.; Rojas-Herrera, J.; Zylstra, A. B.; Frenje, J. A.; Gatu Johnson, M.; Sio, H.; Sinenian, N.; Rosenberg, M. J.; Li, C. K.; Séguin, F. H.; Petrasso, R. D.; Filkins, T.; Steidle, Jessica A.; Traynor, N.; Freeman, C.; Steidle, Jeffrey A.

    2015-01-01

    The nuclear track detector CR-39 is used extensively for charged particle diagnosis, in particular proton spectroscopy, at inertial confinement fusion facilities. These detectors can absorb x-ray doses from the experiments in the order of 1–100 Gy, the effects of which are not accounted for in the previous detector calibrations. X-ray dose absorbed in the CR-39 has previously been shown to affect the track size of alpha particles in the detector, primarily due to a measured reduction in the material bulk etch rate [Rojas-Herrera et al., Rev. Sci. Instrum. 86, 033501 (2015)]. Similar to the previous findings for alpha particles, protons with energies in the range 0.5–9.1 MeV are shown to produce tracks that are systematically smaller as a function of the absorbed x-ray dose in the CR-39. The reduction of track size due to x-ray dose is found to diminish with time between exposure and etching if the CR-39 is stored at ambient temperature, and complete recovery is observed after two weeks. The impact of this effect on the analysis of data from existing CR-39-based proton diagnostics on OMEGA and the National Ignition Facility is evaluated and best practices are proposed for cases in which the effect of x rays is significant

  12. 40 keV Shaped electron beam lithography for LIGA intermediate mask fabrication

    NARCIS (Netherlands)

    Luttge, R.; Adam, D.; Burkhardt, F.; Hoke, F.; Schacke, H.; Schmidt, M.; Wolf, H.; Schmidt, A.

    1999-01-01

    High precision LIGA masks require a soft X-ray pattern transfer from intermediate masks by means of electron beam lithography. Such a process has been realized using an upgraded Leica ZBA 23 machine with an acceleration voltage of 40 kV. Three process variations of the developer system, so called GG

  13. The effect of SF6 addition in a Cl2/Ar inductively coupled plasma for deep titanium etching

    Science.gov (United States)

    Laudrel, E.; Tillocher, T.; Meric, Y.; Lefaucheux, P.; Boutaud, B.; Dussart, R.

    2018-05-01

    Titanium is a material of interest for the biomedical field and more particularly for body implantable devices. Titanium deep etching by plasma was carried out in an inductively coupled plasma with a chlorine-based chemistry for the fabrication of titanium-based microdevices. Bulk titanium etch rate was first studied in Cl2/Ar plasma mixture versus the source power and the self-bias voltage. The plasma was characterized by Langmuir probe and by optical emission spectroscopy. The addition of SF6 in the plasma mixture was investigated. Titanium etch rate was optimized and reached a value of 2.4 µm · min-1. The nickel hard mask selectivity was also enhanced. The etched titanium surface roughness was reduced significantly.

  14. A deep x-ray survey of the Pleiades cluster and the B6-A3 main sequence stars in Orion

    Science.gov (United States)

    Caillault, Jean-Pierre

    1993-01-01

    We have obtained deep ROSAT images of three regions within the Pleiades open cluster. We have detected 317 X-ray sources in these ROSAT PSPC images, 171 of which we associate with certain probable members of the Pleiades cluster. We detect nearly all Pleiades members with spectral types later than G0 and within 25 arcminutes of our three field centers where our sensitivity is highest. This has allowed us to derive for the first time the luminosity function for the G, K, and M dwarfs of an open cluster without the need to use statistical techniques to account for the presence of upper limits in the data sample. Because of our high X-ray detection frequency down to the faint limit of the optical catalog, we suspect that some of our unidentified X-ray sources are previously unknown, very low-mass members of the Pleiades. A large fraction of the Pleiades members detected with ROSAT have published rotational velocities. Plots of L(sub x)/L(sub bol) versus spectroscopic rotational velocity show tightly correlated 'saturation' type relations for stars with (B - V)(sub O) greater than 0.60. For each of several color ranges, X-ray luminosities rise rapidly with increasing rotation rate until v sin i approximately equals 15 km/s, and then remain essentially flat for rotation rates up to at least v sin i approximately equal to 100 km/s. The dispersion in rotation among low-mass stars in the Pleiades is by far the dominant contributor to the dispersion in L(subx) at a given mass. Only about 35 percent of the B.A. and early F stars in the Pleiades are detected as X-ray sources in our survey. There is no correlation between X-ray flux and rotation for these stars. The X-ray luminosity function for the early-type Pleiades stars appears to be bimodal, with only a few exceptions. We either detect these stars at fluxes in the range found for low-mass stars or we derive X-ray limits below the level found for most Pleiades dwarfs. The X-ray spectra for the early-type Pleiades stars

  15. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  16. X-ray Optics for BES Light Source Facilities

    Energy Technology Data Exchange (ETDEWEB)

    Mills, Dennis [Argonne National Lab. (ANL), Argonne, IL (United States); Padmore, Howard [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States); Lessner, Eliane [Dept. of Energy (DOE), Washington DC (United States). Office of Science

    2013-03-27

    Each new generation of synchrotron radiation sources has delivered an increase in average brightness 2 to 3 orders of magnitude over the previous generation. The next evolution toward diffraction-limited storage rings will deliver another 3 orders of magnitude increase. For ultrafast experiments, free electron lasers (FELs) deliver 10 orders of magnitude higher peak brightness than storage rings. Our ability to utilize these ultrabright sources, however, is limited by our ability to focus, monochromate, and manipulate these beams with X-ray optics. X-ray optics technology unfortunately lags behind source technology and limits our ability to maximally utilize even today’s X-ray sources. With ever more powerful X-ray sources on the horizon, a new generation of X-ray optics must be developed that will allow us to fully utilize these beams of unprecedented brightness. The increasing brightness of X-ray sources will enable a new generation of measurements that could have revolutionary impact across a broad area of science, if optical systems necessary for transporting and analyzing X-rays can be perfected. The high coherent flux will facilitate new science utilizing techniques in imaging, dynamics, and ultrahigh-resolution spectroscopy. For example, zone-plate-based hard X-ray microscopes are presently used to look deeply into materials, but today’s resolution and contrast are restricted by limitations of the current lithography used to manufacture nanodiffractive optics. The large penetration length, combined in principle with very high spatial resolution, is an ideal probe of hierarchically ordered mesoscale materials, if zone-plate focusing systems can be improved. Resonant inelastic X-ray scattering (RIXS) probes a wide range of excitations in materials, from charge-transfer processes to the very soft excitations that cause the collective phenomena in correlated electronic systems. However, although RIXS can probe high-energy excitations, the most exciting and

  17. X-ray Optics for BES Light Source Facilities

    International Nuclear Information System (INIS)

    Mills, Dennis; Padmore, Howard; Lessner, Eliane

    2013-01-01

    Each new generation of synchrotron radiation sources has delivered an increase in average brightness 2 to 3 orders of magnitude over the previous generation. The next evolution toward diffraction-limited storage rings will deliver another 3 orders of magnitude increase. For ultrafast experiments, free electron lasers (FELs) deliver 10 orders of magnitude higher peak brightness than storage rings. Our ability to utilize these ultrabright sources, however, is limited by our ability to focus, monochromate, and manipulate these beams with X-ray optics. X-ray optics technology unfortunately lags behind source technology and limits our ability to maximally utilize even today's X-ray sources. With ever more powerful X-ray sources on the horizon, a new generation of X-ray optics must be developed that will allow us to fully utilize these beams of unprecedented brightness. The increasing brightness of X-ray sources will enable a new generation of measurements that could have revolutionary impact across a broad area of science, if optical systems necessary for transporting and analyzing X-rays can be perfected. The high coherent flux will facilitate new science utilizing techniques in imaging, dynamics, and ultrahigh-resolution spectroscopy. For example, zone-plate-based hard X-ray microscopes are presently used to look deeply into materials, but today's resolution and contrast are restricted by limitations of the current lithography used to manufacture nanodiffractive optics. The large penetration length, combined in principle with very high spatial resolution, is an ideal probe of hierarchically ordered mesoscale materials, if zone-plate focusing systems can be improved. Resonant inelastic X-ray scattering (RIXS) probes a wide range of excitations in materials, from charge-transfer processes to the very soft excitations that cause the collective phenomena in correlated electronic systems. However, although RIXS can probe high-energy excitations, the most exciting

  18. The X-Ray Luminosity Functions of Field Low-Mass X-Ray Binaries in Early-Type Galaxies: Evidence for a Stellar Age Dependence

    Science.gov (United States)

    Lehmer, B. D.; Berkeley, M.; Zezas, A.; Alexander, D. M.; Basu-Zych, A.; Bauer, F. E.; Brandt, W. N.; Fragos, T.; Hornschemeier, A. E.; Kalogera, V.; hide

    2014-01-01

    We present direct constraints on how the formation of low-mass X-ray binary (LMXB) populations in galactic fields depends on stellar age. In this pilot study, we utilize Chandra and Hubble Space Telescope (HST) data to detect and characterize the X-ray point source populations of three nearby early-type galaxies: NGC 3115, 3379, and 3384. The luminosity-weighted stellar ages of our sample span approximately equal to 3-10 Gyr. X-ray binary population synthesis models predict that the field LMXBs associated with younger stellar populations should be more numerous and luminous per unit stellar mass than older populations due to the evolution of LMXB donor star masses. Crucially, the combination of deep Chandra and HST observations allows us to test directly this prediction by identifying and removing counterparts to X-ray point sources that are unrelated to the field LMXB populations, including LMXBs that are formed dynamically in globular clusters, Galactic stars, and background AGN/galaxies. We find that the "young" early-type galaxy NGC 3384 (approximately equals 2-5 Gyr) has an excess of luminous field LMXBs (L(sub x) approximately greater than (5-10) × 10(exp 37) erg s(exp -1)) per unit K-band luminosity (L(sub K); a proxy for stellar mass) than the "old" early-type galaxies NGC 3115 and 3379 (approximately equals 8-10 Gyr), which results in a factor of 2-3 excess of L(sub X)/L(sub K) for NGC 3384. This result is consistent with the X-ray binary population synthesis model predictions; however, our small galaxy sample size does not allow us to draw definitive conclusions on the evolution field LMXBs in general. We discuss how future surveys of larger galaxy samples that combine deep Chandra and HST data could provide a powerful new benchmark for calibrating X-ray binary population synthesis models.

  19. Nanostructured 2D cellular materials in silicon by sidewall transfer lithography NEMS

    Science.gov (United States)

    Syms, Richard R. A.; Liu, Dixi; Ahmad, Munir M.

    2017-07-01

    Sidewall transfer lithography (STL) is demonstrated as a method for parallel fabrication of 2D nanostructured cellular solids in single-crystal silicon. The linear mechanical properties of four lattices (perfect and defected diamond; singly and doubly periodic honeycomb) with low effective Young’s moduli and effective Poisson’s ratio ranging from positive to negative are modelled using analytic theory and the matrix stiffness method with an emphasis on boundary effects. The lattices are fabricated with a minimum feature size of 100 nm and an aspect ratio of 40:1 using single- and double-level STL and deep reactive ion etching of bonded silicon-on-insulator. Nanoelectromechanical systems (NEMS) containing cellular materials are used to demonstrate stretching, bending and brittle fracture. Predicted edge effects are observed, theoretical values of Poisson’s ratio are verified and failure patterns are described.

  20. X-ray filter for x-ray powder diffraction

    Science.gov (United States)

    Sinsheimer, John Jay; Conley, Raymond P.; Bouet, Nathalie C. D.; Dooryhee, Eric; Ghose, Sanjit

    2018-01-23

    Technologies are described for apparatus, methods and systems effective for filtering. The filters may comprise a first plate. The first plate may include an x-ray absorbing material and walls defining first slits. The first slits may include arc shaped openings through the first plate. The walls of the first plate may be configured to absorb at least some of first x-rays when the first x-rays are incident on the x-ray absorbing material, and to output second x-rays. The filters may comprise a second plate spaced from the first plate. The second plate may include the x-ray absorbing material and walls defining second slits. The second slits may include arc shaped openings through the second plate. The walls of the second plate may be configured to absorb at least some of second x-rays and to output third x-rays.

  1. Supernova remnants in M33: X-ray properties as observed by XMM-Newton

    Science.gov (United States)

    Garofali, Kristen; Williams, Benjamin F.; Plucinsky, Paul P.; Gaetz, Terrance J.; Wold, Brian; Haberl, Frank; Long, Knox S.; Blair, William P.; Pannuti, Thomas G.; Winkler, P. Frank; Gross, Jacob

    2017-11-01

    We have carried out a study of the X-ray properties of the supernova remnant (SNR) population in M33 with XMM-Newton, comprising deep observations of eight fields in M33 covering all of the area within the D25 contours, and with a typical luminosity of 7.1 × 1034 erg s-1 (0.2-2.0 keV). Here, we report our work to characterize the X-ray properties of the previously identified SNRs in M33, as well as our search for new X-ray detected SNRs. With our deep observations and large field of view we have detected 105 SNRs at the 3σ level, of which 54 SNRs are newly detected in X-rays, and three are newly discovered SNRs. Combining XMM-Newton data with deep Chandra survey data allows detailed spectral fitting of 15 SNRs, for which we have measured temperatures, ionization time-scales and individual abundances. This large sample of SNRs allows us to construct an X-ray luminosity function, and compare its shape to luminosity functions from host galaxies of differing metallicities and star formation rates to look for environmental effects on SNR properties. We conclude that while metallicity may play a role in SNR population characteristics, differing star formation histories on short time-scales, and small-scale environmental effects appear to cause more significant differences between X-ray luminosity distributions. In addition, we analyse the X-ray detectability of SNRs, and find that in M33 SNRs with higher [S II]/H α ratios, as well as those with smaller galactocentric distances, are more detectable in X-rays.

  2. A study on electric properties for pulse laser annealing of ITO film after wet etching

    International Nuclear Information System (INIS)

    Lee, C.J.; Lin, H.K.; Li, C.H.; Chen, L.X.; Lee, C.C.; Wu, C.W.; Huang, J.C.

    2012-01-01

    The electric properties of ITO thin film after UV or IR laser annealing and wet etching was analyzed via grazing incidence in-plane X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectra and residual stress measurement. The laser annealing process readily induced microcracks or quasi-microcracks on the ITO thin film due to the residual tension stress of crystalline phase transformation between irradiated and non-irradiated areas, and these defects then became the preferred sites for a higher etching rate, resulting in discontinuities in the ITO thin film after the wet etching process. The discontinuities in the residual ITO thin film obstruct carrier transmission and further result in electric failure. - Highlights: ► The laser annealing process induces microcracks in InSnO 2 thin films. ► The defects result in higher local etching rate during wet etching. ► These process defects originate from residual tension stress. ► Decreasing the thermal shock is suggested in order to reduce these process defects.

  3. The Evolution of Normal Galaxy X-Ray Emission Through Cosmic History: Constraints from the 6 MS Chandra Deep Field-South

    Science.gov (United States)

    Lehmer, B. D.; Basu-Zych, A. R.; Mineo, S.; Brandt, W. N.; Eurfrasio, R. T.; Fragos, T.; Hornschemeier, A. E.; Lou, B.; Xue, Y. Q.; Bauer, F. E.; hide

    2016-01-01

    We present measurements of the evolution of normal-galaxy X-ray emission from z (is) approx. 0-7 using local galaxies and galaxy samples in the approx. 6 Ms Chandra Deep Field-South (CDF-S) survey. The majority of the CDF-S galaxies are observed at rest-frame energies above 2 keV, where the emission is expected to be dominated by X-ray binary (XRB) populations; however, hot gas is expected to provide small contributions to the observed-frame (is) less than 1 keV emission at z (is) less than 1. We show that a single scaling relation between X-ray luminosity (L(sub x)) and star-formation rate (SFR) literature, is insufficient for characterizing the average X-ray emission at all redshifts. We establish that scaling relations involving not only SFR, but also stellar mass and redshift, provide significantly improved characterizations of the average X-ray emission from normal galaxy populations at z (is) approx. 0-7. We further provide the first empirical constraints on the redshift evolution of X-ray emission from both low-mass XRB (LMXB) and high-mass XRB (HMXB) populations and their scalings with stellar mass and SFR, respectively. We find L2 -10 keV(LMXB)/stellar mass alpha (1+z)(sub 2-3) and L2 -10 keV(HMXB)/SFR alpha (1+z), and show that these relations are consistent with XRB population-synthesis model predictions, which attribute the increase in LMXB and HMXB scaling relations with redshift as being due to declining host galaxy stellar ages and metallicities, respectively. We discuss how emission from XRBs could provide an important source of heating to the intergalactic medium in the early universe, exceeding that of active galactic nuclei.

  4. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  5. X-ray fluorescence imaging with polycapillary X-ray optics

    International Nuclear Information System (INIS)

    Yonehara, Tasuku; Yamaguchi, Makoto; Tsuji, Kouichi

    2010-01-01

    X-ray fluorescence spectrometry imaging is a powerful tool to provide information about the chemical composition and elemental distribution of a specimen. X-ray fluorescence spectrometry images were conventionally obtained by using a μ-X-ray fluorescence spectrometry spectrometer, which requires scanning a sample. Faster X-ray fluorescence spectrometry imaging would be achieved by eliminating the process of sample scanning. Thus, we developed an X-ray fluorescence spectrometry imaging instrument without sample scanning by using polycapillary X-ray optics, which had energy filter characteristics caused by the energy dependence of the total reflection phenomenon. In the present paper, we show that two independent straight polycapillary X-ray optics could be used as an energy filter of X-rays for X-ray fluorescence. Only low energy X-rays were detected when the angle between the two optical axes was increased slightly. Energy-selective X-ray fluorescence spectrometry images with projection mode were taken by using an X-ray CCD camera equipped with two polycapillary optics. It was shown that Fe Kα (6.40 keV) and Cu Kα (8.04 keV) could be discriminated for Fe and Cu foils.

  6. Fabrication and characterization of free-standing, high-line-density transmission gratings for the vacuum UV to soft X-ray range

    NARCIS (Netherlands)

    Goh, S.J.; Bastiaens, Hubertus M.J.; Vratzov, B.; Huang, Qiushi; Bijkerk, Frederik; Boller, Klaus J.

    2015-01-01

    We present state-of-the-art high resolution transmission gratings, applicable for spectroscopy in the vacuum ultraviolet (VUV) and the soft X-ray (SRX) wavelength range, fabricated with a novel process using ultraviolet based nano imprint lithography (UV-NIL). Free-standing, high-line-density

  7. LOBSTER: new space x-ray telescopes

    Science.gov (United States)

    Hudec, R.; Sveda, L.; Pína, L.; Inneman, A.; Semencova, V.; Skulinova, M.

    2017-11-01

    The LOBSTER telescopes are based on the optical arrangement of the lobster eye. The main difference from classical X-ray space telescopes in wide use is the very large field of view while the use of optics results in higher efficiency if compared with detectors without optics. Recent innovative technologies have enabled to design, to develop and to test first prototypes. They will provide deep sensitive survey of the sky in X-rays for the first time which is essential for both long-term monitoring of celestial high-energy sources as well as in understanding transient phenomena. The technology is now ready for applications in space.

  8. Dislocation-free etching figures in crystals of CdxHg1-xTe solid solutions

    International Nuclear Information System (INIS)

    Kholina, E.N.; Khazieva, R.A.; Bursak, S.S.; Nevskij, O.B.; Filatov, A.V.; Popovyan, G.Eh.; Suslov, E.V.; Prijmak, A.N.

    1988-01-01

    Using the method of chemical etching, etching figures formed in areas distributed over Cd x Hg 1-x Te crystal with increased content of impurities consisting of Si,Al,Na,K,Cl,Fe,Ti,Mg and S mainly are revealed. The size of impurity inclusions is >1μm, that is why they cannot be detected either by optical microscopy or local microroentgenospectral analysis. Formation and distribution of impurity inclusions are obviously defined by only the concentration of impurity atoms in the melt. The shape of etching figures does not depend on crystallographical orientation of the crystal-matrix

  9. X-ray Counterparts of Infrared Faint Radio Sources

    Science.gov (United States)

    Schartel, Norbert

    2011-10-01

    Infrared Faint Radio Sources (IFRS) are radio sources with extremely faint or even absent infrared emission in deep Spitzer Surveys. Models of their spectral energy distributions, the ratios of radio to infrared flux densities and their steep radio spectra strongly suggest that IFRS are AGN at high redshifts (2IFRS, but if confirmed, the increased AGN numbers at these redshifts will account for the unresolved part of the X-ray background. The identification of X-ray counterparts of IFRS is considered to be the smoking gun for this hypothesis. We propose to observe 8 IFRS using 30ks pointed observations. X-ray detections of IFRS with different ratios of radio-to-infrared fluxes, will constrain the class-specific SED.

  10. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-02-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible, stretchable, and reconfigurable) electronic systems.

  11. Technology Requirements for a Square Meter, Arcsecond Resolution Telescope for X-Rays: The SMART-X Mission

    Science.gov (United States)

    Schwartz, Daniel A.; Allured, Ryan; Bookbinder, Jay A.; Cotroneo, Vincenzo; Forman, William R.; Freeman, Mark D.; McMuldroch, Stuart; Reid, Paul B.; Tananbaum, Harvey; Vikhlinin, Alexey A.; hide

    2014-01-01

    Addressing the astrophysical problems of the 2020's requires sub-arcsecond x-ray imaging with square meter effective area. Such requirements can be derived, for example, by considering deep x-ray surveys to find the young black holes in the early universe (large redshifts) which will grow into the first super-massive black holes. We have envisioned a mission, the Square Meter Arcsecond Resolution Telescope for X-rays (SMART-X), based on adjustable x-ray optics technology, incorporating mirrors with the required small ratio of mass to collecting area. We are pursuing technology which achieves sub-arcsecond resolution by on-orbit adjustment via thin film piezoelectric "cells" deposited directly on the non-reflecting sides of thin, slumped glass. While SMART-X will also incorporate state-of-the-art x-ray cameras, the remaining spacecraft systems have no requirements more stringent than those which are well understood and proven on the current Chandra X-ray Observatory.

  12. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2017-01-01

    Full Text Available In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions and the etching time on the morphology and surface relief of ultrafine grained (UFG and coarse grained (CG titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM, atomic force microscopy (AFM, and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF and X-ray Photoelectron Spectroscopy (XPS. Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  13. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  14. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    Science.gov (United States)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  15. Pattern Definition with DUV-Lithography at DTU Danchip

    DEFF Research Database (Denmark)

    Keil, Matthias; Khomtchenko, Elena; Nyholt, Henrik

    2014-01-01

    Deep ultra violet (DUV) illumination generated with the help of a KrF laser can be utilized to produce components having sizes of some hundreds of nanometers. This light source with its 248nm wavelength is exploited in the DUV-lithography equipment at DTU Danchip in order to fill the resolution gap...... - as shown in fig. 2 - utilizing the possibility of beam shape variations that enables to adapt the resolution and the depth of focus of the stepper to the requirements of the fabricated device. However, generally the highest achievable resolution is dependent on the pattern type - as e.g. pillar, line...... or hole comprising patterns -, its symmetry and the separations between the different structures. The projection lithography tool FPA-3000EX4 from Canon (max. NA=0,6; 1:5 reduction) produces patterns on the wafer within a maximum chip area of 22x22mm2 that can be stitched together with an accuracy of 3σ...

  16. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  17. X-Ray Absorption with Transmission X-Ray Microscopes

    NARCIS (Netherlands)

    de Groot, F.M.F.

    2016-01-01

    In this section we focus on the use of transmission X-ray microscopy (TXM) to measure the XAS spectra. In the last decade a range of soft X-ray and hard X-ray TXM microscopes have been developed, allowing the measurement of XAS spectra with 10–100 nm resolution. In the hard X-ray range the TXM

  18. Low-temperature plasma etching of high aspect-ratio densely packed 15 to sub-10 nm silicon features derived from PS-PDMS block copolymer patterns

    International Nuclear Information System (INIS)

    Liu, Zuwei; Sassolini, Simone; Olynick, Deirdre L; Gu, Xiaodan; Hwu, Justin

    2014-01-01

    The combination of block copolymer (BCP) lithography and plasma etching offers a gateway to densely packed sub-10 nm features for advanced nanotechnology. Despite the advances in BCP lithography, plasma pattern transfer remains a major challenge. We use controlled and low substrate temperatures during plasma etching of a chromium hard mask and then the underlying substrate as a route to high aspect ratio sub-10 nm silicon features derived from BCP lithography. Siloxane masks were fabricated using poly(styrene-b-siloxane) (PS-PDMS) BCP to create either line-type masks or, with the addition of low molecular weight PS-OH homopolymer, dot-type masks. Temperature control was essential for preventing mask migration and controlling the etched feature’s shape. Vertical silicon wire features (15 nm with feature-to-feature spacing of 26 nm) were etched with aspect ratios up to 17 : 1; higher aspect ratios were limited by the collapse of nanoscale silicon structures. Sub-10 nm fin structures were etched with aspect ratios greater than 10 : 1. Transmission electron microscopy images of the wires reveal a crystalline silicon core with an amorphous surface layer, just slightly thicker than a native oxide. (paper)

  19. Multiple-height microstructure fabricated by deep reactive ion etching and soft resist masks combined with UV curing

    International Nuclear Information System (INIS)

    Sato, R; Sawada, T; Kumagai, S; Sasaki, M

    2014-01-01

    Multiple-height microstructures are realized by deep reactive ion etching and UV-cured photoresist used in the embedded mask process. Although the UV-cured photoresist is a soft mask, its material property becomes stable against resist thinner and UV exposure. A layered resist pattern can be realized by stacking normal photoresist on the UV-cured photoresist. The normal photoresist can be selectively removed by the flush exposure and developing after the first Si etching. This technique is applied to two MEMS devices

  20. Room temperature CVD diamond X-ray and charged particle microdetectors

    CERN Document Server

    Vittone, E; Lo Giudice, A; Polesello, P; Manfredotti, C

    1999-01-01

    Hot filament chemical vapour deposition technique is particularly suitable for the realisation of diamond tip and wire detectors working in a coaxial geometry with a built-in internal metal electrode. By using tungsten wires of different diameters and by controlling the shape of the tip by an electrochemical etch, it is possible to obtain various kinds of microdetectors, with diameters ranging from 50 to 300 mu m. The response of these diamond tip and wire detectors has been tested at low X-ray energies (50-250 keV) and at relatively high energies (6-15 MeV) both in terms of sensitivity (collected charge with respect to the absorbed dose) and of linearity as a function of X-ray fluence. Sensitivities larger than 2 nC/Gy are achieved, with a good linearity in the dose rate range used in applications. Such microprobes have been proved to be suitable as narrow X-ray beam profilers and as surface or in vivo microdosimeters for on-line monitoring of radiotherapy plans. Such detectors have also been used as nuclear...

  1. Determination of the strain status of GaAs/AlAs quantum wires and quantum dots

    NARCIS (Netherlands)

    Darhuber, A.A.; Bauer, G.; Wang, P.D.; Song, Y.P.; Sotomayor Torres, C.M.; Holland, M.C.

    1995-01-01

    We have investigated periodic arrays of 150 and 175 nm wide GaAs-AlAs quantum wires and quantum dots, fabricated by electron beam lithography and SiCI4/O2 reactive ion etching, by means of reciprocal space mapping using triple axis x-ray diffractometry (TAD). The reciprocal space maps reveal that

  2. Optical Design for a Survey X-Ray Telescope

    Science.gov (United States)

    Saha, Timo T.; Zhang, William W.; McClelland, Ryan S.

    2014-01-01

    Optical design trades are underway at the Goddard Space Flight Center to define a telescope for an x-ray survey mission. Top-level science objectives of the mission include the study of x-ray transients, surveying and long-term monitoring of compact objects in nearby galaxies, as well as both deep and wide-field x-ray surveys. In this paper we consider Wolter, Wolter-Schwarzschild, and modified Wolter-Schwarzschild telescope designs as basic building blocks for the tightly nested survey telescope. Design principles and dominating aberrations of individual telescopes and nested telescopes are discussed and we compare the off-axis optical performance at 1.0 KeV and 4.0 KeV across a 1.0-degree full field-of-view.

  3. Direct monitoring of calcium-triggered phase transitions in cubosomes using small-angle X-ray scattering combined with microfluidics

    DEFF Research Database (Denmark)

    Ghazal, Aghiad; Gontsarik, Mark; Kutter, Jörg P.

    2016-01-01

    This article introduces a simple microfluidic device that can be combined with synchrotron small-angle X-ray scattering (SAXS) for monitoring dynamic structural transitions. The microfluidic device is a thiol-ene-based system equipped with 125 µm-thick polystyrene windows, which are suitable for ....... The combination of microfluidics with X-ray techniques can be used for investigating protein unfolding, for monitoring the formation of nanoparticles in real time, and for other biomedical and pharmaceutical investigations.......-ray experiments. The device was prepared by soft lithography using elastomeric molds followed by a simple UV-initiated curing step to polymerize the chip material and simultaneously seal the device with the polystyrene windows. The microfluidic device was successfully used to explore the dynamics...

  4. Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G. F.; Henderson, C. C.; Goldsmith, J. E. M.; Mangat, P. J. S.; Cobb, J.; Hector, S. D.

    1999-01-01

    In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50-90 nm thick) and was subsequently exposed to EUV radiation using a 10x reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO 2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85 degree sign ) sidewalls, employed a HBr/Cl chemistry with a large (>10:1) etch selectivity of polysilicon to silicon dioxide. In the second set of experiments, hard mask films of SiON (50 nm thick) and SiO 2 (87 nm thick) were used. A RIE was performed at Motorola using a halogen gas chemistry that resulted in a hard mask-to-photoresist etch selectivity >3:1 and sidewall profile angles ≥85 degree sign . Line edge roughness (LER) and linewidth critical dimension (CD) measurements were performed using Sandia's GORA(c) CD digital image analysis software. Low LER values (6-9 nm, 3σ, one side) and good CD linearity (better than 10%) were demonstrated for the final pattern-transferred dense polysilicon L/S features from 80 to 175 nm. In addition, pattern transfer (into polysilicon) of loose-pitch (1:2) L/S features with CDs≥60 nm was demonstrated. (c) 1999 American Vacuum Society

  5. Anti-reflection textured structures by wet etching and island lithography for surface-enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Chao, Bo-Kai [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Cheng, Hsin-Hung [Department of Marine Engineering, Taipei College of Maritime Technology, Taipei 11174, Taiwan (China); Nien, Li-Wei; Chen, Miin-Jang [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Nagao, Tadaaki [Center for Materials Nanoarchitectonics, National Institute for Materials Science, Tsukuba, Ibaraki 305-0044 (Japan); Li, Jia-Han [Department of Engineering Science and Ocean Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Hsueh, Chun-Hway, E-mail: hsuehc@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China)

    2015-12-01

    Graphical abstract: - Highlights: • We fabricated textured SERS substrate with a high surface area and low reflectance. • Large surface area of substrate contains more gold nanodroplets to absorb analytes. • Low reflectance of textured SERS substrate enabled multiple reflections of incident laser light. • We obtained strong SERS enhancement from nanopillar-on-pyramid SERS substrate. - Abstract: A high surface area and low reflection textured surface-enhanced Raman scattering (SERS) substrate with plasmonic gold nanodroplets fabricated by wet etching and island lithography was reported in the present study. Specifically, four textured substrates, planar, pyramid, nanopillar, and nanopillar-on-pyramid, were fabricated. The fabricated structures were simulated using the finite-difference time-domain method and the results agreed with the reflection and dark-field scattering measurements. Although the SERS signals varied in different measured regions because of the random nanostructure, the SERS substrates with nanopillar-on-pyramid structure always have the stronger enhancement factor than the SERS substrates with only pyramids or nanopillars. Based on the atomic force microscope and reflection measurements, the nanopillar-on-pyramid structure provided a large surface area and multiple reflections for SERS enhancement, which was about 3 orders of magnitude larger than that of the planar substrate. Our results can be applied to fabricate the inexpensive, large surface area, and high SERS enhancement substrates.

  6. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  7. Technology Requirements For a Square-Meter, Arcsecond-Resolution Telescope for X-Rays: The SMART-X Mission

    Science.gov (United States)

    Schwartz, Daniel A.; Allured, Ryan; Bookbinder, Jay; Cotroneo, Vincenzo; Forman, William; Freeman, Mark; McMuldroch, Stuart; Reid, Paul; Tananbaum, Harvey; Vikhlinin, Alexey; hide

    2014-01-01

    Addressing the astrophysical problems of the 2020's requires sub-arcsecond x-ray imaging with square meter effective area. Such requirements can be derived, for example, by considering deep x-ray surveys to find the young black holes in the early universe (large redshifts) which will grow into the first supermassive black holes. We have envisioned a mission based on adjustable x-ray optics technology, in order to achieve the required reduction of mass to collecting area for the mirrors. We are pursuing technology which effects this adjustment via thin film piezoelectric "cells" deposited directly on the non-reflecting sides of thin, slumped glass. While SMARTX will also incorporate state-of-the-art x-ray cameras, the remaining spacecraft systems have no more stringent requirements than those which are well understood and proven on the current Chandra X-ray Observatory.

  8. X-ray Microprobe for Fluorescence and Diffraction Analysis

    International Nuclear Information System (INIS)

    Ice, G.E.

    2005-01-01

    X-ray diffraction (see unit 1.1) and x-ray excited fluorescence analysis are powerful techniques for the nondestructive measurement of crystal structure and chemical composition. X-ray fluorescence analysis is inherently nondestructive with orders of magnitude lower power deposited for the same detectable limit as with fluorescence excited by charged particle probes (Sparks, 1980). X-ray diffraction analysis is sensitive to crystal structure with orders-of-magnitude greater sensitivity to crystallographic strain than electron probes (Rebonato, et al. 1989). When a small-area x-ray microbeam is used as the probe, chemical composition (Z>14), crystal structure, crystalline texture, and crystalline strain distributions can be determined. These distributions can be studied both at the surface of the sample and deep within the sample (Fig. 1). Current state-of-the-art can achieve an ∼1 mm-D x-ray microprobe and an ∼0.1 mm-D x-ray microprobe has been demonstrated (Bilderback, et al., 1994). Despite their great chemical and crystallographic sensitivities, x-ray microprobe techniques have until recently been restricted by inefficient x-ray focusing optics and weak x-ray sources; x-ray microbeam analysis was largely superseded by electron techniques in the 50's. However, interest in x-ray microprobe techniques has now been revived (Howells, et al., 1983; Ice and Sparks, 1984; Chevallier, et al., 1997; Riekel 1992; Thompson, el al., 1992; and Making and Using... 1997) by the development of efficient x-ray focusing optics and ultra-high intensity synchrotron x-ray sources (Buras and Tazzari, 1984; Shenoy, et al., 1988). These advances have increased the achievable microbeam flux by more than 11 orders of magnitude (Fig. 2) (Ice, 1997); the flux in a tunable 1 mm-D beam on a 'so called' 3rd-generation synchrotron source such as the APS can exceed the flux in a fixed-energy mm2 beam on a conventional source. These advances make x-ray microfluorescence and x-ray

  9. High rate dry etching of InGaZnO by BCl3/O2 plasma

    Science.gov (United States)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  10. Pramana – Journal of Physics | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Home; Journals; Pramana – Journal of Physics. M K Tiwari. Articles written in Pramana – Journal of Physics. Volume 83 Issue 1 July 2014 pp 119-129. Microfocussing of synchrotron X-rays using X-ray refractive lens developed at Indus-2 deep X-ray lithography beamline · V P Dhamgaye M K Tiwari K J S Sawhney G S ...

  11. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    Science.gov (United States)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  12. X-ray Multilayers and Thin-Shell Substrate Surface-Figure Correction

    Science.gov (United States)

    Windt, David

    We propose a comprehensive experimental research program whose two main goals are (a) to improve the performance of hard X-ray multilayer coatings and (b) to develop a high-throughput method to correct mid-frequency surface errors in thin-shell mirror substrates. Achieving these goals will enable the cost-effective construction of light- weight, highly-nested X-ray telescopes having greater observational sensitivity, wider energy coverage, and higher angular resolution than can be achieved at present. The realization of this technology will thus benefit the development of a variety of Explorer- class NASA X-ray astronomy missions now being formulated for both the soft and hard X-ray bands, and will enable the construction of future facility-class X-ray missions that will require both high sensitivity and high resolution. Building on the success of our previous APRA-funded research, we plan to investigate new thin-film growth techniques, new materials, and new aperiodic coating designs in order to develop new hard X-ray multilayers that have higher X-ray reflectance, wider energy response, lower film stress, and good stability, and that can be produced more quickly, at reduced cost. Additionally, we propose to build upon our extensive experience in sub-nm film-thickness control using velocity modulation and masked deposition techniques, and in the recent development of low-roughness, low-stress films grown by reactive sputtering, in order to develop new methods for correcting mid-frequency surface errors in thin-shell mirror substrates using both differential deposition and ion-beam figuring, either alone or in combination. These two surface-correction techniques already being used for sub-nm figuring of precision optics in a variety of disciplines, including diffraction-limited EUV lithography and synchrotron applications requiring sub-micron focusing are ideally suited for controlling mm-scale surface errors in the thin-shell substrates used for astronomical X-ray

  13. X-ray scattering in giant magneto-resistive multilayers

    International Nuclear Information System (INIS)

    Fulthorpe, B.D.

    1999-01-01

    The scattering mechanisms responsible for Giant Magneto-Resistance (GMR) in magnetic multilayers are believed to be related to many aspects of the multilayer structure. X-ray scattering techniques provide a powerful method with which to study the bulk and interface morphology in these systems, and are therefore crucial in developing an understanding of the dominant factors influencing the magnitude of the GMR. Reflectivity measurements performed on a series of Co/Cu multilayers, sputter deposited onto etched silicon, reveal no variation in the interface roughness with etching voltage, the thickness of the individual layers also remaining constant. The observed decrease in the GMR cannot, therefore, be attributed to variations in spacer thickness or interfacial spin-independent scattering. Electron and X-ray Diffraction measurements suggest the reduction in GMR is due to a loss of antiferromagnetic coupling associated with a transformation of the texture from a randomly oriented to well oriented (111) polycrystalline texture, and subsequent reduction in the volume fraction of (100) oriented grains. Interfaces within Co/Cu are found to propagate with a high degree of conformality with increasing bilayer number, with an out-of-plane correlation length well in excess of 300A. In contrast, the Co/Pt system exhibits a limiting out-of-plane correlation length of the order of 350A arising from a columnar growth mode. X-ray Reflectivity and Diffraction measurements provide' no structural interpretation for the 3-fold enhancement in the rate of increase of the saturation conductivity, as a function of spacer thickness, in Fe/Au (100) compared to Fe/Au (111), or why large oscillations in the GMR occur for the (100) orientation only. Such observations are, however, consistent with the existence of a channelling mechanism in Fe/Au (100). Grazing Incidence Fluorescence data indicates that Nb acts as a surfactant in Fe/Au (111) growth on sapphire. The influence of different

  14. X-Rays from NGC 3256: High-Energy Emission in Starburst Galaxies and Their Contribution to the Cosmic X-Ray Background

    International Nuclear Information System (INIS)

    Moran, Edward C.; Lehnert, Matthew D.; Helfand, David J.

    1999-01-01

    The infrared-luminous galaxy NGC 3256 is a classic example of a merger-induced nuclear starburst system. We find here that it is the most X-ray-luminous star-forming galaxy yet detected (L 0.5-10keV =1.6x10 42 ergs s-1). Long-slit optical spectroscopy and a deep, high-resolution ROSAT X-ray image show that the starburst is driving a ''superwind'' which accounts for ∼20% of the observed soft X-ray emission. Analysis of X-ray spectral data from ASCA indicates this gas has a characteristic temperature of kT≅0.3 keV. Our model for the broadband X-ray emission of NGC 3256 contains two additional components: a warm thermal plasma (kT≅0.8 keV) associated with the central starburst, and a hard power-law component with an energy index of α X ≅0.7. We discuss the energy budget for the two thermal plasmas and find that the input of mechanical energy from the starburst is more than sufficient to sustain the observed level of emission. We also examine possible origins for the power-law component, concluding that neither a buried AGN nor the expected population of high-mass X-ray binaries can account for this emission. Inverse Compton scattering, involving the galaxy's copious flux of infrared photons and the relativistic electrons produced by supernovae, is likely to make a substantial contribution to the hard X-ray flux. Such a model is consistent with the observed radio and IR fluxes and the radio and X-ray spectral indices. We explore the role of X-ray-luminous starbursts in the production of the cosmic X-ray background radiation. The number counts and spectral index distribution of the faint radio source population, thought to be dominated by star-forming galaxies, suggest that a significant fraction of the hard X-ray background could arise from starbursts at moderate redshift. (c) (c) 1999. The American Astronomical Society

  15. Integration, gap formation, and sharpening of III-V heterostructure nanowires by selective etching

    DEFF Research Database (Denmark)

    Kallesoe, C.; Mølhave, Kristian; Larsen, K. F.

    2010-01-01

    Epitaxial growth of heterostructure nanowires allows for the definition of narrow sections with specific semiconductor composition. The authors demonstrate how postgrowth engineering of III-V heterostructure nanowires using selective etching can form gaps, sharpening of tips, and thin sections...... lithography is used for deposition of catalyst particles on trench sidewalls and the lateral growth of III-V nanowires is achieved from such catalysts. The selectivity of a bromine-based etch on gallium arsenide segments in gallium phosphide nanowires is examined, using a hydrochloride etch to remove the III...

  16. Edgeless silicon sensors for Medipix-based large-area X-ray imaging detectors

    International Nuclear Information System (INIS)

    Bosma, M J; Visser, J; Koffeman, E N; Evrard, O; De Moor, P; De Munck, K; Tezcan, D Sabuncuoglu

    2011-01-01

    Some X-ray imaging applications demand sensitive areas exceeding the active area of a single sensor. This requires a seamless tessellation of multiple detector modules with edgeless sensors. Our research is aimed at minimising the insensitive periphery that isolates the active area from the edge. Reduction of the edge-defect induced charge injection, caused by the deleterious effects of dicing, is an important step. We report on the electrical characterisation of 300 μm thick edgeless silicon p + -ν-n + diodes, diced using deep reactive ion etching. Sensors with both n-type and p-type stop rings were fabricated in various edge topologies. Leakage currents in the active area are compared with those of sensors with a conventional design. As expected, we observe an inverse correlation between leakage-current density and both the edge distance and stop-ring width. From this correlation we determine a minimum acceptable edge distance of 50 μm. We also conclude that structures with a p-type stop ring show lower leakage currents and higher breakdown voltages than the ones with an n-type stop ring.

  17. X-ray astronomy

    International Nuclear Information System (INIS)

    Giacconi, R.; Gursky, H.

    1974-01-01

    This text contains ten chapters and three appendices. Following an introduction, chapters two through five deal with observational techniques, mechanisms for the production of x rays in a cosmic setting, the x-ray sky and solar x-ray emission. Chapters six through ten include compact x-ray sources, supernova remnants, the interstellar medium, extragalactic x-ray sources and the cosmic x-ray background. Interactions of x rays with matter, units and conversion factors and a catalog of x-ray sources comprise the three appendices. (U.S.)

  18. Charge storage in mesoscopic graphitic islands fabricated using AFM bias lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kurra, Narendra; Basavaraja, S; Kulkarni, G U [Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur PO, Bangalore 560 064 (India); Prakash, Gyan; Fisher, Timothy S; Reifenberger, Ronald G, E-mail: kulkarni@jncasr.ac.in, E-mail: reifenbr@purdue.edu [Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States)

    2011-06-17

    Electrochemical oxidation and etching of highly oriented pyrolytic graphite (HOPG) has been achieved using biased atomic force microscopy (AFM) lithography, allowing patterns of varying complexity to be written into the top layers of HOPG. The graphitic oxidation process and the trench geometry after writing were monitored using intermittent contact mode AFM. Electrostatic force microscopy reveals that the isolated mesoscopic islands formed during the AFM lithography process become positively charged, suggesting that they are laterally isolated from the surrounding HOPG substrate. The electrical transport studies of these laterally isolated finite-layer graphitic islands enable detailed characterization of electrical conduction along the c-direction and reveal an unexpected stability of the charged state. Utilizing conducting-atomic force microscopy, the measured I(V) characteristics revealed significant non-linearities. Micro-Raman studies confirm the presence of oxy functional groups formed during the lithography process.

  19. High-Resolution X-ray Emission and X-ray Absorption Spectroscopy

    NARCIS (Netherlands)

    Groot, F.M.F. de

    2000-01-01

    In this review, high-resolution X-ray emission and X-ray absorption spectroscopy will be discussed. The focus is on the 3d transition-metal systems. To understand high-resolution X-ray emission and reso-nant X-ray emission, it is first necessary to spend some time discussing the X-ray absorption

  20. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  1. Flash X-ray

    International Nuclear Information System (INIS)

    Sato, Eiichi

    2003-01-01

    Generation of quasi-monochromatic X-ray by production of weakly ionized line plasma (flash X-ray), high-speed imaging by the X-ray and high-contrast imaging by the characteristic X-ray absorption are described. The equipment for the X-ray is consisted from the high-voltage power supply and condenser, turbo molecular pump, and plasma X-ray tube. The tube has a long linear anticathode to produce the line plasma and flash X-ray at 20 kA current at maximum. X-ray spectrum is measured by the imaging plate equipped in the computed radiography system after diffracted by a LiF single crystal bender. Cu anticathode generates sharp peaks of K X-ray series. The tissue images are presented for vertebra, rabbit ear and heart, and dog heart by X-ray fluoroscopy with Ce anticathode. Generation of K-orbit characteristic X-ray with extremely low bremsstrahung is to be attempted for medical use. (N.I.)

  2. Microstructures for high-energy x-ray and particle-imaging applications

    International Nuclear Information System (INIS)

    Ceglio, N.M.; Stone, G.F.; Hawryluk, A.M.

    1981-05-01

    Coded imaging techniques using thick, micro-Fresnel zone plates as coded apertures have been used to image x-ray emissions (2-20 keV) and 3.5 MeV Alpha particle emissions from laser driven micro-implosions. Image resolution in these experiments was 3-8 μm. Extension of this coded imaging capability to higher energy x-rays (approx. 100 keV) and more penetrating charged particles (e.g. approx. 15 MeV protons) requires the fabrication of very thick (50-200 μm), high aspect ratio (10:1), gold Fresnel zone plates with narrow linewidths (5-25 μm) for use as coded aperatures. A reactive ion etch technique in oxygen has been used to produce thick zone plate patterns in polymer films. The polymer patterns serve as electroplating molds for the subsequent fabrication of the free-standing gold zone plate structures

  3. Formation and Evolution of X-ray Binaries

    Science.gov (United States)

    Fragkos, Anastasios

    X-ray binaries - mass-transferring binary stellar systems with compact object accretors - are unique astrophysical laboratories. They carry information about many complex physical processes such as star formation, compact object formation, and evolution of interacting binaries. My thesis work involves the study of the formation and evolution of Galactic and extra-galacticX-ray binaries using both detailed and realistic simulation tools, and population synthesis techniques. I applied an innovative analysis method that allows the reconstruction of the full evolutionary history of known black hole X-ray binaries back to the time of compact object formation. This analysis takes into account all the available observationally determined properties of a system, and models in detail four of its evolutionary evolutionary phases: mass transfer through the ongoing X-ray phase, tidal evolution before the onset of Roche-lobe overflow, motion through the Galactic potential after the formation of the black hole, and binary orbital dynamics at the time of core collapse. Motivated by deep extra-galactic Chandra survey observations, I worked on population synthesis models of low-mass X-ray binaries in the two elliptical galaxies NGC3379 and NGC4278. These simulations were targeted at understanding the origin of the shape and normalization of the observed X-ray luminosity functions. In a follow up study, I proposed a physically motivated prescription for the modeling of transient neutron star low-mass X-ray binary properties, such as duty cycle, outburst duration and recurrence time. This prescription enabled the direct comparison of transient low-mass X-ray binary population synthesis models to the Chandra X-ray survey of the two ellipticals NGC3379 and NGC4278. Finally, I worked on population synthesismodels of black holeX-ray binaries in the MilkyWay. This work was motivated by recent developments in observational techniques for the measurement of black hole spin magnitudes in

  4. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  5. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics.

    Science.gov (United States)

    Ghoneim, Mohamed Tarek; Hussain, Muhammad Mustafa

    2017-04-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible, stretchable, and reconfigurable) electronic systems. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. SOFT X-RAY TEMPERATURE TIDAL DISRUPTION EVENTS FROM STARS ON DEEP PLUNGING ORBITS

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Lixin; McKinney, Jonathan C.; Miller, M. Coleman, E-mail: cosimo@umd.edu [Department of Astronomy and Joint Space-Science Institute, University of Maryland, College Park, MD 20742 (United States)

    2015-10-20

    One of the puzzles associated with tidal disruption event candidates (TDEs) is that there is a dichotomy between the color temperatures of a few × 10{sup 4} K for TDEs discovered with optical and UV telescopes and the color temperatures of a few × 10{sup 5}–10{sup 6} K for TDEs discovered with X-ray satellites. Here, we propose that high-temperature TDEs are produced when the tidal debris of a disrupted star self-intersects relatively close to the supermassive black hole, in contrast to the more distant self-intersection that leads to lower color temperatures. In particular, we note from simple ballistic considerations that greater apsidal precession in an orbit is the key to closer self-intersection. Thus, larger values of β, the ratio of the tidal radius to the pericenter distance of the initial orbit, are more likely to lead to higher temperatures of more compact disks that are super-Eddington and geometrically and optically thick. For a given star and β, apsidal precession also increases for larger black hole masses, but larger black hole masses imply a lower temperature at the Eddington luminosity. Thus, the expected dependence of the temperature on the mass of the black hole is non-monotonic. We find that in order to produce a soft X-ray temperature TDE, a deep plunging stellar orbit with β > 3 is needed and a black hole mass of ≲5 × 10{sup 6}M{sub ⊙} is favored. Although observations of TDEs are comparatively scarce and are likely dominated by selection effects, it is encouraging that both expectations are consistent with current data.

  7. X-Ray

    Science.gov (United States)

    ... enema. What you can expect During the X-ray X-rays are performed at doctors' offices, dentists' offices, ... as those using a contrast medium. Your child's X-ray Restraints or other techniques may be used to ...

  8. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  9. SYMBIOTIC STARS IN X-RAYS. III. SUZAKU OBSERVATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Nuñez, N. E. [Instituto de Ciencias Astronómicas de la Tierra y del Espacio (ICATE-UNSJ, CONICET), Av. España (S) 1512, J5402DSP, San Juan (Argentina); Nelson, T. [Minnesota Institute for Astrophysics, University of Minnesota, Minneapolis, MN, 55455 (United States); Mukai, K. [CRESST and X-ray Astrophysics Laboratory, (NASA/GSFC), Greenbelt, MD 20 771, USA. (United States); Sokoloski, J. L. [Columbia Astrophysics Lab, 550 W120th St., 1027 Pupin Hall, MC 5247 Columbia University, 10027, New York (United States); Luna, G. J. M., E-mail: nnunez@icate-conicet.gov.ar [Instituto de Astronomía y Física del Espacio (IAFE, CONICET-UBA), Av. Inte. Güiraldes 2620, C1428ZAA, Buenos Aires (Argentina)

    2016-06-10

    We describe the X-ray emission as observed by Suzaku from five symbiotic stars that we selected for deep Suzaku observations after their initial detection with ROSAT, ASCA , and Swift . We find that the X-ray spectra of all five sources can be adequately fit with absorbed optically thin thermal plasma models, with either single- or multi-temperature plasmas. These models are compatible with the X-ray emission originating in the boundary layer between an accretion disk and a white dwarf. The high plasma temperatures of kT > 3 keV for all five targets were greater than expected for colliding winds. Based on these high temperatures as well as previous measurements of UV variability and UV luminosity and the large amplitude of X-ray flickering in 4 Dra, we conclude that all five sources are accretion-powered through predominantly optically thick boundary layers. Our X-ray data allow us to observe a small optically thin portion of the emission from these boundary layers. Given the time between previous observations and these observations, we find that the intrinsic X-ray flux and the intervening absorbing column can vary by factors of three or more on a timescale of years. However, the location of the absorber and the relationship between changes in accretion rate and absorption are still elusive.

  10. SYMBIOTIC STARS IN X-RAYS. III. SUZAKU OBSERVATIONS

    International Nuclear Information System (INIS)

    Nuñez, N. E.; Nelson, T.; Mukai, K.; Sokoloski, J. L.; Luna, G. J. M.

    2016-01-01

    We describe the X-ray emission as observed by Suzaku from five symbiotic stars that we selected for deep Suzaku observations after their initial detection with ROSAT, ASCA , and Swift . We find that the X-ray spectra of all five sources can be adequately fit with absorbed optically thin thermal plasma models, with either single- or multi-temperature plasmas. These models are compatible with the X-ray emission originating in the boundary layer between an accretion disk and a white dwarf. The high plasma temperatures of kT > 3 keV for all five targets were greater than expected for colliding winds. Based on these high temperatures as well as previous measurements of UV variability and UV luminosity and the large amplitude of X-ray flickering in 4 Dra, we conclude that all five sources are accretion-powered through predominantly optically thick boundary layers. Our X-ray data allow us to observe a small optically thin portion of the emission from these boundary layers. Given the time between previous observations and these observations, we find that the intrinsic X-ray flux and the intervening absorbing column can vary by factors of three or more on a timescale of years. However, the location of the absorber and the relationship between changes in accretion rate and absorption are still elusive.

  11. Nano-porous Material with Spherical or Gyroid Cavities Created by Quantitative Etching of Polydimethylsiloxane in Polystyrene-Polydimethylsiloxane Block Copolymers

    DEFF Research Database (Denmark)

    Ndoni, Sokol; Vigild, Martin Etchells; Berg, Rolf H.

    2003-01-01

    A new method for quantitative etching of the poly(dimethylsiloxane) block in polystyrene-poly(dimethylsiloxane) (PS-PDMS) block copolymers is reported. Reacting the block copolymer with anhydrous hydrogen fluoride renders a nanoporous material (NPM) with the remaining glassy PS maintaining...... the original bulk morphology. 1H NMR, mass difference, size exclusion chromatography, and X-ray photoelectron spectroscopy were used to characterize the materials before and after etching. NPMs containing spherical and gyroid cavities were prepared, as ascertained by small-angle X-ray scattering...

  12. A compact soft X-ray microscope using an electrode-less Z-pinch source

    Science.gov (United States)

    Horne, S. F.; Silterra, J.; Holber, W.

    2009-09-01

    Soft X-rays (medical interest both for imaging and microdosimetry applications. X-ray sources at this low energy present a technological challenge. Synchrotrons, while very powerful and flexible, are enormously expensive national research facilities. Conventional X-ray sources based on electron bombardment can be compact and inexpensive, but low x-ray production efficiencies at low electron energies restrict this approach to very low power applications. Laser-based sources tend to be expensive and unreliable. Energetiq Technology, Inc. (Woburn, MA, USA) markets a 92 eV, 10W(2pi sr) electrode-less Z-pinch source developed for advanced semiconductor lithography. A modified version of this commercial product has produced 400 mW at 430 eV (2pi sr), appropriate for water window soft X-ray microscopy. The US NIH has funded Energetiq to design and construct a demonstration microscope using this source, coupled to a condenser optic, as the illumination system. The design of the condenser optic matches the unique characteristics of the source to the illumination requirements of the microscope, which is otherwise a conventional design. A separate program is underway to develop a microbeam system, in conjunction with the RARAF facility at Columbia University, NY, USA. The objective is to develop a focused, sub-micron beam capable of delivering > 1 Gy/second to the nucleus of a living cell. While most facilities of this type are coupled to a large and expensive particle accelerator, the Z-pinch X-ray source enables a compact, stand-alone design suitable to a small laboratory. The major technical issues in this system involve development of suitable focusing X-ray optics. Current status of these programs will be reported. (Supported by NIH grants 5R44RR022488-03 and 5R44RR023753-03)

  13. X-ray micro-modulated luminescence tomography (XMLT)

    Science.gov (United States)

    Cong, Wenxiang; Liu, Fenglin; Wang, Chao; Wang, Ge

    2014-01-01

    Imaging depth of optical microscopy has been fundamentally limited to millimeter or sub-millimeter due to strong scattering of light in a biological sample. X-ray microscopy can resolve spatial details of few microns deep inside a sample but contrast resolution is inadequate to depict heterogeneous features at cellular or sub-cellular levels. To enhance and enrich biological contrast at large imaging depth, various nanoparticles are introduced and become essential to basic research and molecular medicine. Nanoparticles can be functionalized as imaging probes, similar to fluorescent and bioluminescent proteins. LiGa5O8:Cr3+ nanoparticles were recently synthesized to facilitate luminescence energy storage with x-ray pre-excitation and subsequently stimulated luminescence emission by visible/near-infrared (NIR) light. In this paper, we propose an x-ray micro-modulated luminescence tomography (XMLT, or MLT to be more general) approach to quantify a nanophosphor distribution in a thick biological sample with high resolution. Our numerical simulation studies demonstrate the feasibility of the proposed approach. PMID:24663898

  14. Synchrotron x-ray microbeam characteristics for x-ray fluorescence analysis

    International Nuclear Information System (INIS)

    Iida, Atsuo; Noma, Takashi

    1995-01-01

    X-ray fluorescence analysis using a synchrotron x-ray microprobe has become an indispensable technique for non-destructive micro-analysis. One of the most important parameters that characterize the x-ray microbeam system for x-ray fluorescence analysis is the beam size. For practical analysis, however, the photon flux, the energy resolution and the available energy range are also crucial. Three types of x-ray microbeam systems, including monochromatic and continuum excitation systems, were compared with reference to the sensitivity, the minimum detection limit and the applicability to various types of x-ray spectroscopic analysis. 16 refs., 5 figs

  15. Eliminating dependence of hole depth on aspect ratio by forming ammonium bromide during plasma etching of deep holes in silicon nitride and silicon dioxide

    Science.gov (United States)

    Iwase, Taku; Yokogawa, Kenetsu; Mori, Masahito

    2018-06-01

    The reaction mechanism during etching to fabricate deep holes in SiN/SiO2 stacks by using a HBr/N2/fluorocarbon-based gas plasma was investigated. To etch SiN and SiO2 films simultaneously, HBr/fluorocarbon gas mixture ratio was controlled to achieve etching selectivity closest to one. Deep holes were formed in the SiN/SiO2 stacks by one-step etching at several temperatures. The surface composition of the cross section of the holes was analyzed by time-of-flight secondary-ion mass spectrometry. It was found that bromine ions (considered to be derived from NH4Br) were detected throughout the holes in the case of low-temperature etching. It was also found that the dependence of hole depth on aspect ratio decreases as temperature decreases, and it becomes significantly weaker at a substrate temperature of 20 °C. It is therefore concluded that the formation of NH4Br supplies the SiN/SiO2 etchant to the bottom of the holes. Such a finding will make it possible to alleviate the decrease in etching rate due to a high aspect ratio.

  16. Suitability of N2 plasma for the RIE etching of thin Ag layers

    International Nuclear Information System (INIS)

    Hrkut, P.; Matay, L.; Kostic, I.; Bencurova, A.; Konecnikova, A.; Nemec, P.; Andok, R.; Hacsik, S.

    2013-01-01

    Silver layers of 48 nm thickness were evaporated using EB PVD on Si wafers. The masking resist layers were spin-coated and patterned by the EBDW lithography on the ZBA 21 (20 keV) (Carl-Zeiss, Jena; currently Vistec, Ltd.) variable shaped e-beam pattern generator in II SAS. In order to check the etching process in N 2 , we covered a part of the samples containing Ag with a layer of various resists. The samples were dried on a hot-plate and RIE etched in SCM 600 (1 Pa; 20 sccm; 500 W). After 8 minutes the non-masked Ag layer was completely etched away, what testified suitability of N 2 as an etching gas. Also the etch time of 4 minutes showed to be sufficient for etching through the Ag layer. In order to optimize the etching process it was necessary to estimate the etch-rate (E.R.) of suitable resist layers and of the silver layer. The (authors)

  17. Novel real-time tumor-contouring method using deep learning to prevent mistracking in X-ray fluoroscopy.

    Science.gov (United States)

    Terunuma, Toshiyuki; Tokui, Aoi; Sakae, Takeji

    2018-03-01

    Robustness to obstacles is the most important factor necessary to achieve accurate tumor tracking without fiducial markers. Some high-density structures, such as bone, are enhanced on X-ray fluoroscopic images, which cause tumor mistracking. Tumor tracking should be performed by controlling "importance recognition": the understanding that soft-tissue is an important tracking feature and bone structure is unimportant. We propose a new real-time tumor-contouring method that uses deep learning with importance recognition control. The novelty of the proposed method is the combination of the devised random overlay method and supervised deep learning to induce the recognition of structures in tumor contouring as important or unimportant. This method can be used for tumor contouring because it uses deep learning to perform image segmentation. Our results from a simulated fluoroscopy model showed accurate tracking of a low-visibility tumor with an error of approximately 1 mm, even if enhanced bone structure acted as an obstacle. A high similarity of approximately 0.95 on the Jaccard index was observed between the segmented and ground truth tumor regions. A short processing time of 25 ms was achieved. The results of this simulated fluoroscopy model support the feasibility of robust real-time tumor contouring with fluoroscopy. Further studies using clinical fluoroscopy are highly anticipated.

  18. X-ray sky

    International Nuclear Information System (INIS)

    Gruen, M.; Koubsky, P.

    1977-01-01

    The history is described of the discoveries of X-ray sources in the sky. The individual X-ray detectors are described in more detail, i.e., gas counters, scintillation detectors, semiconductor detectors, and the principles of X-ray spectrometry and of radiation collimation aimed at increased resolution are discussed. Currently, over 200 celestial X-ray sources are known. Some were identified as nebulae, in some pulsations were found or the source was identified as a binary star. X-ray bursts of novae were also observed. The X-ray radiation is briefly mentioned of spherical star clusters and of extragalactic X-ray sources. (Oy)

  19. A novel x-ray circularly polarized ranging method

    Science.gov (United States)

    Song, Shi-Bin; Xu, Lu-Ping; Zhang, Hua; Gao, Na; Shen, Yang-He

    2015-05-01

    Range measurement has found multiple applications in deep space missions. With more and further deep space exploration activities happening now and in the future, the requirement for range measurement has risen. In view of the future ranging requirement, a novel x-ray polarized ranging method based on the circular polarization modulation is proposed, termed as x-ray circularly polarized ranging (XCPolR). XCPolR utilizes the circular polarization modulation to process x-ray signals and the ranging information is conveyed by the circular polarization states. As the circular polarization states present good stability in space propagation and x-ray detectors have light weight and low power consumption, XCPolR shows great potential in the long-distance range measurement and provides an option for future deep space ranging. In this paper, we present a detailed illustration of XCPolR. Firstly, the structure of the polarized ranging system is described and the signal models in the ranging process are established mathematically. Then, the main factors that affect the ranging accuracy, including the Doppler effect, the differential demodulation, and the correlation error, are analyzed theoretically. Finally, numerical simulation is carried out to evaluate the performance of XCPolR. Projects supported by the National Natural Science Foundation of China (Grant Nos. 61172138 and 61401340), the Natural Science Basic Research Plan in Shaanxi Province of China (Grant No. 2013JQ8040), the Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20130203120004), the Open Research Fund of the Academy of Satellite Application, China (Grant No. 2014 CXJJ-DH 12), the Xi’an Science and Technology Plan, China (Grant No. CXY1350(4)), the Fundamental Research Funds for the Central Universities, China (Grant Nos. 201413B, 201412B, and JB141303), and the Open Fund of Key Laboratory of Precision Navigation and Timing Technology, National Time Service Center, Chinese

  20. The TESIS Project: Are Type 2 QSO Hidden in X-Ray Emitting EROs?

    Science.gov (United States)

    Severgnini, P.; Della Ceca, R.; Braito, V.; Saracco, P.; Longhetti, M.; Bender, R.; Drory, N.; Feulner, G.; Hopp, U.; Mannucci, F.; Maraston, C.

    X-ray selected EROs are, on average, the hardest X-ray sources in medium and deep X-ray fields. This coupled with their extremely red colors (R-K > 5) suggest that they represent one of the most promising population where looking for high-luminosity (LX > 1044 erg s-1) and X-ray obscured (NH > 1022 cm-2) type2 AGNs, the so called QSO2 (e.g., [5]; [4]; Mignoli et al. submitted to A&A). These latter are predicted in large density by the synthesis model of the Cosmic X-ray background [9] even if only few observational evidences have been found so far (e.g., [1] and references therein; Caccianiga et al. A&A accepted).

  1. Low Energy X-Ray and γ-Ray Detectors Fabricated on n-Type 4H-SiC Epitaxial Layer

    Science.gov (United States)

    Mandal, Krishna C.; Muzykov, Peter G.; Chaudhuri, Sandeep K.; Terry, J. Russell

    2013-08-01

    Schottky barrier diode (SBD) radiation detectors have been fabricated on n-type 4H-SiC epitaxial layers and evaluated for low energy x- and γ-rays detection. The detectors were found to be highly sensitive to soft x-rays in the 50 eV to few keV range and showed 2.1 % energy resolution for 59.6 keV gamma rays. The response to soft x-rays for these detectors was significantly higher than that of commercial off-the-shelf (COTS) SiC UV photodiodes. The devices have been characterized by current-voltage (I-V) measurements in the 94-700 K range, thermally stimulated current (TSC) spectroscopy, x-ray diffraction (XRD) rocking curve measurements, and defect delineating chemical etching. I-V characteristics of the detectors at 500 K showed low leakage current ( nA at 200 V) revealing a possibility of high temperature operation. The XRD rocking curve measurements revealed high quality of the epitaxial layer exhibiting a full width at half maximum (FWHM) of the rocking curve 3.6 arc sec. TSC studies in a wide range of temperature (94-550 K) revealed presence of relatively shallow levels ( 0.25 eV) in the epi bulk with a density 7×1013 cm-3 related to Al and B impurities and deeper levels located near the metal-semiconductor interface.

  2. X-ray astronomy

    International Nuclear Information System (INIS)

    Culhane, J.L.; Sanford, P.W.

    1981-01-01

    X-ray astronomy has been established as a powerful means of observing matter in its most extreme form. The energy liberated by sources discovered in our Galaxy has confirmed that collapsed stars of great density, and with intense gravitational fields, can be studied by making observations in the X-ray part of the electromagnetic spectrum. The astronomical objects which emit detectable X-rays include our own Sun and extend to quasars at the edge of the Universe. This book describes the history, techniques and results obtained in the first twenty-five years of exploration. Space rockets and satellites are essential for carrying the instruments above the Earth's atmosphere where it becomes possible to view the X-rays from stars and nebulae. The subject is covered in chapters, entitled: the birth of X-ray astronomy; the nature of X-radiation; X-rays from the Sun; solar-flare X-rays; X-rays from beyond the solar system; supernovae and their remnants; X-rays from binary stars; white dwarfs and neutron stars; black holes; X-rays from galaxies and quasars; clusters of galaxies; the observatories of the future. (author)

  3. Effect of gamma-ray and electron irradiation on the response of solid-state track detectors

    International Nuclear Information System (INIS)

    Fukuda, Kyue

    1980-01-01

    Specimens of muscovite mica were first exposed to fission fragments and then to various gamma-ray fields from a 60 Co source ranging from 1.9 x 10 3 to 1.6 x 10 4 Mrad dose. The results show that the average etched width of fission-fragment tracks decreases with increasing gamma-ray dose. Shallow pits were observed in etched specimens when the gamma-ray dose exceeded 5 x 10 3 Mrad. Numerous shallow etch pits caused by the gamma-ray irradiation interfered with the observation of fission tracks in the specimens. No shallow etch pits were observed in the specimen annealed for 100 min at 600 0 C before the gamma-ray irradiation. Pre-annealing extends the ''safety limits'' of gamma background below which muscovite mica can be used to observe fission tracks without any gamma-ray interference. Gamma-ray and electron irradiation caused significant increase of the resistance to thermal decomposition of muscovite mica. The resistance increased markedly in the dose range from 5 x 10 3 to 8 x 10 3 Mrad. These phenomena suggest the use of mica to assess radiation doses of gamma rays and electrons up to several thousand megarads. (author)

  4. Skull x-ray

    Science.gov (United States)

    X-ray - head; X-ray - skull; Skull radiography; Head x-ray ... There is low radiation exposure. X-rays are monitored and regulated to provide the minimum amount of radiation exposure needed to produce the image. Most ...

  5. Neck x-ray

    Science.gov (United States)

    X-ray - neck; Cervical spine x-ray; Lateral neck x-ray ... There is low radiation exposure. X-rays are monitored so that the lowest amount of radiation is used to produce the image. Pregnant women and ...

  6. X-ray filter for chest X-rays

    International Nuclear Information System (INIS)

    Ferlic, D.J.

    1984-01-01

    A description is given of an X-ray filter comprised of a sheet of radiation absorbing material with an opening corresponding to the spine and central portion of the heart. The upper portion of the filter exhibits a relatively narrow opening which becomes gradually wider toward the lower portion of the filter. This filter will permit an acceptable density level of x-ray exposure for the lungs while allowing a higher level of x-ray exposure for the mediastinum areas of the body. (author)

  7. A new X-ray pinhole camera for energy dispersive X-ray fluorescence imaging with high-energy and high-spatial resolution

    Energy Technology Data Exchange (ETDEWEB)

    Romano, F.P., E-mail: romanop@lns.infn.it [IBAM, CNR, Via Biblioteca 4, 95124 Catania (Italy); INFN-LNS, Via S. Sofia 62, 95123 Catania (Italy); Altana, C. [INFN-LNS, Via S. Sofia 62, 95123 Catania (Italy); Dipartimento di Fisica e Astronomia, Università di Catania, Via S. Sofia 64, 95123 Catania (Italy); Cosentino, L.; Celona, L.; Gammino, S.; Mascali, D. [INFN-LNS, Via S. Sofia 62, 95123 Catania (Italy); Pappalardo, L. [IBAM, CNR, Via Biblioteca 4, 95124 Catania (Italy); INFN-LNS, Via S. Sofia 62, 95123 Catania (Italy); Rizzo, F. [INFN-LNS, Via S. Sofia 62, 95123 Catania (Italy); Dipartimento di Fisica e Astronomia, Università di Catania, Via S. Sofia 64, 95123 Catania (Italy)

    2013-08-01

    A new X-ray pinhole camera for the Energy Dispersive X-ray Fluorescence (ED-XRF) imaging of materials with high-energy and high-spatial resolution, was designed and developed. It consists of a back-illuminated and deep depleted CCD detector (composed of 1024 × 1024 pixels with a lateral size of 13 μm) coupled to a 70 μm laser-drilled pinhole-collimator, positioned between the sample under analysis and the CCD. The X-ray pinhole camera works in a coaxial geometry allowing a wide range of magnification values. The characteristic X-ray fluorescence is induced on the samples by irradiation with an external X-ray tube working at a maximum power of 100 W (50 kV and 2 mA operating conditions). The spectroscopic capabilities of the X-ray pinhole camera were accurately investigated. Energy response and energy calibration of the CCD detector were determined by irradiating pure target-materials emitting characteristic X-rays in the energy working-domain of the system (between 3 keV and 30 keV). Measurements were performed by using a multi-frame acquisition in single-photon counting. The characteristic X-ray spectra were obtained by an automated processing of the acquired images. The energy resolution measured at the Fe–Kα line is 157 eV. The use of the X-ray pinhole camera for the 2D resolved elemental analysis was investigated by using reference-patterns of different materials and geometries. The possibility of the elemental mapping of samples up to an area of 3 × 3 cm{sup 2} was demonstrated. Finally, the spatial resolution of the pinhole camera was measured by analyzing the profile function of a sharp-edge. The spatial resolution determined at the magnification values of 3.2 × and 0.8 × (used as testing values) is about 90 μm and 190 μm respectively. - Highlights: • We developed an X-ray pinhole camera for the 2D X-ray fluorescence imaging. • X-ray spectra are obtained by a multi-frame acquisition in single photon mode. • The energy resolution in the X-ray

  8. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  9. In Situ X-ray Diffraction Studies of (De)lithiation Mechanism in Silicon Nanowire Anodes

    KAUST Repository

    Misra, Sumohan; Liu, Nian; Nelson, Johanna; Hong, Seung Sae; Cui, Yi; Toney, Michael F.

    2012-01-01

    -Si product has been observed. In this work, we use an X-ray transparent battery cell to perform in situ synchrotron X-ray diffraction on SiNWs in real time during electrochemical cycling. At deep lithiation voltages the known metastable Li 15Si 4 phase forms

  10. Chandra and the VLT Jointly Investigate the Cosmic X-Ray Background

    Science.gov (United States)

    2001-03-01

    Summary Important scientific advances often happen when complementary investigational techniques are brought together . In the present case, X-ray and optical/infrared observations with some of the world's foremost telescopes have provided the crucial information needed to solve a 40-year old cosmological riddle. Very detailed observations of a small field in the southern sky have recently been carried out, with the space-based NASA Chandra X-Ray Observatory as well as with several ground-based ESO telescopes, including the Very Large Telescope (VLT) at the Paranal Observatory (Chile). Together, they have provided the "deepest" combined view at X-ray and visual/infrared wavelengths ever obtained into the distant Universe. The concerted observational effort has already yielded significant scientific results. This is primarily due to the possibility to 'identify' most of the X-ray emitting objects detected by the Chandra X-ray Observatory on ground-based optical/infrared images and then to determine their nature and distance by means of detailed (spectral) observations with the VLT . In particular, there is now little doubt that the so-called 'X-ray background' , a seemingly diffuse short-wave radiation first detected in 1962, in fact originates in a vast number of powerful black holes residing in active nuclei of distant galaxies . Moreover, the present investigation has permitted to identify and study in some detail a prime example of a hitherto little known type of object, a distant, so-called 'Type II Quasar' , in which the central black hole is deeply embedded in surrounding gas and dust. These achievements are just the beginning of a most fruitful collaboration between "space" and "ground". It is yet another impressive demonstration of the rapid progress of modern astrophysics, due to the recent emergence of a new generation of extremely powerful instruments. PR Photo 09a/01 : Images of a small part of the Chandra Deep Field South , obtained with ESO telescopes

  11. Infrared Counterparts to Chandra X-Ray Sources in the Antennae

    Science.gov (United States)

    Clark, D. M.; Eikenberry, S. S.; Brandl, B. R.; Wilson, J. C.; Carson, J. C.; Henderson, C. P.; Hayward, T. L.; Barry, D. J.; Ptak, A. F.; Colbert, E. J. M.

    2007-03-01

    We use deep J (1.25 μm) and Ks (2.15 μm) images of the Antennae (NGC 4038/4039) obtained with the Wide-field InfraRed Camera on the Palomar 200 inch (5 m) telescope, together with the Chandra X-ray source list of Zezas and coworkers to search for infrared counterparts to X-ray point sources. We establish an X-ray/IR astrometric frame tie with ~0.5" rms residuals over a ~4.3' field. We find 13 ``strong'' IR counterparts brighter than Ks=17.8 mag and 99.9% confidence level that IR counterparts to X-ray sources are ΔMKs~1.2 mag more luminous than average non-X-ray clusters. We also note that the X-ray/IR matches are concentrated in the spiral arms and ``overlap'' regions of the Antennae. This implies that these X-ray sources lie in the most ``super'' of the Antennae's super star clusters, and thus trace the recent massive star formation history here. Based on the NH inferred from the X-ray sources without IR counterparts, we determine that the absence of most of the ``missing'' IR counterparts is not due to extinction, but that these sources are intrinsically less luminous in the IR, implying that they trace a different (possibly older) stellar population. We find no clear correlation between X-ray luminosity classes and IR properties of the sources, although small-number statistics hamper this analysis.

  12. Taheri-Saramad x-ray detector (TSXD): a novel high spatial resolution x-ray imager based on ZnO nano scintillator wires in polycarbonate membrane.

    Science.gov (United States)

    Taheri, A; Saramad, S; Ghalenoei, S; Setayeshi, S

    2014-01-01

    A novel x-ray imager based on ZnO nanowires is designed and fabricated. The proposed architecture is based on scintillation properties of ZnO nanostructures in a polycarbonate track-etched membrane. Because of higher refractive index of ZnO nanowire compared to the membrane, the nanowire acts as an optical fiber that prevents the generated optical photons to spread inside the detector. This effect improves the spatial resolution of the imager. The detection quantum efficiency and spatial resolution of the fabricated imager are 11% and <6.8 μm, respectively.

  13. Taheri-Saramad x-ray detector (TSXD): A novel high spatial resolution x-ray imager based on ZnO nano scintillator wires in polycarbonate membrane

    Energy Technology Data Exchange (ETDEWEB)

    Taheri, A., E-mail: at1361@aut.ac.ir; Saramad, S.; Ghalenoei, S.; Setayeshi, S. [Department of Energy Engineering and Physics, Amirkabir University of Technology, Tehran 15875-4413 (Iran, Islamic Republic of)

    2014-01-15

    A novel x-ray imager based on ZnO nanowires is designed and fabricated. The proposed architecture is based on scintillation properties of ZnO nanostructures in a polycarbonate track-etched membrane. Because of higher refractive index of ZnO nanowire compared to the membrane, the nanowire acts as an optical fiber that prevents the generated optical photons to spread inside the detector. This effect improves the spatial resolution of the imager. The detection quantum efficiency and spatial resolution of the fabricated imager are 11% and <6.8 μm, respectively.

  14. New self-assembly strategies for next generation lithography

    Science.gov (United States)

    Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K.

    2010-04-01

    Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.

  15. X-ray optics and X-ray microscopes: new challenges

    International Nuclear Information System (INIS)

    Susini, J.

    2004-01-01

    Soon after the discovery of X-rays in 1895 by W. Roentgen, it became rapidly clear that the methods traditionally used in the visible light regime, namely refraction, diffraction and reflection were difficult to apply for X-ray optics. The physical origins of these difficulties are closely linked to the very nature of interaction of X-rays with matter. The small deviation δ of the refractive index of condensed matter from unity makes it difficult to extend refraction-based optics from the optical spectral region to the X-ray region because the refraction angle is proportional to δ. Similarly it is very challenging to extend diffraction-based focusing techniques to X-rays because the diffraction angle scales inversely with wavelength. Finally, the use of reflection-based optics is also limited by the very small critical angle for total reflection. All those fundamental limitations prevented for almost one century, the development of X-ray microscopy whereas electron microscopy became a standard tool. In the past twenty years, interests for X-ray microscopy revived, mainly because of several major advances in X-ray sources and X-ray optics. X-ray microscopy techniques are now emerging as powerful and complementary tools for submicron investigations. Soft X-ray microscopes offer traditionally the possibility to form direct images of thick hydrated biological material in near-native environment, at a spatial resolution well beyond that achievable with visible light microscopy. Natural contrast is available in the soft X-ray region, in the so-called ''water-window'', due to the presence of absorption edges of the major constituents (C,N,O). Recent advances in manufacturing techniques have enlarged the accessible energy range of micro-focussing optics and offer new applications in a broad range of disciplines. X-ray microscopy in the 1 - 30 keV energy range is better suited for fluorescence to map trace elements, tomography for 3D imaging and micro-diffraction. The

  16. X-ray filter for chest x-rays

    International Nuclear Information System (INIS)

    Ferlic, D.J.

    1984-01-01

    Filter for use in medical x-ray apparatus to permit higher intensity x-ray exposure in the heart and mediastinum area while maintaining a normal level of x-ray exposure in other areas of the body, particlarly in the lung area. The filter comprises a sheet of radiation absorbing material having an opening therein, said opening corresponding to the spine and central portion of the heart. Accordingly, the upper portion of the filter exhibits a relatively narrow opening which becomes gradually wider toward the lower portion of the filter

  17. Fabrication and testing of an electrochemical microcell for in situ soft X-ray microspectroscopy measurements

    Science.gov (United States)

    Gianoncelli, A.; Kaulich, B.; Kiskinova, M.; Mele, C.; Prasciolu, M.; Sgura, I.; Bozzini, B.

    2013-03-01

    In this paper we report on the fabrication and testing of a novel concept of electrochemical microcell for in-situ soft X-ray microspectroscopy in transmission. The microcell, fabricated by electron-beam lithography, implements an improved electrode design, with optimal current density distribution and minimised ohmic drop, allowing the same three-electrode electrochemical control achievable with traditional cells. Moreover standard electroanalytical measurements, such as cyclic voltammetry, can be routinely performed. As far as the electrolyte is concerned, we selected a room-temperature ionic-liquid. Some of the materials belonging to this class, in addition to a broad range of outstanding electrochemical properties, feature two highlights that are crucial for in situ, soft X-ray transmission work: spinnability, enabling accurate thickness control, and stability to UHV, allowing operation of an open cell in the analysis chamber vacuum (10-6 mbar). The cell can, of course, be used also with non-vacuum stable electrolytes in the sealed version developed in previous work in our group. In this study, the microcell designed, fabricated and tested in situ by applying an anodic polarisation to a Au electrode and following the formation of a distribution of corrosion features. This specific material combination presented in this work does not limit the cell concept, that can implement any electrodic material grown by lithography, any liquid electrolyte and any spinnable solid electrolyte.

  18. Theory of inelastic scattering and absorption of X-rays

    CERN Document Server

    Veenendaal, Michel van

    2015-01-01

    This comprehensive, self-contained guide to X-ray spectroscopy will equip you with everything you need to begin extracting the maximum amount of information available from X-ray spectra. Key topics such as the interaction between X-rays and matter, the basic theory of spectroscopy, and selection and sum rules, are introduced from the ground up, providing a solid theoretical grounding. The book also introduces core underlying concepts such as atomic structure, solid-state effects, the fundamentals of tensor algebra and group theory, many-body interactions, scattering theory, and response functions, placing spectroscopy within a broader conceptual framework, and encouraging a deep understanding of this essential theoretical background. Suitable for graduate students, researchers, materials scientists and optical engineers, this is the definitive guide to the theory behind this powerful and widely used technique.

  19. X-ray geometrical smoothing effect in indirect x-ray-drive implosion

    International Nuclear Information System (INIS)

    Mochizuki, Takayasu; Sakabe, Shuji; Yamanaka, Chiyoe

    1983-01-01

    X-ray geometrical smoothing effect in indirect X-ray drive pellet implosion for inertial confinement fusion has been numerically analyzed. Attainable X-ray driven ablation pressure has been found to be coupled with X-ray irradiation uniformity. (author)

  20. Model etch profiles for ion energy distribution functions in an inductively coupled plasma reactor

    International Nuclear Information System (INIS)

    Chen, W.; Abraham-Shrauner, B.; Woodworth, J.R.

    1999-01-01

    Rectangular trench profiles are modeled with analytic etch rates determined from measured ion distribution functions. The pattern transfer step for this plasma etch is for trilayer lithography. Argon and chlorine angular ion energy distribution functions measured by a spherical collector ring analyzer are fit to a sum of drifting Maxwellian velocity distribution functions with anisotropic temperatures. The fit of the model ion distribution functions by a simulated annealing optimization procedure converges adequately for only two drifting Maxwellians. The etch rates are proportional to analytic expressions for the ion energy flux. Numerical computation of the etch profiles by integration of the characteristic equations for profile points and connection of the profiles points is efficient. copyright 1999 American Vacuum Society

  1. Problems of radiation protection and their solution in afterloading therapy performed in a X-ray deep therapy chamber of the Radiological Clinic of the Martin-Luther-University Halle

    International Nuclear Information System (INIS)

    Rauh, G.

    1982-01-01

    The Radiological Clinic of the Martin-Luther-University Halle got the first afterloading therapy unit DECATRON in December 1973. After preceding physical measurements the first patient was irradiated in August 1974. At this time there was no experience with the afterloading therapy in the GDR. The afterloading therapy was performed in a former X-ray deep therapy chamber. The occuring problems of radiation protection are considered and the ways of solution are described. Radiation protection calculations were carried out, values of local dose measurements are given, interpreted and compared with the values of personal dosimetry. Also the terms 'incorporated activity' and 'threading out activity' ('effective activity') are discussed, which led to differences in dose measurements formerly. The special situation required to discuss radiation protection problems of X-ray deep therapy simultaneously. (author)

  2. Different X-ray spectral evolution for black hole X-ray binaries in dual tracks of radio-X-ray correlation

    International Nuclear Information System (INIS)

    Cao, Xiao-Feng; Wu, Qingwen; Dong, Ai-Jun

    2014-01-01

    Recently, an 'outlier' track of radio-X-ray correlation was found, which is much steeper than the former universal correlation, where dual tracks were speculated to be triggered by different accretion processes. In this work, we test this issue by exploring hard X-ray spectral evolution in four black-hole X-ray binaries with multiple, quasi-simultaneous radio and X-ray observations. First, we find that hard X-ray photon indices, Γ, are negatively and positively correlated with X-ray fluxes when the X-ray flux, F 3-9 keV , is below and above a critical flux, F X, crit , which are consistent with predictions of the advection-dominated accretion flow and the disk-corona model, respectively. Second, and most importantly, we find that the radio-X-ray correlations are also clearly different when the X-ray fluxes are higher and lower than the critical flux as defined by X-ray spectral evolution. The data points with F 3-9 keV ≳ F X, crit have a steeper radio-X-ray correlation (F X ∝F R b and b ∼ 1.1-1.4), which roughly forms the ''outlier'' track. However, the data points with anti-correlation of Γ – F 3-9 keV either stay in the universal track with b ∼ 0.61 or stay in the transition track (from the universal to 'outlier' tracks or vice versa). Therefore, our results support that the universal and ''outlier'' tracks of radio-X-ray correlations are regulated by radiatively inefficient and radiatively efficient accretion model, respectively.

  3. Possibilities of instrumental neutron activation and X-ray fluorescence analyses of sedimentary-magmatic metamorphosed rocks from deep borehole drill cores

    International Nuclear Information System (INIS)

    Gurevich, A.L.; Drynkin, V.I.; Lejpunskaya, D.I.

    1977-01-01

    The possibilities for instrumental neutron-activation and X-ray fluorescence analyses of rocks of metamorphized sedimentary magmatic complexes have been studied with the aid of deep-hole core. The principal characteristics of the conditions of irradiation and of sample measurement ensuring the determination of the content of 26 elements are presented. The use of X-ray fluorescence analysis enables one to determine additionally the content of stron-tium and niobium. Standard specimens of the composition of rocks and complex reference compounds based on phenol formaldehyde resins are used as metrolo.o.ical auxiliaries in the calibration system and in evaluating the correctness of the techniques of instrumental neutron activation and fluorescence analysis. The ranges of the contents to be determined, the sensitivity and relative standard deviation are given. The contribution from the nonuniformity of the specimens to the summary error of element determination is estimated. It is shown that the accuracy and error of analyses are within the allowable range

  4. Comparison of x-ray output of inverter-type x-ray equipment

    International Nuclear Information System (INIS)

    Asano, Hiroshi; Miyake, Hiroyuki; Yamamoto, Keiichi

    2000-01-01

    The x-ray output of 54 inverter-type x-ray apparatuses used at 18 institutions was investigated. The reproducibility and linearity of x-ray output and variations among the x-ray equipment were evaluated using the same fluorescence meter. In addition, the x-ray apparatuses were re-measured using the same non-invasive instrument to check for variations in tube voltage, tube current, and irradiation time. The non-invasive instrument was calibrated by simultaneously obtaining measurements with an invasive instrument, employing the tube voltage and current used for the invasive instrument, and the difference was calculated. Reproducibility of x-ray output was satisfactory for all x-ray apparatuses. The coefficient of variation was 0.04 or less for irradiation times of 5 ms or longer. In 84.3% of all x-ray equipment, variation in the linearity of x-ray output was 15% or less for an irradiation time of 5 ms. However, for all the apparatuses, the figure was 50% when irradiation time was the shortest (1 to 3 ms). Variation in x-ray output increased as irradiation time decreased. Variation in x-ray output ranged between 1.8 and 2.5 compared with the maximum and minimum values, excluding those obtained at the shortest irradiation time. The relative standard deviation ranged from ±15.5% to ±21.0%. The largest variation in x-ray output was confirmed in regions irradiated for the shortest time, with smaller variations observed for longer irradiation times. The major factor responsible for variation in x-ray output in regions irradiated for 10 ms or longer, which is a relatively long irradiation time, was variation in tube current. Variation in tube current was slightly greater than 30% at maximum, with an average value of 7% compared with the preset tube current. Variations in x-ray output in regions irradiated for the shortest time were due to photographic effects related to the rise and fall times of the tube voltage waveform. Accordingly, in order to obtain constant x-ray

  5. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  6. Optimization and energy spectra of x-ray to be used for imaging

    International Nuclear Information System (INIS)

    Nakamori, Nobuyuki; Kanamori, Hitoshi

    1979-01-01

    The relations of the spectra of X-ray used for diagnosis to the absorbed dose of patients and X-ray information are now being investigated by a number of investigators. Here the problems and the trends of the investigations at present are described. Advent of semiconductor detectors has improved the accuracy of measuring X-ray spectra very rapidly. However, since the semiconductor detectors themselves utilize X-ray photon absorption, calibration curves must be prepared for obtaining the true X-ray spectra. Though there are methods of theoretically determining X-ray spectra, no definite theoretical formula is found. Thus, the derivation of an empirical equation based on measured data would be the most fundamental problem. Interactions in an object and the change of X-ray spectra are described on the case of monochromatic and continuous X-ray irradiation. As mentioned above, beam hardening occurs when X-ray enters a matter deep, because the interactions between X-ray and the matter depend upon the photon energy. There are a few methods for correcting the variation of CT (computed tomography) number due to beam hardening. However, prior to this, there are two methods of representing continuous X-ray with single energy, and the unification of the methods or a new way of defining X-ray quality is needed. It has been and is always desirable that monochromatic X-ray source becomes to be useable, and various methods are proposed. (Wakatsuki, Y.)

  7. Chest X-Ray

    Medline Plus

    Full Text Available ... about chest radiography also known as chest x-rays. Chest x-rays are the most commonly performed x-ray exams and use a very small dose of ... of the inside of the chest. A chest x-ray is used to evaluate the lungs, heart and ...

  8. High efficiency multilayer blazed gratings for EUV and soft X-rays: Recent developments

    International Nuclear Information System (INIS)

    Voronov, Dmitriy; Ahn, Minseung; Anderson, Erik; Cambie, Rossana; Chang, Chih-Hao; Goray, Leonid; Gullikson, Eric; Heilmann, Ralf; Salmassi, Farhad; Schattenburg, Mark; Warwick, Tony; Yashchuk, Valeriy; Padmore, Howard

    2011-01-01

    Multilayer coated blazed gratings with high groove density are the best candidates for use in high resolution EUV and soft x-ray spectroscopy. Theoretical analysis shows that such a grating can be potentially optimized for high dispersion and spectral resolution in a desired high diffraction order without significant loss of diffraction efficiency. In order to realize this potential, the grating fabrication process should provide a perfect triangular groove profile and an extremely smooth surface of the blazed facets. Here we report on recent progress achieved at the Advanced Light Source (ALS) in fabrication of high quality multilayer coated blazed gratings. The blazed gratings were fabricated using scanning beam interference lithography followed by wet anisotropic etching of silicon. A 200 nm period grating coated with a Mo/Si multilayer composed with 30 bi-layers demonstrated an absolute efficiency of 37.6percent in the 3rd diffraction order at 13.6 nm wavelength. The groove profile of the grating was thoroughly characterized with atomic force microscopy before and after the multilayer deposition. The obtained metrology data were used for simulation of the grating efficiency with the vector electromagnetic PCGrate-6.1 code. The simulations showed that smoothing of the grating profile during the multilayer deposition is the main reason for efficiency losses compared to the theoretical maximum. Investigation of the grating with cross-sectional transmission electron microscopy revealed a complex evolution of the groove profile in the course of the multilayer deposition. Impact of the shadowing and smoothing processes on growth of the multilayer on the surface of the sawtooth substrate is discussed.

  9. Gamma-rays from deep inelastic collisions

    International Nuclear Information System (INIS)

    Stephens, F.S.

    1979-01-01

    The γ-rays associated with deep inelastic collisions can give information about the magnitude and orientation of the angular momentum transferred in these events. In this review, special emphasis is placed on understanding the origin and nature of these γ-rays in order to avoid some of the ambiguities that can arise. The experimental information coming from these γ-ray studies is reviewed, and compared briefly with that obtained by other methods and also with the expectations from current models for deep inelastic collisions. 15 figures

  10. Depth control of a silicon structure fabricated by 100q keV Ar ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: kawasegi@eng.u-toyama.ac.jp; Morita, Noboru [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: nmorita@eng.u-toyama.ac.jp; Yamada, Shigeru [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: syamada@eng.u-toyama.ac.jp; Takano, Noboru [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: takano@eng.u-toyama.ac.jp; Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: ohyama@eng.u-toyama.ac.jp; Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kami, Kochi 782-8502 (Japan)]. E-mail: momota.sadao@kochi-tech.ac.jp; Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan)]. E-mail: junt@te.noda.tus.ac.jp; Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan)]. E-mail: iwao@te.noda.tus.ac.jp

    2007-01-15

    Ion beam lithography of a silicon surface using an Ar ion beam with an ion energy in the order of hundreds of keV is demonstrated in this study. A specially designed ion irradiation facility was employed that enabled generation and irradiation with a highly accelerated and highly charged Ar ion beam. An ion-beam-induced amorphous layer on a silicon substrate can be selectively etched in hydrofluoric acid, whereas, a non-irradiated area is scarcely etched and, consequently, a concave structure can be fabricated on the irradiated area. To control the depth of the structure, parameters for dependence of the depth on ion irradiation were investigated. As a result, the depth of irradiated area can be controlled by the ion energy that is adjusted by the acceleration voltage and the ion charge. In addition, the etch resistance of the irradiated area increases with an increase in ion energy due to the crystalline layer formed on the surface. Simulation results reveal that the depth is strongly related to the defect distribution induced by ion irradiation. These results indicate the potential use of this method for novel three-dimensional lithography.

  11. OTELO SURVEY: DEEP BVRI BROADBAND PHOTOMETRY OF THE GROTH STRIP. II. OPTICAL PROPERTIES OF X-RAY EMITTERS

    International Nuclear Information System (INIS)

    Povic, M.; Perez GarcIa, A. M.; Bongiovanni, A.; Castaneda, H.; Lorenzo, M. Fernandez; Lara-Lopez, M. A.; Sanchez-Portal, M.; Cepa, J.; Alfaro, E.; Gallego, J.; Gonzalez-Serrano, J. I.; Gonzalez, J. J.

    2009-01-01

    The Groth field is one of the sky regions that will be targeted by the OSIRIS Tunable Filter Emission Line Object survey in the optical 820 nm and 920 nm atmospheric windows. In the present paper, public Chandra X-ray data with total exposure time of 200 ks are analyzed and combined with optical broadband data of the Groth field, in order to study a set of optical structural parameters of the X-ray emitters and its relation with X-ray properties. To this aim, we processed the raw, public X-ray data using the Chandra Interactive Analysis of Observations, and determined and analyzed different structural parameters, in order to produce a morphological classification of X-ray sources. We present the morphology of 340 X-ray emitters with optical counterpart detected. Objects have been classified by X-ray type using a diagnostic diagram relating X-ray-to-optical ratio (X/O) to hardness ratio. We did not find any clear correlation between X-ray and morphological types. We analyzed the angular clustering of X-ray sources with optical counterpart using two-point correlation functions. A significant positive angular clustering was obtained from a preliminary analysis of four subsamples of the X-ray sources catalog. The clustering signal of the optically extended counterparts is similar to that of strongly clustered populations like red and very red galaxies, suggesting that the environment plays an important role in active galactic nuclei phenomena. Finally, we combined optical structural parameters with other X-ray and optical properties, and we confirmed an anticorrelation between the X/O ratio and the Abraham concentration index, which might suggest that early-type galaxies have lower Eddington rates than those of late-type galaxies.

  12. The 2014 X-Ray Minimum of η Carinae as Seen by Swift

    Energy Technology Data Exchange (ETDEWEB)

    Corcoran, M. F.; Hamaguchi, K. [CRESST and X-Ray Astrophysics Laboratory, NASA/Goddard Space Flight Center, Greenbelt, MD 20771 (United States); Liburd, J.; Morris, D. [University of the Virgin Islands, College of Science and Mathematics, John Brewers Bay, St. Thomas, USVI 00802-9990 (United States); Russell, C. M. P. [NASA Goddard Space Flight Center, Code 662, Greenbelt, MD 20771 (United States); Gull, T. R. [NASA Goddard Space Flight Center, Code 667, Greenbelt, MD 20771 (United States); Madura, T. I. [Department of Physics and Astronomy, San Jose State University, One Washington Square, San Jose, CA 95192 (United States); Teodoro, M. [Universities Space Research Association, 7178 Columbia Gateway Drive, Columbia, MD 21044 (United States); Moffat, A. F. J. [Département de physique and Centre de Recherche en Astrophysique du Québec (CRAQ), Université de Montréal, C.P. 6128, Succ. Centre-Ville, Montréal, Québec, H3C 3J7 (Canada); Richardson, N. D. [Ritter Observatory, Department of Physics and Astronomy, The University of Toledo, Toledo, OH 43606 (United States); Hillier, D. J. [Department of Physics and Astronomy and Pittsburgh Particle Physics, Astrophysics, and Cosmology Center (PITT PACC), University of Pittsburgh, 3941 O’Hara Street, Pittsburgh, PA 15260 (United States); Damineli, A. [Instituto de Astronomia, Geofísica e Ciências Atmosféricas, Universidade de São Paulo, Rua do Matão 1226, Cidade Universitária, São Paulo, 05508-900 (Brazil); Groh, J. H. [School of Physics, Trinity College Dublin, Dublin 2 (Ireland)

    2017-03-20

    We report on Swift X-ray Telescope observations of Eta Carinae ( η Car), an extremely massive, long-period, highly eccentric binary obtained during the 2014.6 X-ray minimum/periastron passage. These observations show that η Car may have been particularly bright in X-rays going into the X-ray minimum state, while the duration of the 2014 X-ray minimum was intermediate between the extended minima seen in 1998.0 and 2003.5 by Rossi X-Ray Timing Explorer ( RXTE ), and the shorter minimum in 2009.0. The hardness ratios derived from the Swift observations showed a relatively smooth increase to a peak value occurring 40.5 days after the start of the X-ray minimum, though these observations cannot reliably measure the X-ray hardness during the deepest part of the X-ray minimum when contamination by the “central constant emission” component is significant. By comparing the timings of the RXTE and Swift observations near the X-ray minima, we derive an updated X-ray period of P {sub X} = 2023.7 ± 0.7 days, in good agreement with periods derived from observations at other wavelengths, and we compare the X-ray changes with variations in the He ii 4686 emission. The middle of the “Deep Minimum” interval, as defined by the Swift column density variations, is in good agreement with the time of periastron passage derived from the He ii λ 4686 line variations.

  13. Wide field X-ray telescopes: Detecting X-ray transients/afterglows related to gamma ray bursts

    International Nuclear Information System (INIS)

    Hudec, Rene; Pina, Ladislav; Inneman, Adolf; Gorenstein, Paul; Rezek, Tomas

    1999-01-01

    The recent discovery of X-ray afterglows of GRBs opens the possibility of analyses of GRBs by their X-ray detections. However, imaging X-ray telescopes in current use mostly have limited field of view. Alternative X-ray optics geometries achieving very large fields of view have been theoretically suggested in the 70ies but not constructed and used so far. We review the geometries and basic properties of the wide-field X-ray optical systems based on one- and two-dimensional lobster-eye geometry and suggest technologies for their development and construction. First results of the development of double replicated X-ray reflecting flats for use in one-dimensional X-ray optics of lobster eye type are presented and discussed. Optimum strategy for locating GRBs upon their X-ray counterparts is also presented and discussed

  14. Surface studies on benzophenone doped PDMS microstructures fabricated using KrF excimer laser direct write lithography

    International Nuclear Information System (INIS)

    Kant, Madhushree Bute; Shinde, Shashikant D.; Bodas, Dhananjay; Patil, K.R.; Sathe, V.G.; Adhi, K.P.; Gosavi, S.W.

    2014-01-01

    Graphical abstract: - Highlights: • Use of KrF Laser micromachining for Lab-On-Chip applications at lower fluence. • Addition of Benzophenone in PDMS enhances its self development sensitivity. • Benzophenone helps efficient energy transfer for equal density of bond scissioning. • Correlation of chemical composition with laser dose and microstructure. • Microstructures with well defined clean sidewalls. - Abstract: This paper discusses microfabrication process for benzophenone doped polydimethylsiloxane (PDMS) using laser lithography. KrF excimer laser of 248 nm with 20 ns pulse width at repetition rate of 1 Hz was used for microfabrication of undoped and benzophenone doped PDMS. The doped-PDMS shows sensitivity below 365 nm, permitting processing under ambient light. The analysis of etch depth revealed that doped PDMS shows self developable sensitivity at lower fluence of ∼250 mJ/cm 2 . The unexposed and exposed surface was studied using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), Raman spectroscopy and Scanning electron microscopy (SEM). Spectrocopic analysis indicated increase in C-O, C=O, Si-O 3 and Si-O 4 bonding at the expense of Si-C and Si-O 2 bonds of PDMS. In case of laser exposed doped-PDMS, removal of benzophenone from probe depth of spectroscopy was observed. Whereas the surface morphology of exposed and unexposed doped-PDMS was observed to be same, indicating clean development of PDMS micropatterns. The present study indicates that addition of 3.0 wt.% benzophenone in PDMS enhance self development sensitivity of PDMS. The self developable results on doped-PDMS are quite encouraging for its potential use in point of care Lab-On-Chip applications, for fabricating micropatterns using direct write laser lithography technology

  15. X-RAY STUDIES OF THE BLACK WIDOW PULSAR PSR B1957+20

    International Nuclear Information System (INIS)

    Huang, R. H. H.; Kong, A. K. H.; Takata, J.; Cheng, K. S.; Hui, C. Y.; Lin, L. C. C.

    2012-01-01

    We report on Chandra observations of the black widow pulsar, PSR B1957+20. Evidence for a binary-phase dependence of the X-ray emission from the pulsar is found with a deep observation. The binary-phase-resolved spectral analysis reveals non-thermal X-ray emission of PSR B1957+20, confirming the results of previous studies. This suggests that the X-rays are mostly due to intra-binary shock emission, which is strongest when the pulsar wind interacts with the ablated material from the companion star. The geometry of the peak emission is determined in our study. The marginal softening of the spectrum of the non-thermal X-ray tail may indicate that particles injected at the termination shock are dominated by synchrotron cooling.

  16. X-ray bursts observed with JEM-X

    DEFF Research Database (Denmark)

    Brandt, Søren Kristian; Chenevez, Jérôme; Lund, Niels

    2006-01-01

    We report on the search for X-ray bursts in the JEM-X X-ray monitor on INTEGRAL during the first two years of operations. More than 350 bursts from 25 different type-I X-ray burst sources were found.......We report on the search for X-ray bursts in the JEM-X X-ray monitor on INTEGRAL during the first two years of operations. More than 350 bursts from 25 different type-I X-ray burst sources were found....

  17. Gas Pixel Detectors for low energy X-ray polarimetry

    International Nuclear Information System (INIS)

    Spandre, Gloria

    2007-01-01

    Gas Pixel Detectors are position-sensitive proportional counters in which a complete integration between the gas amplification structure and the read-out electronics has been reached. Various generation of Application-Specific Integrated Circuit (ASIC) have been designed in deep submicron CMOS technology to realize a monolithic device which is at the same time the charge collecting electrode and the analog amplifying and charge measuring front-end electronics. The experimental response of a detector with 22060 pixels at 80 μm pitch to polarized and un-polarized X-ray radiation is shown and the application of this device for Astronomical X-ray Polarimetry discussed

  18. Detection of tuberculosis patterns in digital photographs of chest X-ray images using Deep Learning: feasibility study.

    Science.gov (United States)

    Becker, A S; Blüthgen, C; Phi van, V D; Sekaggya-Wiltshire, C; Castelnuovo, B; Kambugu, A; Fehr, J; Frauenfelder, T

    2018-03-01

    To evaluate the feasibility of Deep Learning-based detection and classification of pathological patterns in a set of digital photographs of chest X-ray (CXR) images of tuberculosis (TB) patients. In this prospective, observational study, patients with previously diagnosed TB were enrolled. Photographs of their CXRs were taken using a consumer-grade digital still camera. The images were stratified by pathological patterns into classes: cavity, consolidation, effusion, interstitial changes, miliary pattern or normal examination. Image analysis was performed with commercially available Deep Learning software in two steps. Pathological areas were first localised; detected areas were then classified. Detection was assessed using receiver operating characteristics (ROC) analysis, and classification using a confusion matrix. The study cohort was 138 patients with human immunodeficiency virus (HIV) and TB co-infection (median age 34 years, IQR 28-40); 54 patients were female. Localisation of pathological areas was excellent (area under the ROC curve 0.82). The software could perfectly distinguish pleural effusions from intraparenchymal changes. The most frequent misclassifications were consolidations as cavitations, and miliary patterns as interstitial patterns (and vice versa). Deep Learning analysis of CXR photographs is a promising tool. Further efforts are needed to build larger, high-quality data sets to achieve better diagnostic performance.

  19. X-Ray and Near-Infrared Spectroscopy of Dim X-Ray Point Sources Constituting the Galactic Ridge X-Ray Emission

    Directory of Open Access Journals (Sweden)

    Kumiko Morihana

    2014-12-01

    Full Text Available We present the results of X-ray and Near-Infrared observations of the Galactic Ridge X-ray Emission (GRXE. We extracted 2,002 X-ray point sources in the Chandra Bulge Field (l =0°.113, b = 1°.424 down to ~10-14.8 ergscm-2s-1 in 2-8 keV band with the longest observation (900 ks of the GRXE. Based on X-ray brightness and hardness, we classied the X-ray point sources into three groups: A (hard, B (soft and broad spectrum, and C (soft and peaked spectrum. In order to know populations of the X-ray point sources, we carried out NIR imaging and spectroscopy observation. We identied 11% of X-ray point sources with NIR and extracted NIR spectra for some of them. Based on X-ray and NIR properties, we concluded that non-thermal sources in the group A are mostly active galactic nuclei and the thermal sources are mostly white dwarf binaries such as cataclysmic variables (CVs and Pre-CVs. We concluded that the group B and C sources are X-ray active stars in flare and quiescence, respectively.

  20. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    Science.gov (United States)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  1. Bone X-Ray (Radiography)

    Medline Plus

    Full Text Available ... Resources Professions Site Index A-Z X-ray (Radiography) - Bone Bone x-ray uses a very small ... X-ray (Radiography)? What is Bone X-ray (Radiography)? An x-ray (radiograph) is a noninvasive medical ...

  2. Bone X-Ray (Radiography)

    Medline Plus

    Full Text Available ... Professions Site Index A-Z X-ray (Radiography) - Bone Bone x-ray uses a very small dose ... limitations of Bone X-ray (Radiography)? What is Bone X-ray (Radiography)? An x-ray (radiograph) is ...

  3. Bone X-Ray (Radiography)

    Science.gov (United States)

    ... News Physician Resources Professions Site Index A-Z X-ray (Radiography) - Bone Bone x-ray uses a very small ... of Bone X-ray (Radiography)? What is Bone X-ray (Radiography)? An x-ray (radiograph) is a noninvasive ...

  4. Abdomen X-Ray (Radiography)

    Science.gov (United States)

    ... News Physician Resources Professions Site Index A-Z X-ray (Radiography) - Abdomen Abdominal x-ray uses a very small ... of an abdominal x-ray? What is abdominal x-ray? An x-ray (radiograph) is a noninvasive medical ...

  5. A deep X-ray spectroscopic survey of the ESO imaging survey fields

    DEFF Research Database (Denmark)

    Nørgaard-Nielsen, Hans Ulrik; Jørgensen, H.E.; Hansen, Lene

    1998-01-01

    The deepest ROSAT surveys have shown, that, in the energy range 0.5-2.0 keV, QSO's can account for similar to 30 per cent of the Diffuse X-ray Background (DXRB), and Narrow Emission Line Galaxies (NELG) and clusters of galaxies for about 10 per cent each. But, by assuming characteristic spectral ...... provide new insight into the evolution of galaxies, clusters of galaxies and AGN's.......The deepest ROSAT surveys have shown, that, in the energy range 0.5-2.0 keV, QSO's can account for similar to 30 per cent of the Diffuse X-ray Background (DXRB), and Narrow Emission Line Galaxies (NELG) and clusters of galaxies for about 10 per cent each. But, by assuming characteristic spectral....... This spectroscopic X-ray survey will provide a large, statistically complete, sample of sources detected at high energies, more than an order of magnitude fainter than obtained by previous missions. The study of these sources will significantly improve our understanding not only of the origin of DXRB, but also...

  6. CRL X-ray tube

    International Nuclear Information System (INIS)

    Kolchevsky, N.N.; Petrov, P.V.

    2015-01-01

    A novel types of X-ray tubes with refractive lenses are proposed. CRL-R X-ray tube consists of Compound Refractive Lens- CRL and Reflection X-ray tube. CRL acts as X-ray window. CRL-T X-ray consists of CRL and Transmission X-ray tube. CRL acts as target for electron beam. CRL refractive lens acts as filter, collimator, waveguide and focusing lens. Properties and construction of the CRL X-ray tube are discussed. (authors)

  7. Nanofocusing optics for synchrotron radiation made from polycrystalline diamond.

    Science.gov (United States)

    Fox, O J L; Alianelli, L; Malik, A M; Pape, I; May, P W; Sawhney, K J S

    2014-04-07

    Diamond possesses many extreme properties that make it an ideal material for fabricating nanofocusing x-ray optics. Refractive lenses made from diamond are able to focus x-ray radiation with high efficiency but without compromising the brilliance of the beam. Electron-beam lithography and deep reactive-ion etching of silicon substrates have been used in a transfer-molding technique to fabricate diamond optics with vertical and smooth sidewalls. Latest generation compound refractive lenses have seen an improvement in the quality and uniformity of the optical structures, resulting in an increase in their focusing ability. Synchrotron beamline tests of two recent lens arrays, corresponding to two different diamond morphologies, are described. Focal line-widths down to 210 nm, using a nanocrystalline diamond lens array and a beam energy of E = 11 keV, and 230 nm, using a microcrystalline diamond lens at E = 15 keV, have been measured using the Diamond Light Source Ltd. B16 beamline. This focusing prowess is combined with relatively high transmission through the lenses compared with silicon refractive designs and other diffractive optics.

  8. Multielement X-ray row detector on GaAs with spatial resolution of 108 μm

    International Nuclear Information System (INIS)

    Dvoryankin, V.F.; Dikaev, Yu.M.; Krikunov, A.I.; Panova, T.M.; Telegin, A.A.

    2004-01-01

    The multielement X-ray row detector with pitch of 108 μm was made on epitaxial GaAs (p + -n-n'-n + ) structures by isotropic etching in solution HCl-KBrO 3 -H 2 O. Separation of signals from the near-by detectors is achieved by built-in guard ring on each pixel. The spatial response of the detectors was evaluated

  9. Electrodeposited manganese dioxide nanostructures on electro-etched carbon fibers: High performance materials for supercapacitor applications

    International Nuclear Information System (INIS)

    Kazemi, Sayed Habib; Maghami, Mostafa Ghaem; Kiani, Mohammad Ali

    2014-01-01

    Highlights: • We report a facile method for fabrication of MnO 2 nanostructures on electro-etched carbon fiber. • MnO 2 -ECF electrode shows outstanding supercapacitive behavior even at high discharge rates. • Exceptional cycle stability was achieved for MnO 2 -ECF electrode. • The coulombic efficiency of MnO 2 -ECF electrode is nearly 100%. - Abstract: In this article we introduce a facile, low cost and additive/template free method to fabricate high-rate electrochemical capacitors. Manganese oxide nanostructures were electrodeposited on electro-etched carbon fiber substrate by applying a constant anodic current. Nanostructured MnO 2 on electro-etched carbon fiber was characterized by scanning electron microscopy, X-ray diffraction and energy dispersive X-ray analysis. The electrochemical behavior of MnO 2 electro-etched carbon fiber electrode was investigated by electrochemical techniques including cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy. A maximum specific capacitance of 728.5 F g −1 was achieved at a scan rate of 5 mV s −1 for MnO 2 electro-etched carbon fiber electrode. Also, this electrode showed exceptional cycle stability, suggesting that it can be considered as a good candidate for supercapacitor electrodes

  10. Electrodeposited manganese dioxide nanostructures on electro-etched carbon fibers: High performance materials for supercapacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Kazemi, Sayed Habib, E-mail: habibkazemi@iasbs.ac.ir [Department of Chemistry, Institute for Advanced Studies in Basic Sciences (IASBS), Zanjan 45137-66731 (Iran, Islamic Republic of); Center for Research in Climate Change and Global Warming (CRCC), Institute for Advanced Studies in Basic Sciences (IASBS), Zanjan 45137-66731 (Iran, Islamic Republic of); Maghami, Mostafa Ghaem [Department of Chemistry, Institute for Advanced Studies in Basic Sciences (IASBS), Zanjan 45137-66731 (Iran, Islamic Republic of); Kiani, Mohammad Ali [Chemistry and Chemical Engineering Research Center of Iran, P.O. Box 14335-186, Tehran (Iran, Islamic Republic of)

    2014-12-15

    Highlights: • We report a facile method for fabrication of MnO{sub 2} nanostructures on electro-etched carbon fiber. • MnO{sub 2}-ECF electrode shows outstanding supercapacitive behavior even at high discharge rates. • Exceptional cycle stability was achieved for MnO{sub 2}-ECF electrode. • The coulombic efficiency of MnO{sub 2}-ECF electrode is nearly 100%. - Abstract: In this article we introduce a facile, low cost and additive/template free method to fabricate high-rate electrochemical capacitors. Manganese oxide nanostructures were electrodeposited on electro-etched carbon fiber substrate by applying a constant anodic current. Nanostructured MnO{sub 2} on electro-etched carbon fiber was characterized by scanning electron microscopy, X-ray diffraction and energy dispersive X-ray analysis. The electrochemical behavior of MnO{sub 2} electro-etched carbon fiber electrode was investigated by electrochemical techniques including cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy. A maximum specific capacitance of 728.5 F g{sup −1} was achieved at a scan rate of 5 mV s{sup −1} for MnO{sub 2} electro-etched carbon fiber electrode. Also, this electrode showed exceptional cycle stability, suggesting that it can be considered as a good candidate for supercapacitor electrodes.

  11. Bone X-Ray (Radiography)

    Medline Plus

    Full Text Available ... News Physician Resources Professions Site Index A-Z X-ray (Radiography) - Bone Bone x-ray uses a very ... of Bone X-ray (Radiography)? What is Bone X-ray (Radiography)? An x-ray (radiograph) is a noninvasive ...

  12. Novel X-ray telescopes for wide-field X-ray monitoring

    International Nuclear Information System (INIS)

    Hudec, R.; Inneman, A.; Pina, L.; Sveda, L.

    2005-01-01

    We report on fully innovative very wide-field of view X-ray telescopes with high sensitivity as well as large field of view. The prototypes are very promising, allowing the proposals for space projects with very wide-field Lobster-eye X-ray optics to be considered. The novel telescopes will monitor the sky with unprecedented sensitivity and angular resolution of order of 1 arcmin. They are expected to contribute essentially to study and to understand various astrophysical objects such as AGN, SNe, Gamma-ray bursts (GRBs), X-ray flashes (XRFs), galactic binary sources, stars, CVs, X-ray novae, various transient sources, etc. The Lobster optics based X-ray All Sky Monitor is capable to detect around 20 GRBs and 8 XRFs yearly and this will surely significantly contribute to the related science

  13. Bone X-Ray (Radiography)

    Medline Plus

    Full Text Available ... News Physician Resources Professions Site Index A-Z X-ray (Radiography) - Bone Bone x-ray uses a very small ... of Bone X-ray (Radiography)? What is Bone X-ray (Radiography)? An x-ray (radiograph) is a noninvasive ...

  14. Perfect-crystal x-ray optics to treat x-ray coherence

    International Nuclear Information System (INIS)

    Yamazaki, Hiroshi; Ishikawa, Tetsuya

    2007-01-01

    X-ray diffraction of perfect crystals, which serve as x-ray monochromator and collimator, modifies coherence properties of x-ray beams. From the time-dependent Takagi-Taupin equations that x-ray wavefields obey in crystals, the reflected wavefield is formulated as an integral transform of a general incident wavefield with temporal and spatial inhomogeneity. A reformulation of rocking-curve profiles from the field solution of the Takagi-Taupin equations allows experimental evaluation of the mutual coherence function of x-ray beam. The rigorous relationship of the coherence functions between before and after reflection clarifies how the coherence is transferred by a crystal. These results will be beneficial to developers of beamline optics for the next generation synchrotron sources. (author)

  15. X-ray scattering in X-ray fluorescence spectra with X-ray tube excitation - Modelling, experiment, and Monte-Carlo simulation

    International Nuclear Information System (INIS)

    Hodoroaba, V.-D.; Radtke, M.; Vincze, L.; Rackwitz, V.; Reuter, D.

    2010-01-01

    X-ray scattering may contribute significantly to the spectral background of X-ray fluorescence (XRF) spectra. Based on metrological measurements carried out with a scanning electron microscope (SEM) having attached a well characterised X-ray source (polychromatic X-ray tube) and a calibrated energy dispersive X-ray spectrometer (EDS) the accuracy of a physical model for X-ray scattering is systematically evaluated for representative samples. The knowledge of the X-ray spectrometer efficiency, but also of the spectrometer response functions makes it possible to define a physical spectral background of XRF spectra. Background subtraction relying on purely mathematical procedures is state-of-the-art. The results produced by the analytical model are at least as reliable as those obtained by Monte-Carlo simulations, even without considering the very challenging contribution of multiple scattering. Special attention has been paid to Compton broadening. Relevant applications of the implementation of the analytical model presented in this paper are the prediction of the limits of detection for particular cases or the determination of the transmission of X-ray polycapillary lenses.

  16. X-Ray Scattering Applications Using Pulsed X-Ray Sources

    Energy Technology Data Exchange (ETDEWEB)

    Larson, B.C.

    1999-05-23

    Pulsed x-ray sources have been used in transient structural phenomena investigations for over fifty years; however, until the advent of synchrotrons sources and the development of table-top picosecond lasers, general access to ligh temporal resolution x-ray diffraction was relatively limited. Advances in diffraction techniques, sample excitation schemes, and detector systems, in addition to IncEased access to pulsed sources, have ld tO what is now a diverse and growing array of pulsed-source measurement applications. A survey of time-resolved investigations using pulsed x-ray sources is presented and research opportunities using both present and planned pulsed x-ray sources are discussed.

  17. X-ray diagnostic installation for X-ray tomographic images

    International Nuclear Information System (INIS)

    Haendle, J.; Sklebitz, H.

    1984-01-01

    An exemplary embodiment includes at least one x-ray tube for the generation of an x-ray beam, a patient support, an image detector, and a control generator-connected with the x-ray tube and the image detector-for the purpose of moving the x-ray beam, and in opposition thereto, the image field of the image detector. There is connected to the control generator a layer height computer which calculates the enlargement from the geometric data for the tomogram. The image detector has a circuit-connected with the layer height computer-for the purpose of fading-in a marking for the dimensions in the layer plane

  18. X-ray emission spectroscopy. X-ray fluorescence

    International Nuclear Information System (INIS)

    Despujols, J.

    1992-01-01

    Principles of X-ray emission spectrometry are first recalled, then wave-length dispersive and energy dispersive X-ray fluorescence spectrometer are described. They are essentially designed for qualitative and quantitative analysis of elements (Z>10). Sample preparation, calibration, corrections, interferences, accuracy are reviewed. Examples of use in different industries are given. (71 refs.)

  19. Providing x-rays

    International Nuclear Information System (INIS)

    Mallozzi, P.J.; Epstein, H.M.

    1985-01-01

    This invention provides an apparatus for providing x-rays to an object that may be in an ordinary environment such as air at approximately atmospheric pressure. The apparatus comprises: means (typically a laser beam) for directing energy onto a target to produce x-rays of a selected spectrum and intensity at the target; a fluid-tight enclosure around the target; means for maintaining the pressure in the first enclosure substantially below atmospheric pressure; a fluid-tight second enclosure adjoining the first enclosure, the common wall portion having an opening large enough to permit x-rays to pass through but small enough to allow the pressure reducing means to evacuate gas from the first enclosure at least as fast as it enters through the opening; the second enclosure filled with a gas that is highly transparent to x-rays; the wall of the second enclosure to which the x-rays travel having a portion that is highly transparent to x-rays (usually a beryllium or plastic foil), so that the object to which the x-rays are to be provided may be located outside the second enclosure and adjacent thereto and thus receive the x-rays substantially unimpeded by air or other intervening matter. The apparatus is particularly suited to obtaining EXAFS (extended x-ray fine structure spectroscopy) data on a material

  20. Tritium analysis of divertor tiles used in JET ITER-like wall campaigns by means of β-ray induced x-ray spectrometry

    Science.gov (United States)

    Hatano, Y.; Yumizuru, K.; Koivuranta, S.; Likonen, J.; Hara, M.; Matsuyama, M.; Masuzaki, S.; Tokitani, M.; Asakura, N.; Isobe, K.; Hayashi, T.; Baron-Wiechec, A.; Widdowson, A.; contributors, JET

    2017-12-01

    Energy spectra of β-ray induced x-rays from divertor tiles used in ITER-like wall campaigns of the Joint European Torus were measured to examine tritium (T) penetration into tungsten (W) layers. The penetration depth of T evaluated from the intensity ratio of W(Lα) x-rays to W(Mα) x-rays showed clear correlation with poloidal position; the penetration depth at the upper divertor region reached several micrometers, while that at the lower divertor region was less than 500 nm. The deep penetration at the upper part was ascribed to the implantation of high energy T produced by DD fusion reactions. The poloidal distribution of total x-ray intensity indicated higher T retention in the inboard side than the outboard side of the divertor region.

  1. DISCOVERY OF X-RAY PULSATION FROM THE GEMINGA-LIKE PULSAR PSR J2021+4026

    Energy Technology Data Exchange (ETDEWEB)

    Lin, L. C. C. [General Education Center, China Medical University, Taichung 40402, Taiwan (China); Hui, C. Y.; Seo, K. A., E-mail: cyhui@cnu.ac.kr [Department of Astronomy and Space Science, Chungnam National University, Daejeon (Korea, Republic of); Hu, C. P.; Chou, Y. [Graduate Institute of Astronomy, National Central University, Jhongli 32001, Taiwan (China); Wu, J. H. K.; Huang, R. H. H. [Institute of Astronomy, National Tsing-Hua University, Hsinchu 30013, Taiwan (China); Trepl, L. [Astrophysikalisches Institut und Universitaets-Sternwarte, Universitaet Jena, Schillergaesschen 2-3, D-07745 Jena (Germany); Takata, J.; Wang, Y.; Cheng, K. S. [Department of Physics, University of Hong Kong, Pokfulam Road, Hong Kong (Hong Kong)

    2013-06-10

    We report the discovery of an X-ray periodicity of {approx}265.3 ms from a deep XMM-Newton observation of the radio-quiet {gamma}-ray pulsar, PSR J2021+4026, located at the edge of the supernova remnant G78.2+2.1 ({gamma}-Cygni). The detected frequency is consistent with the {gamma}-ray pulsation determined by the observation of the Fermi Gamma-ray Space Telescope at the same epoch. The X-ray pulse profile resembles the modulation of a hot spot on the surface of the neutron star. The phase-averaged spectral analysis also suggests that the majority of the observed X-rays have thermal origins. This is the third member in the class of radio-quiet pulsars with significant pulsations detected from both X-ray and {gamma}-ray regimes.

  2. Flare Characteristics from X-ray Light Curves

    Science.gov (United States)

    Gryciuk, M.; Siarkowski, M.; Sylwester, J.; Gburek, S.; Podgorski, P.; Kepa, A.; Sylwester, B.; Mrozek, T.

    2017-06-01

    A new methodology is given to determine basic parameters of flares from their X-ray light curves. Algorithms are developed from the analysis of small X-ray flares occurring during the deep solar minimum of 2009, between Solar Cycles 23 and 24, observed by the Polish Solar Photometer in X-rays (SphinX) on the Complex Orbital Observations Near-Earth of Activity of the Sun-Photon (CORONAS- Photon) spacecraft. One is a semi-automatic flare detection procedure that gives start, peak, and end times for single ("elementary") flare events under the assumption that the light curve is a simple convolution of a Gaussian and exponential decay functions. More complex flares with multiple peaks can generally be described by a sum of such elementary flares. Flare time profiles in the two energy ranges of SphinX (1.16 - 1.51 keV, 1.51 - 15 keV) are used to derive temperature and emission measure as a function of time during each flare. The result is a comprehensive catalogue - the SphinX Flare Catalogue - which contains 1600 flares or flare-like events and is made available for general use. The methods described here can be applied to observations made by Geosynchronous Operational Environmental Satellites (GOES), the Reuven Ramaty High Energy Solar Spectroscopic Imager (RHESSI) and other broad-band spectrometers.

  3. X-ray detector for a panoramic X-ray unit

    Energy Technology Data Exchange (ETDEWEB)

    Cowell, D; Ensslin, F H

    1976-01-15

    The discovery deals with an X-ray detector suitable for the controlling of panoramic X-ray systems. It consists of a fluorescent image screen and a semiconductor photo cell. The output signal of the detector is proportional to the intensity of the X-radiation and the response time is large enough to follow the change of amplitude of the contours of the modulated X radiation. The detector with band-pass filter regulates, via a control system, the moving rate of the X-ray source and of the film opposite it in dependence of the intensity, so that a uniform exposure is ensured.

  4. X-ray imaging with compound refractive lens and microfocus X-ray tube

    OpenAIRE

    Pina, Ladislav; Dudchik, Yury; Jelinek, Vaclav; Sveda, Libor; Marsik, Jiri; Horvath, Martin; Petr, Ondrej

    2008-01-01

    Compound refractive lenses (CRL), consisting of a lot number in-line concave microlenses made of low-Z material were studied. Lenses with focal length 109 mm and 41 mm for 8-keV X-rays, microfocus X-ray tube and X-ray CCD camera were used in experiments. Obtained images show intensity distribution of magnified microfocus X-ray source focal spot. Within the experiments, one lens was also used as an objective lens of the X-ray microscope, where the copper anode X-ray microfocus tube served as a...

  5. An X-ray photoelectron spectroscopic study of the B-N-Ti system

    Energy Technology Data Exchange (ETDEWEB)

    Seal, S. [Lawrence Berkeley National Lab., CA (United States); Barr, T.L. [Univ. of Wisconsin, Milwaukee, WI (United States); Sobczak, N. [Foundry Research Inst., Cracow (Poland); Benko, E. [Inst. of Metal Cutting, Cracow (Poland); Morgiel, J. [Polish Academy of Sciences, Cracow (Poland). Inst. of Metallurgy and Materials Science

    1997-03-01

    Composite nitrides (such as BN, TiN) are widely used in various industrial applications because of their extreme wear and corrosion resistance, thermal and electrical properties. In order to obtain composite materials with these optimal properties, it is important to elucidate whether any chemical reactions occur at nitride/metal interfaces, e.g., those involving BN-Ti/TiN. Materials of interest include the deposition by PVD of Ti and TiN on BN substrates. Some of these systems were then subjected to varying degrees of physical and thermal alteration. Detailed X-ray photoelectron spectroscopy (XPS) has therefore been rendered of these interfaces using cross-sectional display and sputter etching. Resulting structural and morphological features have been investigated with transmission electron microscopy (TEM) and X-ray diffraction (XRD). Diffusion of the nitridation, oxynitride formation and interfacial growth are of general interest.

  6. Abdominal x-ray

    Science.gov (United States)

    Abdominal film; X-ray - abdomen; Flat plate; KUB x-ray ... There is low radiation exposure. X-rays are monitored and regulated to provide the minimum amount of radiation exposure needed to produce the image. Most ...

  7. CANDELS/GOODS-S, CDFS, and ECDFS: photometric redshifts for normal and X-ray-detected galaxies

    Energy Technology Data Exchange (ETDEWEB)

    Hsu, Li-Ting; Salvato, Mara; Nandra, Kirpal; Brusa, Marcella; Bender, Ralf; Buchner, Johannes; Brightman, Murray; Georgakakis, Antonis [Max-Planck-Institut für extraterrestrische Physik, Giessenbachstrasse, D-85748 Garching (Germany); Donley, Jennifer L. [Los Alamos National Laboratory, Los Alamos, NM (United States); Kocevski, Dale D. [Department of Physics and Astronomy, University of Kentucky, Lexington, KY 40506 (United States); Guo, Yicheng; Barro, Guillermo; Faber, Sandra M. [UCO/Lick Observatory, Department of Astronomy and Astrophysics, University of California, Santa Cruz, CA (United States); Hathi, Nimish P. [Aix Marseille Université, CNRS, LAM (Laboratoire d' Astrophysique de Marseille) UMR 7326, F-13388 Marseille (France); Rangel, Cyprian [Astrophysics Group, Imperial College London, Blackett Laboratory, Prince Consort Road, London SW7 2AZ (United Kingdom); Willner, S. P.; Ashby, Matthew L. N. [Harvard-Smithsonian Center for Astrophysics, Cambridge, MA (United States); Budavári, Tamás; Szalay, Alexander S. [Department of Physics and Astronomy, The Johns Hopkins University, Baltimore, MD (United States); Dahlen, Tomas [Space Telescope Science Institute, Baltimore, MD (United States); and others

    2014-11-20

    We present photometric redshifts and associated probability distributions for all detected sources in the Extended Chandra Deep Field South (ECDFS). This work makes use of the most up-to-date data from the Cosmic Assembly Near-IR Deep Legacy Survey (CANDELS) and the Taiwan ECDFS Near-Infrared Survey (TENIS) in addition to other data. We also revisit multi-wavelength counterparts for published X-ray sources from the 4 Ms CDFS and 250 ks ECDFS surveys, finding reliable counterparts for 1207 out of 1259 sources (∼96%). Data used for photometric redshifts include intermediate-band photometry deblended using the TFIT method, which is used for the first time in this work. Photometric redshifts for X-ray source counterparts are based on a new library of active galactic nuclei/galaxy hybrid templates appropriate for the faint X-ray population in the CDFS. Photometric redshift accuracy for normal galaxies is 0.010 and for X-ray sources is 0.014 and outlier fractions are 4% and 5.2%, respectively. The results within the CANDELS coverage area are even better, as demonstrated both by spectroscopic comparison and by galaxy-pair statistics. Intermediate-band photometry, even if shallow, is valuable when combined with deep broadband photometry. For best accuracy, templates must include emission lines.

  8. CANDELS/GOODS-S, CDFS, and ECDFS: photometric redshifts for normal and X-ray-detected galaxies

    International Nuclear Information System (INIS)

    Hsu, Li-Ting; Salvato, Mara; Nandra, Kirpal; Brusa, Marcella; Bender, Ralf; Buchner, Johannes; Brightman, Murray; Georgakakis, Antonis; Donley, Jennifer L.; Kocevski, Dale D.; Guo, Yicheng; Barro, Guillermo; Faber, Sandra M.; Hathi, Nimish P.; Rangel, Cyprian; Willner, S. P.; Ashby, Matthew L. N.; Budavári, Tamás; Szalay, Alexander S.; Dahlen, Tomas

    2014-01-01

    We present photometric redshifts and associated probability distributions for all detected sources in the Extended Chandra Deep Field South (ECDFS). This work makes use of the most up-to-date data from the Cosmic Assembly Near-IR Deep Legacy Survey (CANDELS) and the Taiwan ECDFS Near-Infrared Survey (TENIS) in addition to other data. We also revisit multi-wavelength counterparts for published X-ray sources from the 4 Ms CDFS and 250 ks ECDFS surveys, finding reliable counterparts for 1207 out of 1259 sources (∼96%). Data used for photometric redshifts include intermediate-band photometry deblended using the TFIT method, which is used for the first time in this work. Photometric redshifts for X-ray source counterparts are based on a new library of active galactic nuclei/galaxy hybrid templates appropriate for the faint X-ray population in the CDFS. Photometric redshift accuracy for normal galaxies is 0.010 and for X-ray sources is 0.014 and outlier fractions are 4% and 5.2%, respectively. The results within the CANDELS coverage area are even better, as demonstrated both by spectroscopic comparison and by galaxy-pair statistics. Intermediate-band photometry, even if shallow, is valuable when combined with deep broadband photometry. For best accuracy, templates must include emission lines.

  9. X-ray spectroscopy and X-ray crystallography of metalloenzymes at XFELs

    International Nuclear Information System (INIS)

    Yano, Junko

    2016-01-01

    The ultra-bright femtosecond X-ray pulses provided by X-ray Free Electron Lasers (XFELs) open capabilities for studying the structure and dynamics of a wide variety of biological and inorganic systems beyond what is possible at synchrotron sources. Although the structure and chemistry at the catalytic sites have been studied intensively in both biological and inorganic systems, a full understanding of the atomic-scale chemistry requires new approaches beyond the steady state X-ray crystallography and X-ray spectroscopy at cryogenic temperatures. Following the dynamic changes in the geometric and electronic structure at ambient conditions, while overcoming X-ray damage to the redox active catalytic center, is key for deriving reaction mechanisms. Such studies become possible by using the intense and ultra-short femtosecond X-ray pulses from an XFEL, where sample is probed before it is damaged. We have developed methodology for simultaneously collecting crystallography data and X-ray emission spectra, using an energy dispersive spectrometer at ambient conditions. In addition, we have developed a way to collect metal L-edge data of dilute samples using soft X-rays at XFELs. The advantages and challenges of these methods will be described in this review. (author)

  10. Chest X-Ray

    Medline Plus

    Full Text Available ... talk with you about chest radiography also known as chest x-rays. Chest x-rays are the ... treatment for a variety of lung conditions such as pneumonia, emphysema and cancer. A chest x-ray ...

  11. Modern X-ray spectroscopy 3. X-ray fluorescence holography

    International Nuclear Information System (INIS)

    Hayashi, Kouichi

    2008-01-01

    X-ray fluorescence holography (XFH) provides three dimensional atomic images around specified elements. The XFH uses atoms as a wave source or monitor of interference field within a crystal sample, and therefore it can record both intensity and phase of scattered X-rays. Its current performance makes it possible to apply to ultra thin film, impurity and quasicrystal. In this article, I show the theory including solutions for twin image problem, advanced measuring system, data processing for reconstruction of the atomic images and for obtaining accurate atomic positions, applications using resonant X-ray scattering and X-ray excited optical luminescence, and an example of XFH result on the local structure around copper in silicon steal. (author)

  12. X-ray holography: X-ray interactions and their effects

    International Nuclear Information System (INIS)

    London, R.A.; Trebes, J.E.; Rosen, M.D.

    1988-01-01

    The authors summarize a theoretical study of the interactions of x-rays with a biological sample during the creation of a hologram. The choice of an optimal wavelength for x-ray holography is discussed, based on a description of scattering by objects within an aqueous environment. The problem of the motion resulting from the absorption of x-rays during a short exposure is described. The possibility of using very short exposures in order to capture the image before motion can compromise the resolution is explored. The impact of these calculation on the question of the feasibility of using an x-ray laser for holography of biological structures is discussed. 12 refs., 2 figs

  13. Development of confocal micro X-ray fluorescence instrument using two X-ray beams

    International Nuclear Information System (INIS)

    Tsuji, Kouichi; Nakano, Kazuhiko; Ding Xunliang

    2007-01-01

    A new confocal micro X-ray fluorescence instrument was developed. This instrument has two independent micro X-ray tubes with Mo targets. A full polycapillary X-ray lens was attached to each X-ray tube. Another half polycapillary lens was attached to a silicon drift X-ray detector (SDD). The focal spots of the three lenses were adjusted to a common position. The effects of the excitation of two X-ray beams were investigated. The instrument enabled highly sensitive three-dimensional X-ray fluorescence analysis. We confirmed that the X-ray fluorescence intensity from the sample increased by applying the two independent X-ray tubes in confocal configuration. Elemental depth profiling of black wheat was demonstrated with the result that each element in the surface coat of a wheat grain showed unique distribution

  14. XRASE: The X-Ray Spectroscopic Explorer

    DEFF Research Database (Denmark)

    Schnopper, H.W.; Silver, E.; Murray, S.

    2001-01-01

    baryonic matter, the mass of black holes and the formation of disks and jets in AGN and galactic binaries. XRASE has a thin foil, multilayered telescope with a large collecting area up to 10 keV, especially in the Fe K alpha region (1100 cm(2)). Its microcalorimeter array combines high energy resolution (7...... eV at 6 keV) and efficiency with a field-of-view of 26 arcmin(2) . A deep orbit allows for long, continuous observations. Monitoring instruments in the optical (WOM-X), UV (TAUVEX) and hard X-RAY (GRAM) bands will offer exceptional opportunities to make simultaneous multi-wavelength observations....

  15. Modern X-ray difraction. X-ray diffractometry for material scientists, physicists, and chemicists

    International Nuclear Information System (INIS)

    Spiess, L.; Schwarzer, R.; Behnken, H.; Teichert, G.

    2005-01-01

    The book yields a comprehensive survey over the applications of X-ray diffraction in fields like material techniques, metallurgy, electrotechniques, machine engineering, as well as micro- and nanotechniques. The necessary fundamental knowledge on X-ray diffraction are mediated foundedly and illustratively. Thereby new techniques and evaluation procedures are presented as well as well known methods. The content: Production and properties of X radiation, diffraction of X radiation, hardware for X-ray diffraction, methods of X-ray diffraction, lattice-constant determination, phase analysis, X-ray profile analysis, crystal structure analysis, X-ray radiographic stress analysis, X-ray radiographic texture analysis, crystal orientation determination, pecularities at thin films, small angle scattering

  16. X-Ray Exam: Pelvis

    Science.gov (United States)

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Pelvis KidsHealth / For Parents / X-Ray Exam: ... Ray Exam: Hip Broken Bones Getting an X-ray (Video) X-Ray (Video) View more Partner Message About Us ...

  17. X-ray scattering measurements from thin-foil x-ray mirrors

    DEFF Research Database (Denmark)

    Christensen, Finn Erland; BYRNAK, BP; Hornstrup, Allan

    1992-01-01

    Thin foil X-ray mirrors are to be used as the reflecting elements in the telescopes of the X-ray satellites Spectrum-X-Gamma (SRG) and ASTRO-D. High resolution X-ray scattering measurements from the Au coated and dip-lacquered Al foils are presented. These were obtained from SRG mirrors positioned...... in a test quadrant of the telescope structure and from ASTRO-D foils held in a simple fixture. The X-ray data is compared with laser data and other surface structure data such as STM, atomic force microscopy (AFM), TEM, and electron micrography. The data obtained at Cu K-alpha(1), (8.05 keV) from all...

  18. X-ray examination apparatus

    NARCIS (Netherlands)

    2000-01-01

    The invention relates to an X-ray apparatus which includes an adjustable X-ray filter. In order to adjust an intensity profile of the X-ray beam, an X-ray absorbing liquid is transported to filter elements of the X-ray filter. Such transport is susceptible to gravitational forces which lead to an

  19. Impact of x-ray dose on the response of CR-39 to 1-5.5 MeV alphas

    International Nuclear Information System (INIS)

    Rojas-Herrera, J.; Rinderknecht, H. G.; Zylstra, A. B.; Gatu Johnson, M.; Orozco, D.; Rosenberg, M. J.; Sio, H.; Seguin, F. H.; Frenje, J. A.; Li, C. K.; Petrasso, R. D.

    2015-01-01

    The CR-39 nuclear track detector is used in many nuclear diagnostics fielded at inertial confinement fusion (ICF) facilities. Large x-ray uences generated by ICF experiments may impact the CR-39 response to incident charged particles. To determine the impact of x-ray exposure on the CR-39 response to alpha particles, a thick-target bremsstrahlung x-ray generator was used to expose CR-39 to various doses of 8 keV Cu-K α and K β x-rays. The CR-39 detectors were then exposed to 1-5.5 MeV alphas from an Am-241 source. The regions of the CR-39 exposed to x-rays showed a smaller track diameter than those not exposed to x-rays: for example, a dose of 3.0 ± 0.1 Gy causes a decrease of (19 ± 2)% in the track diameter of a 5.5 MeV alpha particle, while a dose of 60.0 ± 1.3 Gy results in a decrease of (45 ± 5)% in the track diameter. The reduced track diameters were found to be predominantly caused by a comparable reduction in the bulk etch rate of the CR-39 with x-ray dose. A residual effect depending on alpha particle energy is characterized using an empirical formula

  20. Development of x-ray laminography under an x-ray microscopic condition

    International Nuclear Information System (INIS)

    Hoshino, Masato; Uesugi, Kentaro; Takeuchi, Akihisa; Suzuki, Yoshio; Yagi, Naoto

    2011-01-01

    An x-ray laminography system under an x-ray microscopic condition was developed to obtain a three-dimensional structure of laterally-extended planar objects which were difficult to observe by x-ray tomography. An x-ray laminography technique was introduced to an x-ray transmission microscope with zone plate optics. Three prototype sample holders were evaluated for x-ray imaging laminography. Layered copper grid sheets were imaged as a laminated sample. Diatomite powder on a silicon nitride membrane was measured to confirm the applicability of this method to non-planar micro-specimens placed on the membrane. The three-dimensional information of diatom shells on the membrane was obtained at a spatial resolution of sub-micron. Images of biological cells on the membrane were also obtained by using a Zernike phase contrast technique.

  1. TOWARD IDENTIFYING THE UNASSOCIATED GAMMA-RAY SOURCE 1FGL J1311.7-3429 WITH X-RAY AND OPTICAL OBSERVATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Kataoka, J.; Takahashi, Y.; Maeda, K. [Research Institute for Science and Engineering, Waseda University, 3-4-1, Okubo, Shinjuku, Tokyo 169-8555 (Japan); Yatsu, Y.; Kawai, N. [Tokyo Institute of Technology, 2-12-1, Ohokayama, Meguro, Tokyo 152-8551 (Japan); Urata, Y.; Tsai, A. [Institute of Astronomy, National Central University, Chung-Li 32054, Taiwan (China); Cheung, C. C. [National Research Council Research Associate, National Academy of Sciences, Washington, DC 20001 (United States); Totani, T.; Makiya, R. [Department of Astronomy, Kyoto University, Kitashirakawa, Sakyo-ku, Kyoto 606-8502 (Japan); Hanayama, H.; Miyaji, T., E-mail: kataoka.jun@waseda.jp [Ishigakijima Astronomical Observatory, National Astronomical Observatory of Japan, 1024-1 Arakawa, Ishigaki, Okinawa, 907-0024 (Japan)

    2012-10-01

    We present deep optical and X-ray follow-up observations of the bright unassociated Fermi-LAT gamma-ray source 1FGL J1311.7-3429. The source was already known as an unidentified EGRET source (3EG J1314-3431, EGR J1314-3417), hence its nature has remained uncertain for the past two decades. For the putative counterpart, we detected a quasi-sinusoidal optical modulation of {Delta}m {approx} 2 mag with a period of {approx_equal}1.5 hr in the Rc, r', and g' bands. Moreover, we found that the amplitude of the modulation and peak intensity changed by {approx}>1 mag and {approx}0.5 mag, respectively, over our total six nights of observations from 2012 March to May. Combined with Swift UVOT data, the optical-UV spectrum is consistent with a blackbody temperature, kT {approx_equal} 1 eV and the emission volume radius R{sub bb} {approx_equal} 1.5 Multiplication-Sign 10{sup 4} d{sub kpc} km (d{sub kpc} is the distance to the source in units of 1 kpc). In contrast, deep Suzaku observations conducted in 2009 and 2011 revealed strong X-ray flares with a light curve characterized with a power spectrum density of P(f) {proportional_to} f {sup -2.0{+-}0.4}, but the folded X-ray light curves suggest an orbital modulation also in X-rays. Together with the non-detection of a radio counterpart, and significant curved spectrum and non-detection of variability in gamma-rays, the source may be the second 'radio-quiet' gamma-ray emitting millisecond pulsar candidate after 1FGL J2339.7-0531, although the origin of flaring X-ray and optical variability remains an open question.

  2. Chest X-Ray

    Medline Plus

    Full Text Available ... by Image/Video Gallery Your Radiologist Explains Chest X-ray Transcript Welcome to Radiology Info dot org! Hello, ... you about chest radiography also known as chest x-rays. Chest x-rays are the most commonly performed ...

  3. STRUCTURING OF DIAMOND FILMS USING MICROSPHERE LITHOGRAPHY

    Directory of Open Access Journals (Sweden)

    Mária Domonkos

    2014-10-01

    Full Text Available In this study, the structuring of micro- and nanocrystalline diamond thin films is demonstrated. The structuring of the diamond films is performed using the technique of microsphere lithography followed by reactive ion etching. Specifically, this paper presents a four-step fabrication process: diamond deposition (microwave plasma assisted chemical vapor deposition, mask preparation (by the standard Langmuir-Blodgett method, mask modification and diamond etching. A self-assembled monolayer of monodisperse polystyrene (PS microspheres with close-packed ordering is used as the primary template. Then the PS microspheres and the diamond films are processed in capacitively coupled radiofrequency plasma  using different plasma chemistries. This fabrication method illustrates the preparation of large arrays of periodic and homogeneous hillock-like structures. The surface morphology of processed diamond films is characterized by scanning electron microscopy and atomic force microscope. The potential applications of such diamond structures in various fields of nanotechnology are also briefly discussed.

  4. Thin-film X-ray filters on microstructured substrates and their thermophysical properties

    Science.gov (United States)

    Mitrofanov, A. V.

    2018-02-01

    It is shown that structured substrates having micron- or submicron-sized through holes and coated with an ultrathin organic film can be used for the fabrication of thin-film X-ray filters via direct growth of functional layers on a substrate by sputter deposition, without additional complex processing steps. An optimised process is considered for the fabrication of X-ray filters on support structures in the form of electroplated fine nickel grids and on track-etched polymer membranes with micron- and submicrondiameter through pores. 'Optimisation' is here taken to mean matching the sputter deposition conditions with the properties of substrates so as to avoid overheating. The filters in question are intended for both imaging and single-channel detectors operating in the soft X-ray and vacuum UV spectral regions, at wavelengths from 10 to 60 nm. Thermal calculations are presented for the heating of ultrathin layers of organic films and thin-film support substrates during the sputter deposition of aluminium or other functional materials. The paper discusses approaches for cooling thinfilm composites during the sputter deposition process and the service of the filters in experiments and gives a brief overview of the works that utilised filters produced by the described technique on microstructured substrates, including orbital solar X-ray research in the framework of the CORONAS programme and laboratory laser plasma experiments.

  5. Multielement X-ray row detector on GaAs with spatial resolution of 108 {mu}m

    Energy Technology Data Exchange (ETDEWEB)

    Dvoryankin, V.F.; Dikaev, Yu.M. E-mail: ymd289@ire216.msk.ru; Krikunov, A.I.; Panova, T.M.; Telegin, A.A

    2004-09-21

    The multielement X-ray row detector with pitch of 108 {mu}m was made on epitaxial GaAs (p{sup +}-n-n'-n{sup +}) structures by isotropic etching in solution HCl-KBrO{sub 3}-H{sub 2}O. Separation of signals from the near-by detectors is achieved by built-in guard ring on each pixel. The spatial response of the detectors was evaluated.

  6. X-ray detector array

    International Nuclear Information System (INIS)

    Houston, J.M.

    1980-01-01

    The object of the invention (an ionization chamber X-ray detector array for use with high speed computerised tomographic imaging apparatus) is to reduce the time required to produce a tomographic image. The detector array described determines the distribution of X-ray intensities in one or more flat, coplanar X-ray beams. It comprises three flat anode sheets parallel to the X-ray beam, a plurality of rod-like cathodes between the anodes, a detector gas between the electrodes and a means for applying a potential between the electrodes. Each of the X-ray sources is collimated to give a narrow, planar section of X-ray photons. Sets of X-ray sources in the array are pulsed simultaneously to obtain X-ray transmission data for tomographic image reconstruction. (U.K.)

  7. Fabrication of sub-15 nm aluminum wires by controlled etching

    International Nuclear Information System (INIS)

    Morgan-Wall, T.; Hughes, H. J.; Hartman, N.; Marković, N.; McQueen, T. M.

    2014-01-01

    We describe a method for the fabrication of uniform aluminum nanowires with diameters below 15 nm. Electron beam lithography is used to define narrow wires, which are then etched using a sodium bicarbonate solution, while their resistance is simultaneously measured in-situ. The etching process can be stopped when the desired resistance is reached, and can be restarted at a later time. The resulting nanowires show a superconducting transition as a function of temperature and magnetic field that is consistent with their smaller diameter. The width of the transition is similar to that of the lithographically defined wires, indicating that the etching process is uniform and that the wires are undamaged. This technique allows for precise control over the normal state resistance and can be used to create a variety of aluminum nanodevices

  8. Laser plasma x-ray source for ultrafast time-resolved x-ray absorption spectroscopy

    Directory of Open Access Journals (Sweden)

    L. Miaja-Avila

    2015-03-01

    Full Text Available We describe a laser-driven x-ray plasma source designed for ultrafast x-ray absorption spectroscopy. The source is comprised of a 1 kHz, 20 W, femtosecond pulsed infrared laser and a water target. We present the x-ray spectra as a function of laser energy and pulse duration. Additionally, we investigate the plasma temperature and photon flux as we vary the laser energy. We obtain a 75 μm FWHM x-ray spot size, containing ∼106 photons/s, by focusing the produced x-rays with a polycapillary optic. Since the acquisition of x-ray absorption spectra requires the averaging of measurements from >107 laser pulses, we also present data on the source stability, including single pulse measurements of the x-ray yield and the x-ray spectral shape. In single pulse measurements, the x-ray flux has a measured standard deviation of 8%, where the laser pointing is the main cause of variability. Further, we show that the variability in x-ray spectral shape from single pulses is low, thus justifying the combining of x-rays obtained from different laser pulses into a single spectrum. Finally, we show a static x-ray absorption spectrum of a ferrioxalate solution as detected by a microcalorimeter array. Altogether, our results demonstrate that this water-jet based plasma source is a suitable candidate for laboratory-based time-resolved x-ray absorption spectroscopy experiments.

  9. Atomic structure of diamond {111} surfaces etched in oxygen water vapor

    International Nuclear Information System (INIS)

    Theije, F.K. de; Reedijk, M.F.; Arsic, J.; Enckevort, W.J.P. van; Vlieg, E.

    2001-01-01

    The atomic structure of the {111} diamond face after oxygen-water-vapor etching is determined using x-ray scattering. We find that a single dangling bond diamond {111} surface model, terminated by a full monolayer of -OH fits our data best. To explain the measurements it is necessary to add an ordered water layer on top of the -OH terminated surface. The vertical contraction of the surface cell and the distance between the oxygen atoms are generally in agreement with model calculations and results on similar systems. The OH termination is likely to be present during etching as well. This model experimentally confirms the atomic-scale mechanism we proposed previously for this etching system

  10. X-ray instrumentation in astronomy

    International Nuclear Information System (INIS)

    Cuhlane, J.L.

    1985-01-01

    This book presents the proceedings of a conference devoted to x-ray instrumentation in astronomy. Special sections are: AXAF X-Ray Optical Systems; Specialized X-Ray Systems; X-Ray Optical Systems I; X-Ray Optical Systems II; Gas Filled X-Ray Detectors II; The NASA Advanced X-Ray Astrophysics Facility; X-Ray and EUV Spectrometers; Microchannel Plates; and Solid State Detectors

  11. Panoramic Dental X-Ray

    Science.gov (United States)

    ... Physician Resources Professions Site Index A-Z Panoramic Dental X-ray Panoramic dental x-ray uses a very small dose of ... x-ray , is a two-dimensional (2-D) dental x-ray examination that captures the entire mouth ...

  12. Chest X-Ray

    Medline Plus

    Full Text Available ... I’d like to talk with you about chest radiography also known as chest x-rays. Chest x-rays are the most ... far outweighs any risk. For more information about chest x-rays, visit Radiology Info dot org. Thank you for your time! ...

  13. Miniature x-ray point source for alignment and calibration of x-ray optics

    International Nuclear Information System (INIS)

    Price, R.H.; Boyle, M.J.; Glaros, S.S.

    1977-01-01

    A miniature x-ray point source of high brightness similar to that of Rovinsky, et al. is described. One version of the x-ray source is used to align the x-ray optics on the Argus and Shiva laser systems. A second version is used to determine the spatial and spectral transmission functions of the x-ray optics. The spatial and spectral characteristics of the x-ray emission from the x-ray point source are described. The physical constraints including size, intensity and thermal limitations, and useful lifetime are discussed. The alignment and calibration techniques for various x-ray optics and detector combinations are described

  14. Subluminous X-ray binaries

    NARCIS (Netherlands)

    Armas Padilla, M.

    2013-01-01

    The discovery of the first X-ray binary, Scorpius X-1, by Giacconi et al. (1962), marked the birth of X-ray astronomy. Following that discovery, many additional X-ray sources where found with the first generation of X-ray rockets and observatories (e.g., UHURU and Einstein). The short-timescale

  15. X-Ray Exam: Forearm

    Science.gov (United States)

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Forearm KidsHealth / For Parents / X-Ray Exam: ... Muscles, and Joints Broken Bones Getting an X-ray (Video) X-Ray (Video) View more Partner Message About Us ...

  16. X-Ray Exam: Foot

    Science.gov (United States)

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Foot KidsHealth / For Parents / X-Ray Exam: ... Muscles, and Joints Broken Bones Getting an X-ray (Video) X-Ray (Video) View more Partner Message About Us ...

  17. X-Ray Exam: Wrist

    Science.gov (United States)

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Wrist KidsHealth / For Parents / X-Ray Exam: ... Muscles, and Joints Broken Bones Getting an X-ray (Video) X-Ray (Video) View more Partner Message About Us ...

  18. Thoracic spine x-ray

    Science.gov (United States)

    Vertebral radiography; X-ray - spine; Thoracic x-ray; Spine x-ray; Thoracic spine films; Back films ... There is low radiation exposure. X-rays are monitored and regulated to provide the minimum amount of radiation exposure needed to produce the image. Most ...

  19. X-Ray Exam: Finger

    Science.gov (United States)

    ... Staying Safe Videos for Educators Search English Español X-Ray Exam: Finger KidsHealth / For Parents / X-Ray Exam: ... Muscles, and Joints Broken Bones Getting an X-ray (Video) X-Ray (Video) View more Partner Message About Us ...

  20. PROBING WOLF–RAYET WINDS: CHANDRA/HETG X-RAY SPECTRA OF WR 6

    Energy Technology Data Exchange (ETDEWEB)

    Huenemoerder, David P.; Schulz, N. S. [Massachusetts Institute of Technology, Kavli Institute for Astrophysics and Space Research, 70 Vassar St., Cambridge, MA 02139 (United States); Gayley, K. G. [Department of Physics and Astronomy, University of Iowa, Iowa City, IA 52242 (United States); Hamann, W.-R.; Oskinova, L.; Shenar, T. [Institut für Physik und Astronomie, Universität Potsdam, Karl-Liebknecht-Str. 24/25, D-14476 Potsdam (Germany); Ignace, R. [Department of Physics and Astronomy, East Tennessee State University, Johnson City, TN 37614 (United States); Nichols, J. S. [Harvard-Smithsonian Center for Astrophysics, 60 Garden St., MS 34, Cambridge, MA 02138 (United States); Pollock, A. M. T., E-mail: dph@space.mit.edu, E-mail: ken.gayley@gmail.com, E-mail: wrh@astro.physik.uni-potsdam.de, E-mail: lida@astro.physik.uni-potsdam.de, E-mail: shtomer@astro.physik.uni-potsdam.de, E-mail: ignace@mail.etsu.edu, E-mail: jnichols@cfa.harvard.edu [European Space Agency, ESAC, Apartado 78, E-28691 Villanueva de la Cañada (Spain)

    2015-12-10

    With a deep Chandra/HETGS exposure of WR 6, we have resolved emission lines whose profiles show that the X-rays originate from a uniformly expanding spherical wind of high X-ray-continuum optical depth. The presence of strong helium-like forbidden lines places the source of X-ray emission at tens to hundreds of stellar radii from the photosphere. Variability was present in X-rays and simultaneous optical photometry, but neither were correlated with the known period of the system or with each other. An enhanced abundance of sodium revealed nuclear-processed material, a quantity related to the evolutionary state of the star. The characterization of the extent and nature of the hot plasma in WR 6 will help to pave the way to a more fundamental theoretical understanding of the winds and evolution of massive stars.

  1. X-ray photon-in/photon-out methods for chemical imaging

    Energy Technology Data Exchange (ETDEWEB)

    Marcus, Matthew A.

    2010-03-24

    Most interesting materials in nature are heterogeneous, so it is useful to have analytical techniques with spatial resolution sufficient to resolve these heterogeneities.This article presents the basics of X-ray photon-in/photon-out chemical imaging. This family of methods allows one to derive images reflectingthe chemical state of a given element in a complex sample, at micron or deep sub-micron scale. X-ray chemical imaging is relatively non-destructiveand element-selective, and requires minimal sample preparation. The article presents the basic concepts and some considerations of data takingand data analysis, along with some examples.

  2. Formation and evaluation of convex-curved crystals of lithium fluoride for use in analyzing x-ray spectra

    International Nuclear Information System (INIS)

    Sellick, B.O.

    1976-01-01

    Lithium fluoride as received from the vendor in boule form is 38 x 38 x 13 mm thick. This block is cleaved to wafers of the desired thickness, x-ray-evaluated for ''d'' spacing and greatest intensity, bent to the required radius, and then acid-etched to remove foreign material. The diffraction and dispersion characteristics of a wafer are analyzed using well-collimated tungsten x rays that strike the crystal and are diffracted onto no-screen x-ray film. If the crystal is satisfactory, it is mounted in a spectrogoniometer and rotated through an x-ray beam while a detector is set at the optimized angle for the diffracted x rays. The average intensity across the length of the crystal is recorded by multichannel scaling. Any imperfections appear as peaks or dips compared to the average intensity. The crystal next goes to a 10-channel, filter-fluorescer x-ray unit that compares zero-order intensity to diffracted Kα and Kβ intensity. Counts for 100-s intervals are taken in groups of three and averaged. Correction factors for instrument geometry, air, pinhole diameter at zero order, Kα-Kβ, barometric pressure, temperature, etc., are added to the efficiency calculations to obtain the crystal efficiency (epsilon) vs keV data. The crystal is mounted in the spectrograph or spectrometer and calibrated to either the detector or film plane by using direct radiation with proper x-ray filters or absorbers. The crystal is then ready for use

  3. Soft x-ray lasers

    International Nuclear Information System (INIS)

    Matthews, D.L.; Rosen, M.D.

    1988-01-01

    One of the elusive dreams of laser physicists has been the development of an x-ray laser. After 25 years of waiting, the x-ray laser has at last entered the scientific scene, although those now in operation are still laboratory prototypes. They produce soft x rays down to about five nanometers. X-ray lasers retain the usual characteristics of their optical counterparts: a very tight beam, spatial and temporal coherence, and extreme brightness. Present x-ray lasers are nearly 100 times brighter that the next most powerful x-ray source in the world: the electron synchrotron. Although Lawrence Livermore National Laboratory (LLNL) is widely known for its hard-x-ray laser program which has potential applications in the Strategic Defense Initiative, the soft x-ray lasers have no direct military applications. These lasers, and the scientific tools that result from their development, may one day have a place in the design and diagnosis of both laser fusion and hard x-ray lasers. The soft x-ray lasers now in operation at the LLNL have shown great promise but are still in the primitive state. Once x-ray lasers become reliable, efficient, and economical, they will have several important applications. Chief among them might be the creation of holograms of microscopic biological structures too small to be investigated with visible light. 5 figs

  4. X-ray qualitative analysis of low concentration phases

    International Nuclear Information System (INIS)

    Brusilovskii, B.A.; Khaet, L.G.

    1993-01-01

    The identification of low concentration phases (LCP) situated at the detection limit of x-ray analysis have not been examined sufficiently. The authors have developed a method of qualitative x-ray analysis of LCPs of the first order (carbides and certain intermetallic compounds) in a multiphase system. X-ray examination of the LCPs consists of analysis of a priori information and formation of the information data on the basis of the profile of the diffraction line with subsequent processing using the F-criterion (Fischer's criterion). If the phase is not detected, consecutive analysis with build up of information is pursued. Results are presented of a successive qualitative phase analysis of a region of quenched layer of a roll situated 10 mm from the surface. Carbide phases were identified in the quenched layer of the roll up to 15 mm deep and in the transition zone 15-35 mm. The proposed method was justified physically and statistically, recommendations for practice were given, and the method was tested and is regarded as promising for detecting weak lines in qualitative diffractometric analysis. 12 refs., 3 tabs

  5. X-ray and optical substructures of the DAFT/FADA survey clusters

    Science.gov (United States)

    Guennou, L.; Durret, F.; Adami, C.; Lima Neto, G. B.

    2013-04-01

    We have undertaken the DAFT/FADA survey with the double aim of setting constraints on dark energy based on weak lensing tomography and of obtaining homogeneous and high quality data for a sample of 91 massive clusters in the redshift range 0.4-0.9 for which there were HST archive data. We have analysed the XMM-Newton data available for 42 of these clusters to derive their X-ray temperatures and luminosities and search for substructures. Out of these, a spatial analysis was possible for 30 clusters, but only 23 had deep enough X-ray data for a really robust analysis. This study was coupled with a dynamical analysis for the 26 clusters having at least 30 spectroscopic galaxy redshifts in the cluster range. Altogether, the X-ray sample of 23 clusters and the optical sample of 26 clusters have 14 clusters in common. We present preliminary results on the coupled X-ray and dynamical analyses of these 14 clusters.

  6. Frequency filter of seed x-ray by use of x-ray laser medium. Toward the generation of the temporally coherent x-ray laser

    International Nuclear Information System (INIS)

    Hasegawa, Noboru; Kawachi, Tetsuya; Kishimoto, Maki; Sukegawa, Kouta; Tanaka, Momoko; Ochi, Yoshihiro; Nishikino, Masaharu; Nagashima, Keisuke; Kato, Yoshiaki; Renzhong, Tai

    2009-01-01

    We evaluate the characteristics of a higher-order harmonics light as a seed X-ray amplified through a laser-produced X-ray amplifier. The narrow spectral bandwidth of the X-ray amplifier works as the frequency filter of the seed X-ray, resulting in that only the temporally coherent X-ray is amplified. Experimental investigation using the 29th-order harmonic light of the Ti:sapphire laser at a wavelength of 26.9 nm together with a neon-like manganese X-ray laser medium shows evident spectral narrowing of the seed X-ray and amplification without serious diffraction effects on the propagation of the amplified X-ray beam. This implies that the present combination is potential to realize temporally coherent X-ray lasers, with an expected duration of approximately 400 fs. (author)

  7. Joint European x-ray monitor (JEM-X): x-ray monitor for ESA's

    DEFF Research Database (Denmark)

    Schnopper, H.W.; Budtz-Joergensen, C.; Westergaard, Niels Jørgen Stenfeldt

    1996-01-01

    JEM-X will extend the energy range of the gamma ray instruments on ESA's INTEGRAL mission (SPI, IBIS) to include the x-ray band. JEM-X will provide images with arcminute angular resolution in the 2 - 60 keV band. The baseline photon detection system consists of two identical, high pressure, imagi...

  8. Wide field x-ray telescopes: Detecting x-ray transients/afterglows related to GRBs

    International Nuclear Information System (INIS)

    Hudec, Rene; Pina, Ladislav; Inneman, Adolf; Gorenstein, Paul

    1998-01-01

    The recent discovery of X-ray afterglows of GRBs opens the possibility of analyses of GRBs by their X-ray detections. However, imaging X-ray telescopes in current use mostly have limited fields of view. Alternative X-ray optics geometries achieving very large fields of view have been theoretically suggested in the 70's but not constructed and used so far. We review the geometries and basic properties of the wide-field X-ray optical systems based on one- and two-dimensional lobster-eye geometry and suggest technologies for their development and construction. First results of the development of double replicated X-ray reflecting flats for use in one-dimensional X-ray optics of lobster-eye type are presented and discussed. The optimum strategy for locating GRBs upon their X-ray counterparts is also presented and discussed

  9. X rays and condensed matter

    International Nuclear Information System (INIS)

    Daillant, J.

    1997-01-01

    After a historical review of the discovery and study of X rays, the various interaction processes between X rays and matter are described: Thomson scattering, Compton scattering, X-photon absorption through photoelectric effect, and magnetic scattering. X ray sources such as the European Synchrotron Radiation Facility (ESRF) are described. The various X-ray applications are presented: imagery such as X tomography, X microscopy, phase contrast; X-ray photoelectron spectroscopy and X-ray absorption spectroscopy; X-ray scattering and diffraction techniques

  10. Extending the methodology of X-ray crystallography to allow X-ray microscopy without X-ray optics

    International Nuclear Information System (INIS)

    Miao Jianwei; Kirz, Janos; Sayre, David; Charalambous, Pambos

    2000-01-01

    We demonstrate that the soft X-ray diffraction pattern from a micron-size noncrystalline specimen can be recorded and inverted to form a high-resolution image. The phase problem is overcome by oversampling the diffraction pattern. The image is obtained using an iterative algorithm. The technique provides a method for X-ray microscopy requiring no high-resolution X-ray optical elements or detectors. In the present work, a resolution of approximately 60 nm was obtained, but we believe that considerably higher resolution can be achieved

  11. Dental X-ray apparatus

    International Nuclear Information System (INIS)

    Weiss, M.E.

    1980-01-01

    Intra-oral dental X-ray apparatus for panoramic radiography is described in detail. It comprises a tubular target carrier supporting at its distal end a target with an inclined forward face. Image definition is improved by positioning in the path of the X-rays a window of X-ray transmitting ceramic material, e.g. 90% oxide of Be, or Al, 7% Si0 2 . The target carrier forms a probe which can be positioned in the patient's mouth. X-rays are directed forwardly and laterally of the target to an X-ray film positioned externally. The probe is provided with a detachable sleeve having V-form arms of X-ray opaque material which serve to depress the tongue out of the radiation path and also shield the roof of the mouth and other regions of the head from the X-ray pattern. A cylindrical lead shield defines the X-ray beam angle. (author)

  12. X-ray diagnostics for TFTR

    International Nuclear Information System (INIS)

    von Goeler, S.; Hill, K.W.; Bitter, M.

    1982-12-01

    A short description of the x-ray diagnostic preparation for the TFTR tokamak is given. The x-ray equipment consists of the limiter x-ray monitoring system, the soft x-ray pulse-height-analysis-system, the soft x-ray imaging system and the x-ray crystal spectrometer. Particular attention is given to the radiation protection of the x-ray systems from the neutron environment

  13. Surface studies on benzophenone doped PDMS microstructures fabricated using KrF excimer laser direct write lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kant, Madhushree Bute; Shinde, Shashikant D. [Department of Physics, University of Pune, Pune 411007 (India); Bodas, Dhananjay [Centre for Nanobioscience, Agharkar Research Institute, Agharkar road, Pune 411004 (India); Patil, K.R. [Center for Materials Characterization, National Chemical Laboratories, Pune 411008 (India); Sathe, V.G. [UGC DAE Inter University Consortium, Indore 452017 (India); Adhi, K.P. [Department of Physics, University of Pune, Pune 411007 (India); Gosavi, S.W., E-mail: swg@physics.unipune.ac.in [Department of Physics, University of Pune, Pune 411007 (India)

    2014-09-30

    Graphical abstract: - Highlights: • Use of KrF Laser micromachining for Lab-On-Chip applications at lower fluence. • Addition of Benzophenone in PDMS enhances its self development sensitivity. • Benzophenone helps efficient energy transfer for equal density of bond scissioning. • Correlation of chemical composition with laser dose and microstructure. • Microstructures with well defined clean sidewalls. - Abstract: This paper discusses microfabrication process for benzophenone doped polydimethylsiloxane (PDMS) using laser lithography. KrF excimer laser of 248 nm with 20 ns pulse width at repetition rate of 1 Hz was used for microfabrication of undoped and benzophenone doped PDMS. The doped-PDMS shows sensitivity below 365 nm, permitting processing under ambient light. The analysis of etch depth revealed that doped PDMS shows self developable sensitivity at lower fluence of ∼250 mJ/cm{sup 2}. The unexposed and exposed surface was studied using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), Raman spectroscopy and Scanning electron microscopy (SEM). Spectrocopic analysis indicated increase in C-O, C=O, Si-O{sub 3} and Si-O{sub 4} bonding at the expense of Si-C and Si-O{sub 2} bonds of PDMS. In case of laser exposed doped-PDMS, removal of benzophenone from probe depth of spectroscopy was observed. Whereas the surface morphology of exposed and unexposed doped-PDMS was observed to be same, indicating clean development of PDMS micropatterns. The present study indicates that addition of 3.0 wt.% benzophenone in PDMS enhance self development sensitivity of PDMS. The self developable results on doped-PDMS are quite encouraging for its potential use in point of care Lab-On-Chip applications, for fabricating micropatterns using direct write laser lithography technology.

  14. X-ray beam generator

    International Nuclear Information System (INIS)

    Koller, T.J.; Randmer, J.A.

    1977-01-01

    A method of minimizing the preferential angular absorption of the divergent beam from an X-ray generator is described. The generator consists of an X-ray shielded housing with an X-ray transmissive window symmetrically placed in radial alignment with a focal spot area on a sloped target surface of an X-ray tube in the housing. The X-ray tube may be of the stationary anode type or of the rotating anode type. (U.K.)

  15. Testing the deep-crustal heating model using quiescent neutron-star very-faint X-ray transients and the possibility of partially accreted crusts in accreting neutron stars

    NARCIS (Netherlands)

    Wijnands, R.; Degenaar, N.; Page, D.

    2013-01-01

    It is assumed that accreting neutron stars in low-mass X-ray binaries are heated due to the compression of the existing crust by the freshly accreted matter which gives rise to a variety of nuclear reactions in the crust. It has been shown that most of the energy is released deep in the crust by

  16. Lead iodide X-ray and gamma-ray spectrometers for room and high temperature operation

    International Nuclear Information System (INIS)

    Hermon, H.; James, R.B.; Lund, J.

    1998-01-01

    In this study the authors report on the results of the investigation of lead iodide material properties. The effectiveness of a zone refining purification method on the material purity is determined by ICP-MS and ICP-OES and correlated to the electrical and physical material properties. They show that this zone refining method is very efficient in removing impurities from lead iodide, and they also determine the segregation coefficient for some of these impurities. Triple axis X-ray diffraction (TAD) analysis has been used to determine the crystalline perfection of the lead iodide after applying various cutting, etching and fabrication methods. The soft lead iodide crystal was found to be damaged when cleaved by a razor blade, but by using a diamond wheel saw, followed by etching, the crystallinity of the material was much improved, as observed by TAD. Low temperature photoluminescence also indicates an improvement in the material properties of the purified lead iodide. Electrical properties of lead iodide such as carrier mobility, were calculated based on carrier-phonon scattering. The results for the electrical properties were in good agreement with the experimental data

  17. A review of molecular effects in gas-phase KL X-ray emission

    Energy Technology Data Exchange (ETDEWEB)

    Guillemin, Renaud; Carniato, Stéphane; Journel, Loïc [UPMC Univ Paris 06, UMR 7614, Laboratoire de Chimie Physique Matière et Rayonnement, F-75005 Paris (France); CNRS, UMR 7614, Laboratoire de Chimie Physique Matière et Rayonnement, F-75005 Paris (France); Stolte, Wayne C. [Department of Chemistry, University of Nevada, Las Vegas, NV 89154-4003 (United States); Marchenko, Tatiana; Khoury, Lara El; Kawerk, Elie; Piancastelli, Maria Novella [UPMC Univ Paris 06, UMR 7614, Laboratoire de Chimie Physique Matière et Rayonnement, F-75005 Paris (France); CNRS, UMR 7614, Laboratoire de Chimie Physique Matière et Rayonnement, F-75005 Paris (France); Hudson, Amanda C.; Lindle, Dennis W. [Department of Chemistry, University of Nevada, Las Vegas, NV 89154-4003 (United States); Simon, Marc, E-mail: marc.simon@upmc.fr [UPMC Univ Paris 06, UMR 7614, Laboratoire de Chimie Physique Matière et Rayonnement, F-75005 Paris (France); CNRS, UMR 7614, Laboratoire de Chimie Physique Matière et Rayonnement, F-75005 Paris (France)

    2013-06-15

    The unique capabilities of resonant inelastic X-ray scattering (RIXS) to provide a deep insight into molecular dynamics following core excitation are reviewed here. Characteristic features of molecular X-ray emission are experimentally observed and theoretically interpreted. Some of our most significant results on molecular dynamics following deep core excitation are presented. In particular, we provide several examples of nuclear dynamics on the femtosecond or subfemtosecond time scale; line-narrowing effects related to the quenching of vibrational structure due to parallelism of intermediate and final state curves; anomalous line dispersion across a resonance, which is due to core-hole lifetime effects; spin–orbit-state populations derived from polarized RIXS experiments. We also show how to connect the RIXS results to the general chemical properties of the investigated systems.

  18. A review of molecular effects in gas-phase KL X-ray emission

    International Nuclear Information System (INIS)

    Guillemin, Renaud; Carniato, Stéphane; Journel, Loïc; Stolte, Wayne C.; Marchenko, Tatiana; Khoury, Lara El; Kawerk, Elie; Piancastelli, Maria Novella; Hudson, Amanda C.; Lindle, Dennis W.; Simon, Marc

    2013-01-01

    The unique capabilities of resonant inelastic X-ray scattering (RIXS) to provide a deep insight into molecular dynamics following core excitation are reviewed here. Characteristic features of molecular X-ray emission are experimentally observed and theoretically interpreted. Some of our most significant results on molecular dynamics following deep core excitation are presented. In particular, we provide several examples of nuclear dynamics on the femtosecond or subfemtosecond time scale; line-narrowing effects related to the quenching of vibrational structure due to parallelism of intermediate and final state curves; anomalous line dispersion across a resonance, which is due to core-hole lifetime effects; spin–orbit-state populations derived from polarized RIXS experiments. We also show how to connect the RIXS results to the general chemical properties of the investigated systems

  19. Spectral properties of X-ray selected narrow emission line galaxies

    Science.gov (United States)

    Romero-Colmenero, E.

    1998-03-01

    This thesis reports a study of the X-ray and optical properties of two samples of X-ray selected Narrow Emission Line Galaxies (NELGs), and their comparison with the properties of broad line Active Galactic Nuclei (AGN). One sample (18 NELGs) is drawn from the ROSAT International X-ray Optical Survey (RIXOS), the other (19 NELGs and 33 AGN) from the ROSAT UK Deep Survey. ROSAT multi-channel X-ray spectra have been extracted and fitted with power-law, bremsstrahlung and black body models for the brighter RIXOS sources. In most cases, power-law and bremsstrahlung models provide the best results. The average spectral energy index, alpha, of the RIXOS NELGs is 0.96 +/- 0.07, similar to that of AGN (alpha~1). For the fainter RIXOS NELGs, as well as for all the UK Deep Survey sources, counts in three spectral bands have been extracted and fitted with a power-law model, assuming the Galactic value for N_H. The brighter RIXOS sources demonstrated that the results obtained by these two different extraction and fitting procedures provide consistent results. Two average X-ray spectra, one for the NELGs and another for the AGN, were created from the UK Deep Survey sources. The power-law slope of the average NELG is alpha = 0.45 +/- 0.09, whilst that of the AGN is alpha = 0.96 +/- 0.03. ROSAT X-ray surveys have shown that the fractional surface density of NELGs increases with respect to AGN at faint fluxes (case for NELGs to be major contributors to the XRB at the fainter fluxes. The analysis of optical spectroscopy, obtained on La Palma and Hawaii, shows that NELGs form a very heterogeneous group, made up of a mixture of Seyfert 2, LINER and HII-region like galaxies. Seyfert 2 galaxies are found to possess in general the steepest X-ray slopes. Ways to explain this in the context of the unified model of AGN are discussed. The FWHM of some emission lines (Halpha, Hbeta, [NII]) in the NELGs appears to increase with steepening X-ray spectral slope. In the case of the Balmer lines

  20. Bone X-Ray (Radiography)

    Medline Plus

    Full Text Available ... ray examination. X-rays usually have no side effects in the typical diagnostic range for this exam. ... x-rays. A Word About Minimizing Radiation Exposure Special care is taken during x-ray examinations to ...

  1. Studies in the X-Ray Emission of Clusters of Galaxies and Other Topics

    Science.gov (United States)

    Vrtilek, Jan; Thronson, Harley (Technical Monitor)

    2001-01-01

    The paper discusses the following: (1) X-ray study of groups of galaxies with Chandra and XMM. (2) X-ray properties of point sources in Chandra deep fields. (3) Study of cluster substructure using wavelet techniques. (4) Combined study of galaxy clusters with X-ray and the S-Z effect. Groups of galaxies are the fundamental building blocks of large scale structure in the Universe. X-ray study of the intragroup medium offers a powerful approach to addressing some of the major questions that still remain about almost all aspects of groups: their ages, origins, importance of composition of various galaxy types, relations to clusters, and origin and enrichment of the intragroup gas. Long exposures with Chandra have opened new opportunities for the study of X-ray background. The presence of substructure within clusters of galaxies has substantial implications for our understanding of cluster evolution as well as fundamental questions in cosmology.

  2. X-ray and neutron diffraction studies of crystallinity in hydroxyapatite coatings.

    Science.gov (United States)

    Girardin, E; Millet, P; Lodini, A

    2000-02-01

    To standardize industrial implant production and make comparisons between different experimental results, we have to be able to quantify the crystallinity of hydroxyapatite. Methods of measuring crystallinity ratio were developed for various HA samples before and after plasma spraying. The first series of methods uses X-ray diffraction. The advantage of these methods is that X-ray diffraction equipment is used widely in science and industry. In the second series, a neutron diffraction method is developed and the results recorded are similar to those obtained by the modified X-ray diffraction methods. The advantage of neutron diffraction is the ability to obtain measurements deep inside a component. It is a nondestructive method, owing to the very low absorption of neutrons in most materials. Copyright 2000 John Wiley & Sons, Inc.

  3. Semiconductor X-ray detectors

    CERN Document Server

    Lowe, Barrie Glyn

    2014-01-01

    Identifying and measuring the elemental x-rays released when materials are examined with particles (electrons, protons, alpha particles, etc.) or photons (x-rays and gamma rays) is still considered to be the primary analytical technique for routine and non-destructive materials analysis. The Lithium Drifted Silicon (Si(Li)) X-Ray Detector, with its good resolution and peak to background, pioneered this type of analysis on electron microscopes, x-ray fluorescence instruments, and radioactive source- and accelerator-based excitation systems. Although rapid progress in Silicon Drift Detectors (SDDs), Charge Coupled Devices (CCDs), and Compound Semiconductor Detectors, including renewed interest in alternative materials such as CdZnTe and diamond, has made the Si(Li) X-Ray Detector nearly obsolete, the device serves as a useful benchmark and still is used in special instances where its large, sensitive depth is essential. Semiconductor X-Ray Detectors focuses on the history and development of Si(Li) X-Ray Detect...

  4. X-ray dichroism of rare earth materials

    International Nuclear Information System (INIS)

    Goedkoop, J.B.

    1989-01-01

    The theme of this thesis is the investigation of the strong polarization dependende, or dichroism, that occur in the X-ray absorption spectra of rare earth materials. The rare earth elements distinguish themselves from the other elements through the behaviour of the 4f electrons which form the valence shell. This shell lies deep inside the atom, with the result that influences from the surrounding solid are well screened off by the outer electrons, so that even in the solid the 4f shell behaves very much like a in free atom or ion, and is almost completely spherically symmetric. Perturbations from the solid environment however always disturb this symmetry to some extend, with the result that the absorption spectrum becomes dependent on the mutual orientation of the polarization vector of the radiation and the ion. Earlier the existence of a strong magnetic X-ray dichroism (MXD) in the 3d→4f transitions of rare earths. In this thesis this work is extended, to a small degree theoretically but mainly experimentally. MXD is used in experiments on bulk sample, terbium iron garnet, and on rare earth overlayers on a ferromagnetic surface, Ni(110). The results of the latter study show unequivocally the potential of the MXD technique. The second theme of the thesis concerns experimental developments in soft X-ray spectroscopy. A description is given of a double crystal monochromator beamline that was constructed by our group at LURE, France. Results of the use of an organic crystal - multilayer comination in such a monochromator is described. Also a method is described for the characterization of the resolution of soft X-ray monochromators. Finally a contribution to the characterization of the electron yield technique in the soft X-ray range is given. (author). 296 refs.; 64 figs.; 59 schemes; 9 tabs

  5. Computational nanometrology of line-edge roughness: noise effects, cross-line correlations and the role of etch transfer

    Science.gov (United States)

    Constantoudis, Vassilios; Papavieros, George; Lorusso, Gian; Rutigliani, Vito; Van Roey, Frieda; Gogolides, Evangelos

    2018-03-01

    The aim of this paper is to investigate the role of etch transfer in two challenges of LER metrology raised by recent evolutions in lithography: the effects of SEM noise and the cross-line and edge correlations. The first comes from the ongoing scaling down of linewidths, which dictates SEM imaging with less scanning frames to reduce specimen damage and hence with more noise. During the last decade, it has been shown that image noise can be an important budget of the measured LER while systematically affects and alter the PSD curve of LER at high frequencies. A recent method for unbiased LER measurement is based on the systematic Fourier or correlation analysis to decompose the effects of noise from true LER (Fourier-Correlation filtering method). The success of the method depends on the PSD and HHCF curve. Previous experimental and model works have revealed that etch transfer affects the PSD of LER reducing its high frequency values. In this work, we estimate the noise contribution to the biased LER through PSD flat floor at high frequencies and relate it with the differences between the PSDs of lithography and etched LER. Based on this comparison, we propose an improvement of the PSD/HHCF-based method for noise-free LER measurement to include the missed high frequency real LER. The second issue is related with the increased density of lithographic patterns and the special characteristics of DSA and MP lithography patterns exhibits. In a previous work, we presented an enlarged LER characterization methodology for such patterns, which includes updated versions of the old metrics along with new metrics defined and developed to capture cross-edge and cross-line correlations. The fundamental concept has been the Line Center Roughness (LCR), the edge c-factor and the line c-factor correlation function and length quantifying the line fluctuations and the extent of cross-edge and cross-line correlations. In this work, we focus on the role of etch steps on cross-edge and

  6. Novel micro-reactor flow cell for investigation of model catalysts using in situ grazing-incidence X-ray scattering.

    Science.gov (United States)

    Kehres, Jan; Pedersen, Thomas; Masini, Federico; Andreasen, Jens Wenzel; Nielsen, Martin Meedom; Diaz, Ana; Nielsen, Jane Hvolbæk; Hansen, Ole; Chorkendorff, Ib

    2016-03-01

    The design, fabrication and performance of a novel and highly sensitive micro-reactor device for performing in situ grazing-incidence X-ray scattering experiments of model catalyst systems is presented. The design of the reaction chamber, etched in silicon on insulator (SIO), permits grazing-incidence small-angle X-ray scattering (GISAXS) in transmission through 10 µm-thick entrance and exit windows by using micro-focused beams. An additional thinning of the Pyrex glass reactor lid allows simultaneous acquisition of the grazing-incidence wide-angle X-ray scattering (GIWAXS). In situ experiments at synchrotron facilities are performed utilizing the micro-reactor and a designed transportable gas feed and analysis system. The feasibility of simultaneous in situ GISAXS/GIWAXS experiments in the novel micro-reactor flow cell was confirmed with CO oxidation over mass-selected Ru nanoparticles.

  7. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  8. Etch characteristics of (Pb,Sr)TiO3 thin films using CF4/Ar inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    The investigations of the (Pb,Sr)TiO 3 (PST) etching characteristics in CF 4 /Ar plasma were carried out using the inductively coupled plasma system. Experiments showed that an increase of the Ar mixing ratio under constant pressure and input power conditions leads to increasing etch rate of PST, which reaches a maximum of 740 A/min when the Ar is 80% of the gas mixture. To understand the etching mechanism, the surface state of the etched PST samples was investigated using x-ray photoelectron spectroscopy. It was found that Pb and Ti atoms were removed mainly by the ion-assisted etching mechanism. At the same time, Sr forms extremely low volatile fluorides and therefore can be removed only by physical (sputter) etching

  9. X-ray apparatus

    International Nuclear Information System (INIS)

    Grady, J.K.

    1985-01-01

    X-ray apparatus is described which has a shutter between the X-ray source and the patient. The shutter controls the level of radiation to which the patient is exposed instead of merely discontinuing the electric power supplied to the source. When the shutter is opened a radiation sensor senses the level of X-radiation. When a preset quantity of X-radiation has been measured an exposure control closes the shutter. Instead of using the radiation sensor, the integrated power supplied to the anode of the X-ray source may be measured. (author)

  10. Method for spatially modulating X-ray pulses using MEMS-based X-ray optics

    Science.gov (United States)

    Lopez, Daniel; Shenoy, Gopal; Wang, Jin; Walko, Donald A.; Jung, Il-Woong; Mukhopadhyay, Deepkishore

    2015-03-10

    A method and apparatus are provided for spatially modulating X-rays or X-ray pulses using microelectromechanical systems (MEMS) based X-ray optics. A torsionally-oscillating MEMS micromirror and a method of leveraging the grazing-angle reflection property are provided to modulate X-ray pulses with a high-degree of controllability.

  11. Nondestructive strain depth profiling with high energy X-ray diffraction: System capabilities and limitations

    Science.gov (United States)

    Zhang, Zhan; Wendt, Scott; Cosentino, Nicholas; Bond, Leonard J.

    2018-04-01

    Limited by photon energy, and penetration capability, traditional X-ray diffraction (XRD) strain measurements are only capable of achieving a few microns depth due to the use of copper (Cu Kα1) or molybdenum (Mo Kα1) characteristic radiation. For deeper strain depth profiling, destructive methods are commonly necessary to access layers of interest by removing material. To investigate deeper depth profiles nondestructively, a laboratory bench-top high-energy X-ray diffraction (HEXRD) system was previously developed. This HEXRD method uses an industrial 320 kVp X-Ray tube and the Kα1 characteristic peak of tungsten, to produces a higher intensity X-ray beam which enables depth profiling measurement of lattice strain. An aluminum sample was investigated with deformation/load provided using a bending rig. It was shown that the HEXRD method is capable of strain depth profiling to 2.5 mm. The method was validated using an aluminum sample where both the HEXRD method and the traditional X-ray diffraction method gave data compared with that obtained using destructive etching layer removal, performed by a commercial provider. The results demonstrate comparable accuracy up to 0.8 mm depth. Nevertheless, higher attenuation capabilities in heavier metals limit the applications in other materials. Simulations predict that HEXRD works for steel and nickel in material up to 200 µm, but experiment results indicate that the HEXRD strain profile is not practical for steel and nickel material, and the measured diffraction signals are undetectable when compared to the noise.

  12. Optical and X-ray studies of Compact X-ray Binaries in NGC 5904

    Science.gov (United States)

    Bhalotia, Vanshree; Beck-Winchatz, Bernhard

    2018-06-01

    Due to their high stellar densities, globular cluster systems trigger various dynamical interactions, such as the formation of compact X-ray binaries. Stellar collisional frequencies have been correlated to the number of X-ray sources detected in various clusters and we hope to measure this correlation for NGC 5904. Optical fluxes of sources from archival HST images of NGC 5904 have been measured using a DOLPHOT PSF photometry in the UV, optical and near-infrared. We developed a data analysis pipeline to process the fluxes of tens of thousands of objects using awk, python and DOLPHOT. We plot color magnitude diagrams in different photometric bands in order to identify outliers that could be X-ray binaries, since they do not evolve the same way as singular stars. Aligning previously measured astrometric data for X-ray sources in NGC 5904 from Chandra with archival astrometric data from HST will filter out the outlier objects that are not X-ray producing, and provide a sample of compact binary systems that are responsible for X-ray emission in NGC 5904. Furthermore, previously measured X-ray fluxes of NGC 5904 from Chandra have also been used to measure the X-ray to optical flux ratio and identify the types of compact X-ray binaries responsible for the X-ray emissions in NGC 5904. We gratefully acknowledge the support from the Illinois Space Grant Consortium.

  13. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  14. CCD-based X-ray detectors for X-ray diffraction studies

    International Nuclear Information System (INIS)

    Ito, K.; Amemiya, Y.

    1999-01-01

    CCD-based X-ray detectors are getting to be used for X-ray diffraction studies especially in the studies where real time (automated) measurements and time-resolved measurements are required. Principles and designs of two typical types of CCD-based detectors are described; one is ths system in which x-ray image intensifiers are coupled to maximize the detective quantum efficiency for time-resolved measurements, and the other is the system in which tapered optical fibers are coupled for the reduction of the image into the CCD, which is optimized for automated measurements for protein crystallography. These CCD-based X-ray detectors have an image distortion and non-uniformity of response to be corrected by software. Correction schemes which we have developed are also described. (author)

  15. Optical near-field lithography on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Madsen, Steen; Müllenborn, Matthias; Birkelund, Karen

    1996-01-01

    by the optical near field, were observed after etching in potassium hydroxide. The uncoated fibers can also induce oxidation without light exposure, in a manner similar to an atomic force microscope, and linewidths of 50 nm have been achieved this way. (C) 1996 American Institute of Physics.......We report on a novel lithography technique for patterning of hydrogen-passivated amorphous silicon surfaces. A reflection mode scanning near-field optical microscope with uncoated fiber probes has been used to locally oxidize a thin amorphous silicon layer. Lines of 110 nm in width, induced...

  16. Simulation of transmitted X-rays in a polycapillary X-ray lens

    Energy Technology Data Exchange (ETDEWEB)

    Peng, Shiqi [The Key Laboratory of Beam Technology and Material Modification of the Ministry of Education, Beijing Normal University, Beijing 100875 (China); College of Nuclear Science and Technology, Beijing Normal University, Beijing 100875 (China); Beijing Radiation Center, Beijing 100875 (China); Liu, Zhiguo, E-mail: liuzhiguo512@126.com [The Key Laboratory of Beam Technology and Material Modification of the Ministry of Education, Beijing Normal University, Beijing 100875 (China); College of Nuclear Science and Technology, Beijing Normal University, Beijing 100875 (China); Beijing Radiation Center, Beijing 100875 (China); Sun, Tianxi; Wang, Kai; Yi, Longtao; Yang, Kui; Chen, Man; Wang, Jinbang [The Key Laboratory of Beam Technology and Material Modification of the Ministry of Education, Beijing Normal University, Beijing 100875 (China); College of Nuclear Science and Technology, Beijing Normal University, Beijing 100875 (China); Beijing Radiation Center, Beijing 100875 (China)

    2015-09-21

    The geometrical description of capillary systems adjusted for the controlled guiding of X-rays and the basic theory of the transmission of X-rays are presented. A method of numerical calculation, based on Ray-Tracing theory, is developed to simulate the transmission efficiency of an X-ray parallel lens and the shape and size of the light spot gain from it. The simulation results for two half-lenses are in good agreement with the experimental results.

  17. Gamma-rays from deep inelastic collisions

    International Nuclear Information System (INIS)

    Stephens, F.S.

    1981-01-01

    My objective in this talk is to consider the question: 'What can be learned about deep inelastic collisions (DIC) from studying the associated gamma-rays'. First, I discuss the origin and nature of the gamma-rays from DIC, then the kinds of information gamma-ray spectra contain, and finally come to the combination of these two subjects. (orig./HSI)

  18. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  19. Low-loss slot waveguides with silicon (111 surfaces realized using anisotropic wet etching

    Directory of Open Access Journals (Sweden)

    Kapil Debnath

    2016-11-01

    Full Text Available We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI platform. Waveguides oriented along the (11-2 direction on the Si (110 plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.

  20. A deep X-ray view of the bare AGN Ark 120. IV. XMM-Newton and NuSTAR spectra dominated by two temperature (warm, hot) Comptonization processes

    Science.gov (United States)

    Porquet, D.; Reeves, J. N.; Matt, G.; Marinucci, A.; Nardini, E.; Braito, V.; Lobban, A.; Ballantyne, D. R.; Boggs, S. E.; Christensen, F. E.; Dauser, T.; Farrah, D.; Garcia, J.; Hailey, C. J.; Harrison, F.; Stern, D.; Tortosa, A.; Ursini, F.; Zhang, W. W.

    2018-01-01

    Context. The physical characteristics of the material closest to supermassive black holes (SMBHs) are primarily studied through X-ray observations. However, the origins of the main X-ray components such as the soft X-ray excess, the Fe Kα line complex, and the hard X-ray excess are still hotly debated. This is particularly problematic for active galactic nuclei (AGN) showing a significant intrinsic absorption, either warm or neutral, which can severely distort the observed continuum. Therefore, AGN with no (or very weak) intrinsic absorption along the line of sight, so-called "bare AGN", are the best targets to directly probe matter very close to the SMBH. Aims: We perform an X-ray spectral analysis of the brightest and cleanest bare AGN known so far, Ark 120, in order to determine the process(es) at work in the vicinity of the SMBH. Methods: We present spectral analyses of data from an extensive campaign observing Ark 120 in X-rays with XMM-Newton (4 × 120 ks, 2014 March 18-24), and NuSTAR (65.5 ks, 2014 March 22). Results: During this very deep X-ray campaign, the source was caught in a high-flux state similar to the earlier 2003 XMM-Newton observation, and about twice as bright as the lower-flux observation in 2013. The spectral analysis confirms the "softer when brighter" behavior of Ark 120. The four XMM-Newton/pn spectra are characterized by the presence of a prominent soft X-ray excess and a significant Fe Kα complex. The continuum is very similar above about 3 keV, while significant variability is present for the soft X-ray excess. We find that relativistic reflection from a constant-density, flat accretion disk cannot simultaneously produce the soft excess, broad Fe Kα complex, and hard X-ray excess. Instead, Comptonization reproduces the broadband (0.3-79 keV) continuum well, together with a contribution from a mildly relativistic disk reflection spectrum. Conclusions: During this 2014 observational campaign, the soft X-ray spectrum of Ark 120 below 0