WorldWideScience

Sample records for danso ga kaizaisuru

  1. Effect of sunlight, transport and storage vessels on drinking water ...

    African Journals Online (AJOL)

    Effect of sunlight, transport and storage vessels on drinking water quality in rural Ghana. ... on drinking water quality in rural Ghana. K Obiri-Danso, E Amevor, LA Andoh, K Jones ... Full Text: EMAIL FREE FULL TEXT EMAIL FREE FULL TEXT

  2. Effect of organic matter, irrigation and soil mulching on the nutritional ...

    African Journals Online (AJOL)

    Rukevwe S. Abraka

    2016-11-30

    Nov 30, 2016 ... necessary for the soil humidity to be in an adequate amount (Danso ... clay, with soil and particle density of: 1.51 and 2.76 g cm-3, respectively ..... fertilization and irrigation methods on nitrogen uptake, intercepted ŷ = 2373.4 + ...

  3. Fault-related-folding structures and reflection seismic sections. Study by seismic modeling and balanced cross section; Danso ga kaizaisuru shukyoku kozo no keitai to jishin tansa danmen. 2. Seismic modeling oyobi balanced cross section ni yoru study

    Energy Technology Data Exchange (ETDEWEB)

    Tamagawa, T; Matsuoka, T [Japan Petroleum Exploration Corp., Tokyo (Japan); Tsukui, R [Japan National Oil Corp., Tokyo (Japan). Technology Research Center

    1997-05-27

    It occasionally happens that there exists a part where reflection near the thrust is not clearly observed in a thrust zone seismic survey cross section. For the effective interpretation of such an occurrence, the use of geological structures as well as the reflected pattern is effective. When the velocity structures for a fold structure having a listric fault caused anticline (unidirectionally inclined with a backlimb, without a forelimb) and for a fault propagation fold are involved, a wrong interpretation may be made since they look alike in reflection wave pattern despite their difference in geological structure. In the concept of balanced cross section, a check is performed, when the stratum after deformation is recovered to the time of deposition, as to whether the geologic stratum area is conserved without excess or shortage. An excess or shortage occurs if there is an error in the model, and this shows that the fault surface or fold structure is not correctly reflected. Positive application of geological knowledge is required in the processing and interpreting of data from a seismic survey. 6 refs., 6 figs.

  4. Fault-related-folding structure and reflection seismic sections. Construction of earth model using balanced cross section; Danso ga kaizaisuru shukyoku kozo no keitai to jishin tansa danmen. 1. Balanced cross section wo mochiita chika model no kochiku

    Energy Technology Data Exchange (ETDEWEB)

    Matsuoka, T; Tamagawa, T [Japan Petroleum Exploration Corp., Tokyo (Japan); Tsukui, R [Japan National Oil Corp., Tokyo (Japan). Technology Research Center

    1997-05-27

    Pre-stacking depth migration treatment is studied for the estimation of the fold configuration from seismic survey cross sections. The estimation of a velocity structure is necessary for the execution of such treatment, and the utilization of structural-geological knowledge is required for its interpretation. The concept of balanced cross section in relation to the fault-bend fold constructs a stratum structure model under conditions that the deformation during fold and fault formation is a planar strain, that there is no change in volume due to deformation, and that a fold is a parallel fold. In addition to the above geometric and kinetic approach, there is another fold formation process simulation model using a Newtonian fluid for study from the viewpoint of dynamics. This simulation stands on the presumption that the boundary contains a ramp that had been in presence before fold formation and that an incompressible viscous matter is mounted on the top surface. The viscous matter flows and deforms for the formation of an anticline on the ramp. Such enables the reproduction of a fault-bend fold formation process, and helpful discussion may be furthered on the dynamic aspect of this simulation. 5 refs., 4 figs.

  5. Improved InGaN/GaN quantum wells on treated GaN template with a Ga-rich GaN interlayer

    International Nuclear Information System (INIS)

    Fang, Zhilai; Shen, Xiyang; Wu, Zhengyuan; Zhang, Tong-Yi

    2015-01-01

    Treated GaN template was achieved by in situ droplet epitaxy of a Ga-rich GaN interlayer on the conventional GaN template. InGaN/GaN quantum wells (QWs) were grown on the conventional and treated GaN templates under the same growth conditions and then comprehensively characterized. The indium homogeneity in the InGaN layers and the interface sharpness between InGaN and GaN layers of the InGaN/GaN QWs on the treated GaN template were significantly improved. The emission intensity from the InGaN/GaN QWs on the treated GaN template was enhanced by 20% than that on the conventional GaN template, which was attributed to the strain reduction and the improvement in crystalline quality. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. On the effect of N-GaN/P-GaN/N-GaN/P-GaN/N-GaN built-in junctions in the n-GaN layer for InGaN/GaN light-emitting diodes.

    Science.gov (United States)

    Kyaw, Zabu; Zhang, Zi-Hui; Liu, Wei; Tan, Swee Tiam; Ju, Zhen Gang; Zhang, Xue Liang; Ji, Yun; Hasanov, Namig; Zhu, Binbin; Lu, Shunpeng; Zhang, Yiping; Sun, Xiao Wei; Demir, Hilmi Volkan

    2014-01-13

    N-GaN/P-GaN/N-GaN/P-GaN/N-GaN (NPNPN-GaN) junctions embedded between the n-GaN region and multiple quantum wells (MQWs) are systematically studied both experimentally and theoretically to increase the performance of InGaN/GaN light emitting diodes (LEDs) in this work. In the proposed architecture, each thin P-GaN layer sandwiched in the NPNPN-GaN structure is completely depleted due to the built-in electric field in the NPNPN-GaN junctions, and the ionized acceptors in these P-GaN layers serve as the energy barriers for electrons from the n-GaN region, resulting in a reduced electron over flow and enhanced the current spreading horizontally in the n- GaN region. These lead to increased optical output power and external quantum efficiency (EQE) from the proposed device.

  7. Browse Title Index

    African Journals Online (AJOL)

    Items 251 - 300 of 366 ... S N Anyanwu. Vol 63, No 4-6 (2017), Stepwise minimal debridement in Fournier's gangrene: a technical note, Abstract. M.C. Kabeya, A Danso, L Gwanzura. Vol 46, No 9 (2000), Storage of breast milk: effect of temperature and storage duration on microbial growth, Abstract. E O Igumbor, R D Makura, ...

  8. Comparison of trap characteristics between AlGaN/GaN and AlGaN/InGaN/GaN heterostructure by frequency dependent conductance measurement

    International Nuclear Information System (INIS)

    Chakraborty, Apurba; Biswas, Dhrubes

    2015-01-01

    Frequency dependent conductance measurement is carried out to observe the trapping effect in AlGaN/InGaN/GaN double heterostructure and compared that with conventional AlGaN/GaN single heterostructure. It is found that the AlGaN/InGaN/GaN diode structure does not show any trapping effect, whereas single heterostructure AlGaN/GaN diode suffers from two kinds of trap energy states in near depletion to higher negative voltage bias region. This conductance behaviour of AlGaN/InGaN/GaN heterostructure is owing to more Fermi energy level shift from trap energy states at AlGaN/InGaN junction compare to single AlGaN/GaN heterostructure and eliminates the trapping effects. Analysis yielded interface trap energy state in AlGaN/GaN is to be with time constant of (33.8–76.5) μs and trap density of (2.38–0.656) × 10 12  eV −1  cm −2 in −3.2 to −4.8 V bias region, whereas for AlGaN/InGaN/GaN structure no interface energy states are found and the extracted surface trap energy concentrations and time constants are (5.87–4.39) ×10 10  eV −1  cm −2 and (17.8–11.3) μs, respectively, in bias range of −0.8–0.0 V

  9. Formation of GaAs/AlGaAs and InGaAs/GaAs nanorings by droplet molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Gong, Z.; Niu, Z.C.; Huang, S.S.; Fang, Z.D.; Sun, B.Q.; Xia, J.B.

    2005-01-01

    GaAs/AlGaAs lattice-matched nanorings are formed on GaAs (100) substrates by droplet epitaxy. The crucial step in the formation of nanorings is annealing Ga droplets under As flux for proper time. The observed morphologic evolution of Ga droplets during annealing does not support the hypothesis that As atoms preferentially react with Ga around the periphery of the droplets, but somehow relates to a dewetting process similar to that of unstable films. Photoluminescene (PL) test results confirm the quantum-confinement effect of these GaAs nanorings. Using similar methods, we have fabricated InGaAs/GaAs lattice-mismatched rings

  10. Spatially resolved In and As distributions in InGaAs/GaP and InGaAs/GaAs quantum dot systems

    International Nuclear Information System (INIS)

    Shen, J; Cha, J J; Song, Y; Lee, M L

    2014-01-01

    InGaAs quantum dots (QDs) on GaP are promising for monolithic integration of optoelectronics with Si technology. To understand and improve the optical properties of InGaAs/GaP QD systems, detailed measurements of the QD atomic structure as well as the spatial distributions of each element at high resolution are crucial. This is because the QD band structure, band alignment, and optical properties are determined by the atomic structure and elemental composition. Here, we directly measure the inhomogeneous distributions of In and As in InGaAs QDs grown on GaAs and GaP substrates at the nanoscale using energy dispersive x-ray spectral mapping in a scanning transmission electron microscope. We find that the In distribution is broader on GaP than on GaAs, and as a result, the QDs appear to be In-poor using a GaP matrix. Our findings challenge some of the assumptions made for the concentrations and distributions of In within InGaAs/GaAs or InGaAs/GaP QD systems and provide detailed structural and elemental information to modify the current band structure understanding. In particular, the findings of In deficiency and inhomogeneous distribution in InGaAs/GaP QD systems help to explain photoluminescence spectral differences between InGaAs/GaAs and InGaAs/GaP QD systems. (paper)

  11. Polarization-engineered GaN/InGaN/GaN tunnel diodes

    International Nuclear Information System (INIS)

    Krishnamoorthy, Sriram; Nath, Digbijoy N.; Akyol, Fatih; Park, Pil Sung; Esposto, Michele; Rajan, Siddharth

    2010-01-01

    We report on the design and demonstration of polarization-engineered GaN/InGaN/GaN tunnel junction diodes with high current density and low tunneling turn-on voltage. Wentzel-Kramers-Brillouin calculations were used to model and design tunnel junctions with narrow band gap InGaN-based barrier layers. N-polar p-GaN/In 0.33 Ga 0.67 N/n-GaN heterostructure tunnel diodes were grown using molecular beam epitaxy. Efficient interband tunneling was achieved close to zero bias with a high current density of 118 A/cm 2 at a reverse bias of 1 V, reaching a maximum current density up to 9.2 kA/cm 2 . These results represent the highest current density reported in III-nitride tunnel junctions and demonstrate the potential of III-nitride tunnel devices for a broad range of optoelectronic and electronic applications.

  12. Automated synthesis, characterization and biological evaluation of [{sup 68}Ga]Ga-AMBA, and the synthesis and characterization of {sup nat}Ga-AMBA and [{sup 67}Ga]Ga-AMBA

    Energy Technology Data Exchange (ETDEWEB)

    Cagnolini, Aldo; Chen Jianqing; Ramos, Kimberly; Marie Skedzielewski, Tina; Lantry, Laura E.; Nunn, Adrian D.; Swenson, Rolf E. [Ernst Felder Laboratories, Bracco Research USA Inc., 305 College Road East, Princeton, NJ 08540 (United States); Linder, Karen E., E-mail: karen.e.linder@gmail.co [Ernst Felder Laboratories, Bracco Research USA Inc., 305 College Road East, Princeton, NJ 08540 (United States)

    2010-12-15

    Ga-AMBA (Ga-DO3A-CH{sub 2}CO-G-[4-aminobenzoyl]-QWAVGHLM-NH{sub 2}) is a bombesin-like agonist with high affinity for gastrin releasing peptide receptors (GRP-R). Syntheses for {sup nat}Ga-AMBA, [{sup 67}Ga]Ga-AMBA and [{sup 68}Ga]Ga-AMBA were developed. The preparation of HPLC-purified and Sep-Pak purified [{sup 68}Ga]Ga-AMBA were fully automated, using the built-in radiodetector of the Tracerlab FX F-N synthesizer to monitor fractionated {sup 68}Ge/{sup 68}Ga generator elution and purification. The total synthesis time, including the fractional elution of the generator, was 20 min for Sep-Pak purified material and 40 min for HPLC-purified [{sup 68}Ga]Ga-AMBA. Both [{sup 67}Ga]Ga-AMBA and [{sup 177}Lu]Lu-AMBA showed comparable high affinity for GRP-R in the human prostate cancer cell line PC-3 in vitro (k{sub D}=0.46{+-}0.07; 0.44{+-}0.08 nM), high internalization (78; 77%) and low efflux from cells at 2 h (2.4{+-}0.7; 2.9{+-}1.8%). Biodistribution results in PC-3 tumor-bearing male nude mice showed comparable uptake for [{sup 177}Lu]Lu-, [{sup 111}In]In-, [{sup 67}Ga]Ga- and [{sup 68}Ga]Ga-AMBA.

  13. Strain Balanced AlGaN/GaN/AlGaN nanomembrane HEMTs.

    Science.gov (United States)

    Chang, Tzu-Hsuan; Xiong, Kanglin; Park, Sung Hyun; Yuan, Ge; Ma, Zhenqiang; Han, Jung

    2017-07-25

    Single crystal semiconductor nanomembranes (NM) are important in various applications such as heterogeneous integration and flexible devices. This paper reports the fabrication of AlGaN/GaN NMs and NM high electron mobility transistors (HEMT). Electrochemical etching is used to slice off single-crystalline AlGaN/GaN layers while preserving their microstructural quality. A double heterostructure design with a symmetric strain profile is employed to ensure minimal residual strain in freestanding NMs after release. The mobility of the two-dimensional electron gas (2DEG), formed by the AlGaN/GaN heterostructure, is noticeably superior to previously reported values of many other NMs. AlGaN/GaN nanomembrane HEMTs are fabricated on SiO 2 and flexible polymeric substrates. Excellent electrical characteristics, including a high ON/OFF ratio and transconductance, suggest that III-Nitrides nanomembranes are capable of supporting high performance applications.

  14. Performance Analysis of GaN Capping Layer Thickness on GaN/AlGaN/GaN High Electron Mobility Transistors.

    Science.gov (United States)

    Sharma, N; Periasamy, C; Chaturvedi, N

    2018-07-01

    In this paper, we present an investigation of the impact of GaN capping layer and AlGaN layer thickness on the two-dimensional (2D)-electron mobility and the carrier concentration which was formed close to the AlGaN/GaN buffer layer for Al0.25Ga0.75N/GaN and GaN/Al0.25Ga0.75N/GaN heterostructures deposited on sapphire substrates. The results of our analysis clearly indicate that expanding the GaN capping layer thickness from 1 nm to 100 nm prompts an increment in the electron concentration at hetero interface. As consequence of which drain current was additionally increments with GaN cap layer thicknesses, and eventually saturates at approximately 1.85 A/mm for capping layer thickness greater than 40 nm. Interestingly, for the same structure, the 2D-electron mobility, decrease monotonically with GaN capping layer thickness, and saturate at approximately 830 cm2/Vs for capping layer thickness greater than 50 nm. A device with a GaN cap layer didn't exhibit gate leakage current. Furthermore, it was observed that the carrier concentration was first decrease 1.03 × 1019/cm3 to 6.65 × 1018/cm3 with AlGaN Layer thickness from 5 to 10 nm and after that it increases with the AlGaN layer thickness from 10 to 30 nm. The same trend was followed for electric field distributions. Electron mobility decreases monotonically with AlGaN layer thickness. Highest electron mobility 1354 cm2/Vs were recorded for the AlGaN layer thickness of 5 nm. Results obtained are in good agreement with published experimental data.

  15. Atomic-scale structure of irradiated GaN compared to amorphised GaP and GaAs

    International Nuclear Information System (INIS)

    Ridgway, M.C.; Everett, S.E.; Glover, C.J.; Kluth, S.M.; Kluth, P.; Johannessen, B.; Hussain, Z.S.; Llewellyn, D.J.; Foran, G.J.; Azevedo, G. de M.

    2006-01-01

    We have compared the atomic-scale structure of ion irradiated GaN to that of amorphised GaP and GaAs. While continuous and homogenous amorphised layers were easily achieved in GaP and GaAs, ion irradiation of GaN yielded both structural and chemical inhomogeneities. Transmission electron microscopy revealed GaN crystallites and N 2 bubbles were interspersed within an amorphous GaN matrix. The crystallite orientation was random relative to the unirradiated epitaxial structure, suggesting their formation was irradiation-induced, while the crystallite fraction was approximately constant for all ion fluences beyond the amorphisation threshold, consistent with a balance between amorphisation and recrystallisation processes. Extended X-ray absorption fine structure measurements at the Ga K-edge showed short-range order was retained in the amorphous phase for all three binary compounds. For ion irradiated GaN, the stoichiometric imbalance due to N 2 bubble formation was not accommodated by Ga-Ga bonding in the amorphous phase or precipitation of metallic Ga but instead by a greater reduction in Ga coordination number

  16. Spectroscopic ellipsometry analysis of InGaN/GaN and AlGaN/GaN heterostructures using a parametric dielectric function model

    International Nuclear Information System (INIS)

    Wagner, J.; Ramakrishnan, A.; Obloh, H.; Kunzer, M.; Koehler, K.; Johs, B.

    2000-01-01

    Spectroscopic ellipsometry (SE) has been used for the characterization of AlGaN/GaN and InGaN/GaN heterostructures. The resulting pseudodielectric function spectra were analyzed using a multilayer approach, describing the dielectric functions of the individual layers by a parametric oscillator model. From this analysis, the dielectric function spectra of GaN, Al x Ga 1-x N (x le 0.16), and In 0.13 Ga 0.87 N were deduced. Further, the dependence of the Al x Ga 1-x N band gap energy on the Al mole fraction was derived and compared with photoluminescence data recorded on the same material. The SE band gap data are compatible with a bowing parameter close to 1 eV for the composition dependence of the Al x Ga 1-x N gap energy. Finally, the parametric dielectric functions have been used to model the pseudodielectric function spectrum of a complete GaN/AlGaN/InGaN LED structure

  17. InGaP/InGaAsN/GaAs NpN double-heterojunction bipolar transistor

    International Nuclear Information System (INIS)

    Chang, P. C.; Baca, A. G.; Li, N. Y.; Xie, X. M.; Hou, H. Q.; Armour, E.

    2000-01-01

    We have demonstrated a functional NpN double-heterojunction bipolar transistor (DHBT) using InGaAsN for the base layer. The InGaP/In 0.03 Ga 0.97 As 0.99 N 0.01 /GaAs DHBT has a low V ON of 0.81 V, which is 0.13 V lower than in a InGaP/GaAs heterojunction bipolar transistor (HBT). The lower turn-on voltage is attributed to the smaller band gap (1.20 eV) of metalorganic chemical vapor deposition-grown In 0.03 Ga 0.97 As 0.99 N 0.01 base layer. GaAs is used for the collector; thus the breakdown voltage (BV CEO ) is 10 V, consistent with the BV CEO of InGaP/GaAs HBTs of comparable collector thickness and doping level. To alleviate the current blocking phenomenon caused by the larger conduction band discontinuity between InGaAsN and GaAs, a graded InGaAs layer with δ doping is inserted at the base-collector junction. The improved device has a peak current gain of seven with ideal current-voltage characteristics. (c) 2000 American Institute of Physics

  18. Effect of GaN buffer polarization on electron distribution of AlGaN/GaN heterostructure

    International Nuclear Information System (INIS)

    He, Xiaoguang; Zhao, Degang; Liu, Wei; Yang, Jing; Li, Xiaojing; Li, Xiang

    2016-01-01

    The formation of 2DEG in AlGaN/GaN heterostructure is discussed in detail. A misunderstanding about the 2DEG sheet density expression is clarified. It is predicted by theoretical analysis and validated by self-consistent Schrodinger–Poisson numerical simulation that under the force of GaN polarization, large amounts of electrons will accumulate at the GaN/substrate interface in AlGaN/GaN/substrate HEMT structure. - Highlights: • The formation of 2DEG in AlGaN/GaN heterostructure is discussed in detail. • Self-consistent Schrodinger–Poisson numerical simulation is used to modulate the AlGaN/GaN/substrate structure. • It is predicted by that large amounts of electrons will accumulate at the GaN/substrate interface.

  19. Effect of GaN buffer polarization on electron distribution of AlGaN/GaN heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    He, Xiaoguang; Zhao, Degang, E-mail: dgzhao@red.semi.ac.cn; Liu, Wei; Yang, Jing; Li, Xiaojing; Li, Xiang

    2016-06-15

    The formation of 2DEG in AlGaN/GaN heterostructure is discussed in detail. A misunderstanding about the 2DEG sheet density expression is clarified. It is predicted by theoretical analysis and validated by self-consistent Schrodinger–Poisson numerical simulation that under the force of GaN polarization, large amounts of electrons will accumulate at the GaN/substrate interface in AlGaN/GaN/substrate HEMT structure. - Highlights: • The formation of 2DEG in AlGaN/GaN heterostructure is discussed in detail. • Self-consistent Schrodinger–Poisson numerical simulation is used to modulate the AlGaN/GaN/substrate structure. • It is predicted by that large amounts of electrons will accumulate at the GaN/substrate interface.

  20. Growth of InGaN multiple quantum wells and GaN eplilayer on GaN substrate

    International Nuclear Information System (INIS)

    Lee, Sung-Nam; Paek, H.S.; Son, J.K.; Sakong, T.; Yoon, E.; Nam, O.H.; Park, Y.

    2006-01-01

    We investigated that the surface morphology of GaN epilayers was significantly affected by the surface tilt orientation of GaN substrate. Surface morphologies of GaN epilayers on GaN substrates show three types: mirror, wavy, and hillock. These surface morphologies are dependent on the surface orientation of GaN substrates. It is found that the hillock morphology of GaN epilayer was formed on the GaN substrate with surface tilt orientation less than 0.1 o . As the surface tilt angle increased to 0.35 o , the surface morphology varied from hillock to wavy morphology. Above a surface tilt angle of 0.4 o , surface morphology changed to the mirror-like type morphology. Additionally, these three types of GaN surface morphology also affected the optical quality of GaN epilayers as well as InGaN multiple quantum wells on GaN substrates by non-uniform In incorporation on the different surface morphologies of GaN epilayers

  1. AlGaAs/InGaAs/AlGaAs double pulse doped pseudomorphic high electron mobility transistor structures on InGaAs substrates

    Science.gov (United States)

    Hoke, W. E.; Lyman, P. S.; Mosca, J. J.; McTaggart, R. A.; Lemonias, P. J.; Beaudoin, R. M.; Torabi, A.; Bonner, W. A.; Lent, B.; Chou, L.-J.; Hsieh, K. C.

    1997-10-01

    Double pulse doped AlGaAs/InGaAs/AlGaAs pseudomorphic high electron mobility transistor (PHEMT) structures have been grown on InxGa1-xAs (x=0.025-0.07) substrates using molecular beam epitaxy. A strain compensated, AlGaInAs/GaAs superlattice was used for improved resistivity and breakdown. Excellent electrical and optical properties were obtained for 110-Å-thick InGaAs channel layers with indium concentrations up to 31%. A room temperature mobility of 6860 cm2/V s with 77 K sheet density of 4.0×1012cm-2 was achieved. The InGaAs channel photoluminescence intensity was equivalent to an analogous structure on a GaAs substrate. To reduce strain PHEMT structures with a composite InGaP/AlGaAs Schottky layer were also grown. The structures also exhibited excellent electrical and optical properties. Transmission electron micrographs showed planar channel interfaces for highly strained In0.30Ga0.70As channel layers.

  2. Two new Np--Ga phases: α-NpGa2 and metastable m-NpGa2

    International Nuclear Information System (INIS)

    Giessen, B.C.; Elliott, R.O.

    1976-01-01

    Following an earlier study of metastable Np-rich Np--Ga alloys, rapidly quenched Np--Ga alloys with 63 to 80 at. pct. Ga were prepared and studied. Two new NpGa 2 phases, both with an AlB 2 type structure, were found: α-NpGa 2 , with a = 4.246A, c = 4.060A, c/a = 0.956, and m-NpGa 2 , with a = 4.412A, c = 3.642A, c/a = 0.825. While m-NpGa 2 was observed only in very fast quenched (splat cooled) samples and appears to be metastable, α-NpGa 2 is probably an equilibrium phase. In a splat cooled alloy with 75 at. pct. Ga, another, unidentified, metastable phase was observed. Crystal chemical discussions of atomic volumes, interatomic distances and axial ratios are given; the volume difference between the two forms of NpGa 2 is correlated with a valence change of Np

  3. Antisites and anisotropic diffusion in GaAs and GaSb

    KAUST Repository

    Tahini, H. A.; Bracht, H.; Chroneos, Alexander; Grimes, R. W.; Murphy, S. T.; Schwingenschlö gl, Udo

    2013-01-01

    The significant diffusion of Ga under Ga-rich conditions in GaAs and GaSb is counter intuitive as the concentration of Ga vacancies should be depressed although Ga vacancies are necessary to interpret the experimental evidence for Ga transport

  4. Photoluminescence characterization of GaAs/GaAs0.64P0.19Sb0.17/GaAs heterostructure

    International Nuclear Information System (INIS)

    Chen, J.Y.; Chen, B.H.; Huang, Y.S.; Chin, Y.C.; Tsai, H.S.; Lin, H.H.; Tiong, K.K.

    2013-01-01

    Interfacial characteristics of GaAs/GaAs 0.64 P 0.19 Sb 0.17 GaAs heterostructures and emission properties of a quaternary GaAs 0.64 P 0.19 Sb 0.17 layer were studied by excitation-power- and temperature-dependent photoluminescence (PL) measurements. The GaAs-to-GaAsPSb upper interface related emission feature and signals from GaAsPSb and GaAs were observed and characterized. The upper interface related emission peak was attributed to the radiative recombination of spatially separated electron–hole pairs and suggesting the type-II alignment at the GaAs/GaAsPSb interface. The localized excitonic emission feature of GaAsPSb revealed a blueshift due to the saturation effect of localized states and showed a fast thermal-quench with the increase of temperature. The temperature variation of the band edge emission signal of GaAsPSb was found to follow that of GaAs closely. -- Highlights: ► PL characterization of GaAs/GaAsPSb/GaAs heterostructure. ► Type-II alignment at the GaAs/GaAsPSb interface. ► Near-band-edge emission lines of GaAsPSb

  5. GaN-based ultraviolet light-emitting diodes with AlN/GaN/InGaN multiple quantum wells.

    Science.gov (United States)

    Chang, Hung-Ming; Lai, Wei-Chih; Chen, Wei-Shou; Chang, Shoou-Jinn

    2015-04-06

    We demonstrate indium gallium nitride/gallium nitride/aluminum nitride (AlN/GaN/InGaN) multi-quantum-well (MQW) ultraviolet (UV) light-emitting diodes (LEDs) to improve light output power. Similar to conventional UV LEDs with AlGaN/InGaN MQWs, UV LEDs with AlN/GaN/InGaN MQWs have forward voltages (V(f)'s) ranging from 3.21 V to 3.29 V at 350 mA. Each emission peak wavelength of AlN/GaN/InGaN MQW UV LEDs presents 350 mA output power greater than that of the corresponding emission peak wavelength of AlGaN/InGaN MQW UV LEDs. The light output power at 350mA of AlN/GaN/InGaN MQWs UV LEDs with 375 nm emission wavelength can reach around 26.7% light output power enhancement in magnitude compared to the AlGaN/InGaN MQWs UV LEDs with same emission wavelength. But 350mA light output power of AlN/GaN/InGaN MQWs UV LEDs with emission wavelength of 395nm could only have light output power enhancement of 2.43% in magnitude compared with the same emission wavelength AlGaN/InGaN MQWs UV LEDs. Moreover, AlN/GaN/InGaN MQWs present better InGaN thickness uniformity, well/barrier interface quality and less large size pits than AlGaN/InGaN MQWs, causing AlN/GaN/InGaN MQW UV LEDs to have less reverse leakage currents at -20 V. Furthermore, AlN/GaN/InGaN MQW UV LEDs have the 2-kV human body mode (HBM) electrostatic discharge (ESD) pass yield of 85%, which is 15% more than the 2-kV HBM ESD pass yield of AlGaN/InGaN MQW UV LEDs of 70%.

  6. Shot noise reduction in the AlGaAs/GaAs- and InGaP/GaAs-based HBTs

    Science.gov (United States)

    Sakalas, Paulius; Schroeter, Michael; Zampardi, Peter; Zirath, Herbert

    2003-05-01

    Noise parameters of AlGaAs/GaAs and InGaP/GaAs HBTs were measured in microwave frequency range and modeled using the small-signal equivalent circuit approach. Correlated current noise sources in the base and collector currents with thermal noise in the circuit resistive elements were accounted for by the model and yielded good agreement with the measured data. This enabled an extraction of the different noise source contributions to minimum noise figure (NFmin) in AlGaAs/GaAs and InGaP/GaAs HBTs. Decomposition of the (NFmin) in to the different contributors showed that the main noise sources in investigated HBTs are correlated base and collector current shot noise. The observed minimum of NFmin versus frequency at lower collector current is explained by the reduction of the emitter/base junction shot noise component due to the spike in the emitter/base junction and associated accumulation of the quasi-thermalized electrons forming a space charge, which screens the electron transfer through the barrier. The bias (VCE) increase creates an efficient electric field in collector/base junction, capable of 'washing out' the accumulated charge. Such shot noise reduction in HBTs could be exploited in the LNA for the RF application.

  7. High breakdown voltage in AlGaN/GaN HEMTs using AlGaN/GaN/AlGaN quantum-well electron-blocking layers.

    Science.gov (United States)

    Lee, Ya-Ju; Yao, Yung-Chi; Huang, Chun-Ying; Lin, Tai-Yuan; Cheng, Li-Lien; Liu, Ching-Yun; Wang, Mei-Tan; Hwang, Jung-Min

    2014-01-01

    In this paper, we numerically study an enhancement of breakdown voltage in AlGaN/GaN high-electron-mobility transistors (HEMTs) by using the AlGaN/GaN/AlGaN quantum-well (QW) electron-blocking layer (EBL) structure. This concept is based on the superior confinement of two-dimensional electron gases (2-DEGs) provided by the QW EBL, resulting in a significant improvement of breakdown voltage and a remarkable suppression of spilling electrons. The electron mobility of 2-DEG is hence enhanced as well. The dependence of thickness and composition of QW EBL on the device breakdown is also evaluated and discussed.

  8. Self-consistent simulation of carrier confinement characteristics in (AlyGa1−yN/AlN)SLs/GaN/(InxGa1−xN/GaN)MQW/GaN heterostructures

    International Nuclear Information System (INIS)

    Ding Jieqin; Wang Xiaoliang; Xiao Hongling; Wang Cuimei; Yin Haibo; Chen Hong; Feng Chun; Jiang Lijuan

    2012-01-01

    Highlights: ► We present calculations of carrier confinement characteristics. ► An optimization of In x Ga 1−x N/GaN multiquantum-well (MQW) was made. ► 2DEG sheet carrier density in designed heterostructure is greatly increased. ► Interface roughness and alloy disorder scattering reduced. ► Carrier mobility will be improved in designed heterostructure. - Abstract: We present calculations of carrier confinement characteristics in (Al y Ga 1−y N/AlN)SLs/GaN/(In x Ga 1−x N/GaN)MQW/GaN heterojunction structure in the presence of spontaneous and piezoelectrically induced polarization effects. The calculations were made using a self-consistent solution of the Schrödinger, Poisson, potential and charge balance equations. An optimization of In x Ga 1−x N/GaN multiquantum-well (MQW) was made firstly including thickness of GaN channel, InGaN, and indium composition of In x Ga 1−x N in order to increase carrier density and mobility, and the influence of pairs of AlGaN/AlN superlattices (SLs) and InGaN/GaN MQWs on structure was discussed. Theoretical calculations clearly indicate that the two-dimensional electron gas (2DEG) sheet carrier density in designed heterostructure is greatly increased due to the enhancing of carrier confinement compared to those in conventional AlGaN/GaN one at the similar Al composition. Furthermore, the calculated carrier distribution shows that carrier mobility will be improved by reducing interface roughness and alloy disorder scattering in designed heterostructure.

  9. AlGaN/GaN double-channel HEMT

    International Nuclear Information System (INIS)

    Quan Si; Hao Yue; Ma Xiaohua; Zheng Pengtian; Xie Yuanbin

    2010-01-01

    The fabrication of AlGaN/GaN double-channel high electron mobility transistors on sapphire substrates is reported. Two carrier channels are formed in an AlGaN/GaN/AlGaN/GaN multilayer structure. The DC performance of the resulting double-channel HEMT shows a wider high transconductance region compared with single-channel HEMT. Simulations provide an explanation for the influence of the double-channel on the high transconductance region. The buffer trap is suggested to be related to the wide region of high transconductance. The RF characteristics are also studied. (semiconductor devices)

  10. Antisites and anisotropic diffusion in GaAs and GaSb

    KAUST Repository

    Tahini, H. A.

    2013-10-02

    The significant diffusion of Ga under Ga-rich conditions in GaAs and GaSb is counter intuitive as the concentration of Ga vacancies should be depressed although Ga vacancies are necessary to interpret the experimental evidence for Ga transport. To reconcile the existence of Ga vacancies under Ga-rich conditions, transformation reactions have been proposed. Here, density functional theory is employed to calculate the formation energies of vacancies on both sublattices and the migration energy barriers to overcome the formation of the vacancy-antisite defect. Transformation reactions enhance the vacancy concentration in both materials and migration energy barriers indicate that Ga vacancies will dominate.

  11. AlGaN nanocolumns and AlGaN/GaN/AlGaN nanostructures grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ristic, J.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Calleja, E. [Departamento de Ingenieria Electronica, ETSI Telecomunicacion, Universidad Politecnica de Madrid, Ciudad Universitaria, 28040 Madrid (Spain); Sanchez-Paramo, J.; Calleja, J.M. [Departamento de Fisica de Materiales, Universidad Autonoma de Madrid, Cantoblanco, 28049 Madrid (Spain); Jahn, U.; Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-12-01

    This work reports on the characterization of hexagonal, single crystal AlGaN nanocolumns with diameters in the range of 30 to 100 nm grown by molecular beam epitaxy on Si(111) substrates. The change of the flux ratio between the Al and the total III-element controls the alloy composition. The Al composition trend versus the Al flux is consistent both with the E{sub 2} phonon energy values measured by inelastic light scattering and the luminescence emission peaks position. High quality low dimensional AlGaN/GaN/AlGaN heterostructures with five GaN quantum discs, 2 and 4 nm thick, embedded into the AlGaN columns, were designed in order to study the quantum confinement effects. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  12. AlGaN/GaN HEMT structures on ammono bulk GaN substrate

    International Nuclear Information System (INIS)

    Kruszewski, P; Prystawko, P; Krysko, M; Smalc-Koziorowska, J; Leszczynski, M; Kasalynas, I; Nowakowska-Siwinska, A; Plesiewicz, J; Dwilinski, R; Zajac, M; Kucharski, R

    2014-01-01

    The work shows a successful fabrication of AlGaN/GaN high electron mobility transistor (HEMT) structures on the bulk GaN substrate grown by ammonothermal method providing an ultralow dislocation density of 10 4  cm −2  and wafers of size up to 2 inches in diameter. The AlGaN layers grown by metalorganic chemical vapor phase epitaxy method demonstrate atomically smooth surface, flat interfaces with reproduced low dislocation density as in the substrate. The test electronic devices—Schottky diodes and transistors—were designed without surface passivation and were successfully fabricated using mask-less laser-based photolithography procedures. The Schottky barrier devices demonstrate exceptionally low reverse currents smaller by a few orders of magnitude in comparison to the Schottky diodes made of AlGaN/GaN HEMT on sapphire substrate. (paper)

  13. Modeling and optimization of a double-well double-barrier GaN/AlGaN/GaN/AlGaN resonant tunneling diode

    Science.gov (United States)

    Liu, Yang; Gao, Bo; Gong, Min; Shi, Ruiying

    2017-06-01

    The influence of a GaN layer as a sub-quantum well for an AlGaN/GaN/AlGaN double barrier resonant tunneling diode (RTD) on device performance has been investigated by means of numerical simulation. The introduction of the GaN layer as the sub-quantum well turns the dominant transport mechanism of RTD from the 3D-2D model to the 2D-2D model and increases the energy difference between tunneling energy levels. It can also lower the effective height of the emitter barrier. Consequently, the peak current and peak-to-valley current difference of RTD have been increased. The optimal GaN sub-quantum well parameters are found through analyzing the electrical performance, energy band, and transmission coefficient of RTD with different widths and depths of the GaN sub-quantum well. The most pronounced electrical parameters, a peak current density of 5800 KA/cm2, a peak-to-valley current difference of 1.466 A, and a peak-to-valley current ratio of 6.35, could be achieved by designing RTD with the active region structure of GaN/Al0.2Ga0.8 N/GaN/Al0.2Ga0.8 N (3 nm/1.5 nm/1.5 nm/1.5 nm).

  14. Bipolar characteristics of AlGaN/AlN/GaN/AlGaN double heterojunction structure with AlGaN as buffer layer

    International Nuclear Information System (INIS)

    Peng, Enchao; Wang, Xiaoliang; Xiao, Hongling; Wang, Cuimei; Yin, Haibo; Chen, Hong; Feng, Chun; Jiang, Lijuan; Hou, Xun; Wang, Zhanguo

    2013-01-01

    Highlights: •2DEG and 2DHG coexist in the AlGaN/AlN/GaN/AlGaN DH-structure. •The sheet densities of 2DEG and 2DHG vary with buffer Al content and GaN thickness. •The conditions for the disappearance of 2DHG are discussed. •Increasing buffer Al content provides better electron confinement. •Dislocation scattering is reduced in the DH-structure. -- Abstract: This is a theoretical study of AlGaN/AlN/GaN/AlGaN double heterojunction (DH) structure with AlGaN as buffer layer. Our calculation shows that as the buffer Al content increases, though two-dimensional electron gas (2DEG) sheet density decreases, the channel back-barrier caused by polarization-induced electric field in GaN provides better electron confinement. And under certain conditions the DH-structure shows bipolar characteristics, with an additional two-dimensional hole gas (2DHG) formed at GaN/AlGaN interface. The influence of the buffer Al content and GaN channel thickness on the 2DEG and 2DHG sheet densities are investigated, and the conditions for the disappearance of 2DHG are discussed. Also, the mobility inhibited by dislocation scattering is enhanced in DH-structure due to the enhancement of screening effect of the 2DEG

  15. ECV profiling of GaAs and GaN HEMT heterostructures

    Science.gov (United States)

    Yakovlev, G.; Zubkov, V.

    2018-03-01

    AlGaAs/InGaAs/GaAs and AlGaN/GaN HEMT heterostructures were investigated by means of electrochemical capacitance-voltage technique. A set of test structures were fabricated using various doping techniques: standard doping, δ-doping GaAs pHEMT and nondoping GaN HEMT. The concentration profiles of free charge carriers across the samples were experimentally obtained. The QW filling was analyzed and compared for different mechanisms of emitter doping and 2DEG origins.

  16. Comparison of electrical characteristic between AlN/GaN and AlGaN/GaN heterostructure Schottky diodes

    International Nuclear Information System (INIS)

    Lü Yuan-Jie; Feng Zhi-Hong; Gu Guo-Dong; Dun Shao-Bo; Yin Jia-Yun; Han Ting-Ting; Cai Shu-Jun; Lin Zhao-Jun

    2014-01-01

    Ni/Au Schottky contacts on AlN/GaN and AlGaN/GaN heterostructures are fabricated. Based on the measured current—voltage and capacitance—voltage curves, the electrical characteristics of AlN/GaN Schottky diode, such as Schottky barrier height, turn-on voltage, reverse breakdown voltage, ideal factor, and the current-transport mechanism, are analyzed and then compared with those of an AlGaN/GaN diode by self-consistently solving Schrödinger's and Poisson's equations. It is found that the dislocation-governed tunneling is dominant for both AlN/GaN and AlGaN/GaN Schottky diodes. However, more dislocation defects and a thinner barrier layer for AlN/GaN heterostructure results in a larger tunneling probability, and causes a larger leakage current and lower reverse breakdown voltage, even though the Schottky barrier height of AlN/GaN Schottky diode is calculated to be higher that of an AlGaN/GaN diode. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  17. Influence of AlGaN/GaN superlattice inserted structure on the performance of InGaN/GaN multiple quantum well light emitting diodes

    International Nuclear Information System (INIS)

    Wang, C.-L.; Tsai, M.-C.; Gong, J.-R.; Liao, W.-T.; Lin, P.-Y.; Yen, K.-Y.; Chang, C.-C.; Lin, H.-Y.; Hwang, S.-K.

    2007-01-01

    Investigations were conducted to explore the effect of Al 0.3 Ga 0.7 N/GaN short-period superlattice (SPSL)-inserted structures in the GaN under layer on the performance of In 0.2 Ga 0.8 N/GaN multiple quantum well (MQW) light emitting diodes (LEDs). The Al 0.3 Ga 0.7 N/GaN SPSL-inserted LEDs were found to exhibit improved materials and device characteristics including decrements in ideality factor and reverse leakage current. The results of etch pit counts reveal that SPSL-induced threading dislocation density reduction in the SPSL-inserted In 0.2 Ga 0.8 N/GaN MQW LED structures enables the improved LED performance

  18. Growth of GaN layers using Ga2O vapor obtained from Ga and H2O vapor

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    In this study, we performed growth of GaN layers using Ga 2 O vapor synthesized from Ga and H 2 O vapor. In this process, we employed H 2 O vapor instead of HCl gas in hydride vapor phase epitaxy (HVPE) to synthesize Ga source gas. In the synthesis reaction of Ga 2 O, a Ga 2 O 3 whisker formed and covered Ga, which impeded the synthesis reaction of Ga 2 O. The formation of the Ga 2 O 3 whisker was suppressed in H 2 ambient at high temperatures. Then, we adopted this process to supply a group III precursor and obtained an epitaxial layer. X-ray diffraction (XRD) measurement revealed that the epitaxial layer was single-crystalline GaN. Growth rate increased linearly with Ga 2 O partial pressure and reached 104 µm/h. (author)

  19. Photoluminescence study of the nitrogen content effect on GaAs/GaAs1-xNx/GaAs/AlGaAs: (Si) quantum well

    International Nuclear Information System (INIS)

    Hamdouni, A.; Bousbih, F.; Ben bouzid, S.; Aloulou, S.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    We study the effect of nitrogen content in modulation-doped GaAs/GaAs 1-x N x /GaAs/GaAlAs:(Si) quantum well using low-temperature photoluminescence spectroscopy. The samples were grown on GaAs (001) substrates by molecular-beam epitaxy with different nitrogen compositions. The variation of the nitrogen composition from 0.04% to 0.32% associated to the bi-dimensional electron gas gives a new interaction mode between the nitrogen localized states and the GaAs 1-x N x /GaAs energies levels. The red-shift observed in photoluminescence spectra as function of nitrogen content has been interpreted in the frame of the band anticrossing model

  20. Characteristics study of 2DEG transport properties of AlGaN/GaN and AlGaAs/GaAs-based HEMT

    International Nuclear Information System (INIS)

    Lenka, T. R.; Panda, A. K.

    2011-01-01

    Growth of wide bandgap material over narrow bandgap material, results into a two dimensional electron gas (2DEG) at the heterointerface due to the conduction band discontinuity. In this paper the 2DEG transport properties of AlGaN/GaN-based high electron mobility transistor (HEMT) is discussed and its effect on various characteristics such as 2DEG density, C-V characteristics and Sheet resistances for different mole fractions are presented. The obtained results are also compared with AlGaAs/GaAs-based HEMT for the same structural parameter as like AlGaN/GaN-based HEMT. The calculated results of electron sheet concentration as a function of the Al mole fraction are in excellent agreement with some experimental data available in the literature.

  1. Metal-interconnection-free integration of InGaN/GaN light emitting diodes with AlGaN/GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Chao; Cai, Yuefei; Liu, Zhaojun; Ma, Jun; Lau, Kei May, E-mail: eekmlau@ust.hk [Photonics Technology Center, Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, Clear Water Bay, Kowloon (Hong Kong)

    2015-05-04

    We report a metal-interconnection-free integration scheme for InGaN/GaN light emitting diodes (LEDs) and AlGaN/GaN high electron mobility transistors (HEMTs) by combining selective epi removal (SER) and selective epitaxial growth (SEG) techniques. SER of HEMT epi was carried out first to expose the bottom unintentionally doped GaN buffer and the sidewall GaN channel. A LED structure was regrown in the SER region with the bottom n-type GaN layer (n-electrode of the LED) connected to the HEMTs laterally, enabling monolithic integration of the HEMTs and LEDs (HEMT-LED) without metal-interconnection. In addition to saving substrate real estate, minimal interface resistance between the regrown n-type GaN and the HEMT channel is a significant improvement over metal-interconnection. Furthermore, excellent off-state leakage characteristics of the driving transistor can also be guaranteed in such an integration scheme.

  2. Metal-interconnection-free integration of InGaN/GaN light emitting diodes with AlGaN/GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Liu, Chao; Cai, Yuefei; Liu, Zhaojun; Ma, Jun; Lau, Kei May

    2015-01-01

    We report a metal-interconnection-free integration scheme for InGaN/GaN light emitting diodes (LEDs) and AlGaN/GaN high electron mobility transistors (HEMTs) by combining selective epi removal (SER) and selective epitaxial growth (SEG) techniques. SER of HEMT epi was carried out first to expose the bottom unintentionally doped GaN buffer and the sidewall GaN channel. A LED structure was regrown in the SER region with the bottom n-type GaN layer (n-electrode of the LED) connected to the HEMTs laterally, enabling monolithic integration of the HEMTs and LEDs (HEMT-LED) without metal-interconnection. In addition to saving substrate real estate, minimal interface resistance between the regrown n-type GaN and the HEMT channel is a significant improvement over metal-interconnection. Furthermore, excellent off-state leakage characteristics of the driving transistor can also be guaranteed in such an integration scheme

  3. Strain-balanced InGaN/GaN multiple quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    Van Den Broeck, D. M.; Hosalli, A. M.; Bedair, S. M. [Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Bharrat, D.; El-Masry, N. A. [Department of Material Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2014-07-21

    InGaN/GaN multiple quantum well (MQW) structures suffer from a high amount of compressive strain in the InGaN wells and the accompanied piezoelectric field resulting in both a blue shift in emission and a reduction of emission intensity. We report the growth of In{sub x}Ga{sub 1−x}N/GaN “strain-balanced” multiple quantum wells (SBMQWs) grown on thick In{sub y}Ga{sub 1−y}N templates for x > y by metal organic chemical vapor deposition. SBMQWs consist of alternating layers of In{sub x}Ga{sub 1−x}N wells and GaN barriers under compressive and tensile stress, respectively, which have been lattice matched to a thick In{sub y}Ga{sub 1−y}N template. Growth of the In{sub y}Ga{sub 1−y}N template is also detailed in order to achieve thick, relaxed In{sub y}Ga{sub 1−y}N grown on GaN without the presence of V-grooves. When compared to conventional In{sub x}Ga{sub 1−x}N/GaN MQWs grown on GaN, the SBMQW structures exhibit longer wavelength emission and higher emission intensity for the same InN mole fraction due to a reduction in the well strain and piezoelectric field. By matching the average lattice constant of the MQW active region to the lattice constant of the In{sub y}Ga{sub 1−y}N template, essentially an infinite number of periods can be grown using the SBMQW growth method without relaxation-related effects. SBMQWs can be utilized to achieve longer wavelength emission in light emitting diodes without the use of excess indium and can be advantageous in addressing the “green gap.”.

  4. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban; Janzén, Erik [Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE 581 83 Linköping (Sweden)

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon and oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.

  5. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    Science.gov (United States)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  6. Meningiomas: A Comparative Study of 68Ga-DOTATOC, 68Ga-DOTANOC and 68Ga-DOTATATE for Molecular Imaging in Mice

    Science.gov (United States)

    Soto-Montenegro, María Luisa; Peña-Zalbidea, Santiago; Mateos-Pérez, Jose María; Oteo, Marta; Romero, Eduardo; Morcillo, Miguel Ángel; Desco, Manuel

    2014-01-01

    Purpose The goal of this study was to compare the tumor uptake kinetics and diagnostic value of three 68Ga-DOTA-labeled somatostatin analogues (68Ga-DOTATOC, 68Ga-DOTANOC, and 68Ga-DOTATATE) using PET/CT in a murine model with subcutaneous meningioma xenografts. Methods The experiment was performed with 16 male NUDE NU/NU mice bearing xenografts of a human meningioma cell line (CH-157MN). 68Ga-DOTATOC, 68Ga-DOTANOC, and 68Ga-DOTATATE were produced in a FASTLab automated platform. Imaging was performed on an Argus small-animal PET/CT scanner. The SUVmax of the liver and muscle, and the tumor-to-liver (T/L) and tumor-to-muscle (T/M) SUV ratios were computed. Kinetic analysis was performed using Logan graphical analysis for a two-tissue reversible compartmental model, and the volume of distribution (Vt) was determined. Results Hepatic SUVmax and Vt were significantly higher with 68Ga-DOTANOC than with 68Ga-DOTATOC and 68Ga-DOTATATE. No significant differences between tracers were found for SUVmax in tumor or muscle. No differences were found in the T/L SUV ratio between 68Ga-DOTATATE and 68Ga-DOTATOC, both of which had a higher fraction than 68Ga-DOTANOC. The T/M SUV ratio was significantly higher with 68Ga-DOTATATE than with 68Ga-DOTATOC and 68Ga-DOTANOC. The Vt for tumor was higher with 68Ga-DOTATATE than with 68Ga-DOTANOC and relatively similar to that of 68Ga-DOTATOC. Conclusions This study demonstrates, for the first time, the ability of the three radiolabeled somatostatin analogues tested to image a human meningioma cell line. Although Vt was relatively similar with 68Ga-DOTATATE and 68Ga-DOTATOC, uptake was higher with 68Ga-DOTATATE in the tumor than with 68Ga-DOTANOC and 68Ga-DOTATOC, suggesting a higher diagnostic value of 68Ga-DOTATATE for detecting meningiomas. PMID:25369268

  7. Meningiomas: a comparative study of 68Ga-DOTATOC, 68Ga-DOTANOC and 68Ga-DOTATATE for molecular imaging in mice.

    Directory of Open Access Journals (Sweden)

    María Luisa Soto-Montenegro

    Full Text Available The goal of this study was to compare the tumor uptake kinetics and diagnostic value of three (68Ga-DOTA-labeled somatostatin analogues ((68Ga-DOTATOC, (68Ga-DOTANOC, and (68Ga-DOTATATE using PET/CT in a murine model with subcutaneous meningioma xenografts.The experiment was performed with 16 male NUDE NU/NU mice bearing xenografts of a human meningioma cell line (CH-157MN. (68Ga-DOTATOC, (68Ga-DOTANOC, and (68Ga-DOTATATE were produced in a FASTLab automated platform. Imaging was performed on an Argus small-animal PET/CT scanner. The SUVmax of the liver and muscle, and the tumor-to-liver (T/L and tumor-to-muscle (T/M SUV ratios were computed. Kinetic analysis was performed using Logan graphical analysis for a two-tissue reversible compartmental model, and the volume of distribution (Vt was determined.Hepatic SUVmax and Vt were significantly higher with (68Ga-DOTANOC than with (68Ga-DOTATOC and (68Ga-DOTATATE. No significant differences between tracers were found for SUVmax in tumor or muscle. No differences were found in the T/L SUV ratio between (68Ga-DOTATATE and (68Ga-DOTATOC, both of which had a higher fraction than (68Ga-DOTANOC. The T/M SUV ratio was significantly higher with (68Ga-DOTATATE than with (68Ga-DOTATOC and (68Ga-DOTANOC. The Vt for tumor was higher with (68Ga-DOTATATE than with (68Ga-DOTANOC and relatively similar to that of (68Ga-DOTATOC.This study demonstrates, for the first time, the ability of the three radiolabeled somatostatin analogues tested to image a human meningioma cell line. Although Vt was relatively similar with (68Ga-DOTATATE and (68Ga-DOTATOC, uptake was higher with (68Ga-DOTATATE in the tumor than with (68Ga-DOTANOC and (68Ga-DOTATOC, suggesting a higher diagnostic value of (68Ga-DOTATATE for detecting meningiomas.

  8. Role of AlGaN/GaN interface traps on negative threshold voltage shift in AlGaN/GaN HEMT

    Science.gov (United States)

    Malik, Amit; Sharma, Chandan; Laishram, Robert; Bag, Rajesh Kumar; Rawal, Dipendra Singh; Vinayak, Seema; Sharma, Rajesh Kumar

    2018-04-01

    This article reports negative shift in the threshold-voltage in AlGaN/GaN high electron mobility transistor (HEMT) with application of reverse gate bias stress. The device is biased in strong pinch-off and low drain to source voltage condition for a fixed time duration (reverse gate bias stress), followed by measurement of transfer characteristics. Negative threshold voltage shift after application of reverse gate bias stress indicates the presence of more carriers in channel as compared to the unstressed condition. We propose the presence of AlGaN/GaN interface states to be the reason of negative threshold voltage shift, and developed a process to electrically characterize AlGaN/GaN interface states. We verified the results with Technology Computer Aided Design (TCAD) ATLAS simulation and got a good match with experimental measurements.

  9. Near-surface depletion of antimony during the growth of GaAsSb and GaAs/GaAsSb nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Kauko, H.; Helvoort, A. T. J. van, E-mail: a.helvoort@ntnu.no [Department of Physics, Norwegian University of Science and Technology (NTNU), Trondheim (Norway); Fimland, B. O.; Munshi, A. M. [Department of Electronics and Telecommunications, NTNU, Trondheim (Norway); Grieb, T.; Müller, K.; Rosenauer, A. [Institut für Festkörperphysik, Universität Bremen, Bremen (Germany)

    2014-10-14

    The near-surface reduction of the Sb mole fraction during the growth of GaAsSb nanowires (NWs) and GaAs NWs with GaAsSb inserts has been studied using quantitative high-angle annular dark field scanning transmission electron microscopy (STEM). A model for diffusion of Sb in the hexagonal NWs was developed and employed in combination with the quantitative STEM analysis. GaAsSb NWs grown by Ga-assisted molecular beam epitaxy (MBE) and GaAs/GaAsSb NWs grown by Ga- and Au-assisted MBE were investigated. At the high temperatures employed in the NW growth, As-Sb exchange at and outward diffusion of Sb towards the surface take place, resulting in reduction of the Sb concentration at and near the surface in the GaAsSb NWs and the GaAsSb inserts. In GaAsSb NWs, an increasing near-surface depletion of Sb was observed towards the bottom of the NW due to longer exposure to the As beam flux. In GaAsSb inserts, an increasing change in the Sb concentration profile was observed with increasing post-insert axial GaAs growth time, resulting from a combined effect of radial GaAs overgrowth and diffusion of Sb. The effect of growth temperature on the diffusion of Sb in the GaAsSb inserts was identified. The consequences of these findings for growth optimization and the optoelectronic properties of GaAsSb are discussed.

  10. AlGaN/GaN High Electron Mobility Transistors with Multi-MgxNy/GaN Buffer

    OpenAIRE

    Chang, P. C.; Lee, K. H.; Wang, Z. H.; Chang, S. J.

    2014-01-01

    We report the fabrication of AlGaN/GaN high electron mobility transistors with multi-MgxNy/GaN buffer. Compared with conventional HEMT devices with a low-temperature GaN buffer, smaller gate and source-drain leakage current could be achieved with this new buffer design. Consequently, the electron mobility was larger for the proposed device due to the reduction of defect density and the corresponding improvement of crystalline quality as result of using the multi-MgxNy/GaN buffer.

  11. Reducing Threshold of Multi Quantum Wells InGaN Laser Diode by Using InGaN/GaN Waveguide

    Science.gov (United States)

    Abdullah, Rafid A.; Ibrahim, Kamarulazizi

    2010-07-01

    ISE TCAD (Integrated System Engineering Technology Computer Aided Design) software simulation program has been utilized to help study the effect of using InGaN/GaN as a waveguide instead of conventional GaN waveguide for multi quantum wells violet InGaN laser diode (LD). Simulation results indicate that the threshold of the LD has been reduced by using InGaN/GaN waveguide where InGaN/GaN waveguide increases the optical confinement factor which leads to increase the confinement carriers at the active region of the LD.

  12. Carrier confinement effects of InxGa1-xN/GaN multi quantum disks with GaN surface barriers grown in GaN nanorods

    Science.gov (United States)

    Park, Youngsin; Chan, Christopher C. S.; Taylor, Robert A.; Kim, Nammee; Jo, Yongcheol; Lee, Seung W.; Yang, Woochul; Im, Hyunsik

    2018-04-01

    Structural and optical properties of InxGa1-xN/GaN multi quantum disks (QDisks) grown on GaN nanorods by molecular beam epitaxy have been investigated by transmission electron microscopy and micro-photoluminescence (PL) spectroscopy. Two types of InGaN QDisks were grown: a pseudo-3D confined InGaN pillar-type QDisks embedded in GaN nanorods; and QDisks in flanged cone type GaN nanorods. The PL emission peak and excitation dependent PL behavior of the pillar-type Qdisks differ greatly from those of the flanged cone type QDisks. Time resolved PL was carried out to probe the differences in charge carrier dynamics. The results suggest that by constraining the formation of InGaN QDisks within the centre of the nanorod, carriers are restricted from migrating to the surface, decreasing the surface recombination at high carrier densities.

  13. Carrier quenching in InGaP/GaAs double heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Wells, Nathan P., E-mail: nathan.p.wells@aero.org; Driskell, Travis U.; Hudson, Andrew I.; LaLumondiere, Stephen D.; Lotshaw, William T. [The Aerospace Corporation, Physical Sciences Laboratories, P.O. Box 92957, Los Angeles, California 90009 (United States); Forbes, David V.; Hubbard, Seth M. [NanoPower Research Labs, Rochester Institute of Technology, 156 Lomb Memorial Dr., Rochester, New York 14623 (United States)

    2015-08-14

    Photoluminescence measurements on a series of GaAs double heterostructures demonstrate a rapid quenching of carriers in the GaAs layer at irradiance levels below 0.1 W/cm{sup 2} in samples with a GaAs-on-InGaP interface. These results indicate the existence of non-radiative defect centers at or near the GaAs-on-InGaP interface, consistent with previous reports showing the intermixing of In and P when free As impinges on the InGaP surface during growth. At low irradiance, these defect centers can lead to sub-ns carrier lifetimes. The defect centers involved in the rapid carrier quenching can be saturated at higher irradiance levels and allow carrier lifetimes to reach hundreds of nanoseconds. To our knowledge, this is the first report of a nearly three orders of magnitude decrease in carrier lifetime at low irradiance in a simple double heterostructure. Carrier quenching occurs at irradiance levels near the integrated Air Mass Zero (AM0) and Air Mass 1.5 (AM1.5) solar irradiance. Additionally, a lower energy photoluminescence band is observed both at room and cryogenic temperatures. The temperature and time dependence of the lower energy luminescence is consistent with the presence of an unintentional InGaAs or InGaAsP quantum well that forms due to compositional mixing at the GaAs-on-InGaP interface. Our results are of general interest to the photovoltaic community as InGaP is commonly used as a window layer in GaAs based solar cells.

  14. Stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on GaAs and Ge/Si(001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yablonsky, A. N., E-mail: yablonsk@ipm.sci-nnov.ru; Morozov, S. V.; Gaponova, D. M.; Aleshkin, V. Ya. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Shengurov, V. G.; Zvonkov, B. N.; Vikhrova, O. V.; Baidus’, N. V. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Krasil’nik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2016-11-15

    We report the observation of stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on Si(001) substrates with the application of a relaxed Ge buffer layer. Stimulated emission is observed at 77 K under pulsed optical pumping at a wavelength of 1.11 μm, i.e., in the transparency range of bulk silicon. In similar InGaAs/GaAsSb/GaAs structures grown on GaAs substrates, room-temperature stimulated emission is observed at 1.17 μm. The results obtained are promising for integration of the structures into silicon-based optoelectronics.

  15. Growth of (20 anti 21)AlGaN, GaN and InGaN by metal organic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Wernicke, T.; Rass, J.; Pristovsek, M. [TU Berlin, Institut fuer Festkoerperphysik, Hardenbergstr. 36, 10623 Berlin (Germany); Weyers, M. [Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany); Kneissl, M. [TU Berlin, Institut fuer Festkoerperphysik, Hardenbergstr. 36, 10623 Berlin (Germany); Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany)

    2012-07-01

    Green InGaN-based laser diodes on (20 anti 21)GaN substrates have recently demonstrated performances exceeding those of conventional (0001) oriented devices. However little is known regarding the growth parameters. We have investigated growth of AlGaN, GaN and InGaN on (20 anti 21)GaN substrates by MOVPE. Smooth GaN layers with a rms roughness <0.5 nm were obtained by low growth temperatures and reactor pressures. The layers exhibit undulations along [10 anti 14] similar to the GaN substrate. AlGaN and InGaN layers exhibit an increased surface roughness. Undulation bunching was observed and attributed to reduced adatom surface mobility due to the binding energy of Al and the low growth temperature for InGaN respectively or strain relaxation. AlGaN and InGaN heterostructures on (20 anti 21)GaN relax by layer tilt accompanied by formation of misfit dislocations, due to shear strain of the unit cell. This relaxation mechanism leads to a reduced critical layer thickness of (20 anti 21)AlGaN layers and InGaN multi quantum wells (MQW) in comparison to (0001). PL spectral broadening of 230 meV of (20 anti 21)InGaN single QWs emitting at 415 nm can be reduced by increased growth temperature or increased number of QWs with reduced thickness.

  16. Exciton binding energy in wurtzite InGaN/GaN quantum wells

    International Nuclear Information System (INIS)

    Park, Seoung-Hwan; Kim, Jong-Jae; Kim, Hwa-Min

    2004-01-01

    The internal field and carrier density effects on the exciton binding energies in wurtzite (WZ) InGaN/GaN quantum-well (QW) structures are investigated using the multiband effective-mass theory, and are compared with those obtained from the at-band model and with those of GaN/AlGaN QW structures. The exciton binding energy is significantly reduced with increasing sheet carrier density, suggesting that excitons are nearly bleached at densities around 10 12 cm -2 for both InGaN/GaN and GaN/AlGaN QW structures. With the inclusion of the internal field, the exciton binding energy is substantialy reduced compared to that of the at-band model in the investigated region of the wells. This can be explained by a decrease in the momentum matrix element and an increase in the inverse screening length due to the internal field. The exciton binding energy of the InGaN/GaN structure is smaller than that of the GaN/AlGaN structure because InGaN/GaN structures have a smaller momentum matrix element and a larger inverse screening length than GaN/AlGaN structures.

  17. AlGaN/GaN High Electron Mobility Transistors with Multi-MgxNy/GaN Buffer

    Directory of Open Access Journals (Sweden)

    P. C. Chang

    2014-01-01

    Full Text Available We report the fabrication of AlGaN/GaN high electron mobility transistors with multi-MgxNy/GaN buffer. Compared with conventional HEMT devices with a low-temperature GaN buffer, smaller gate and source-drain leakage current could be achieved with this new buffer design. Consequently, the electron mobility was larger for the proposed device due to the reduction of defect density and the corresponding improvement of crystalline quality as result of using the multi-MgxNy/GaN buffer.

  18. InGaAs and GaAsSb strain reducing layers covering InAs/GaAs quantum dots

    Czech Academy of Sciences Publication Activity Database

    Hospodková, Alice; Hulicius, Eduard; Pangrác, Jiří; Oswald, Jiří; Vyskočil, Jan; Kuldová, Karla; Šimeček, Tomislav; Hazdra, P.; Caha, O.

    2010-01-01

    Roč. 312, č. 8 (2010), 1383-1387 ISSN 0022-0248 R&D Projects: GA AV ČR IAA100100719; GA ČR GA202/09/0676; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : low dimensional structures * photoluminescence * low-pressure MOVPE * InAs/GaAs quantum dots * semiconducting III/V materials Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.737, year: 2010

  19. InGaN nanoinclusions in an AlGaN matrix

    International Nuclear Information System (INIS)

    Sizov, V. S.; Tsatsul'nikov, A. F.; Lundin, V. V.

    2008-01-01

    GaN-based structures with InGaN quantum dots in the active region emitting in the near-ultraviolet region are studied. In this study, two types of structures, namely, with InGaN quantum dots in a GaN or AlGaN matrix, are compared. Photoluminescence spectra are obtained for both types of structures in a temperature range of 80-300 K and at various pumping densities, and electroluminescence spectra are obtained for light-emitting (LED) structures with various types of active region. It is shown that the structures with quantum dots in the AlGaN matrix are more stable thermally due to the larger localization energy compared with quantum dots in the GaN matrix. Due to this, the LED structures with quantum dots in an AlGaN matrix are more effective.

  20. 70Ge, 72Ge, 74Ge, 76Ge(d,3He)69Ga, 71Ga, 73Ga, 75Ga reactions at 26 MeV

    International Nuclear Information System (INIS)

    Rotbard, G.; La Rana, G.; Vergnes, M.; Berrier, G.; Kalifa, J.; Guilbaut, G.; Tamisier, R.

    1978-01-01

    The 70 Ge, 72 Ge, 74 Ge, 76 Ge(d, 3 He) 69 Ga, 71 Ga, 73 Ga, 75 Ga reactions have been studied at 26 MeV with 15 keV resolution (F.W.H.M), using the Orsay MP tandem accelerator and a split pole magnetic spectrometer. The spectroscopic factors are determined for 15 levels in 69 Ga and 11 levels in each of the 3 other Ga isotopes. Level schemes are proposed for the practically unknown 73 Ga and 75 Ga. Very simple model wave functions previously proposed for Ge nuclei are seen to reproduce quite well the measured occupation numbers for the proton orbitals. Anomalies in these occupation numbers are observed between Z=31 and 32 and between N=40 and 42, this last one corresponding to the structural transition observed recently in a comparison of the (p,t) and (t,p) reactions. These anomalies could be related to changes in the nuclear shape

  1. Radiative and non-radiative recombination in GaInN/GaN quantum wells; Strahlende und nichtstrahlende Rekombination in GaInN/GaN-Quantenfilmen

    Energy Technology Data Exchange (ETDEWEB)

    Netzel, C.

    2007-02-08

    The studies presented in this thesis deal with the occurence of V defectsin GaInN/GaN quantum film structures grown by means of organometallic gas phase epitaxy, and the effects, which have the V defects respectively the GaInN quantum films on the V-defect facets on the emission and recombination properties of the whole GaInN/GaN quantum film structure. The V-defects themselves, inverse pyramidal vacancies with hexagonal base in the semiconductor layers, arise under suitable growth conditions around the percussion violations, which extend in lattice-mismatched growth of GaN on the heterosubstrates sapphire or silicon carbide starting in growth direction through the crystal. If GaInN layers are grown over V-defect dispersed layers on the (1-101) facets of the V defects and the (0001) facets, the growth front of the structure, different growth velocities are present, which lead to differently wide GaInN quantum films on each facets.

  2. Cubic AlGaN/GaN structures for device application

    Energy Technology Data Exchange (ETDEWEB)

    Schoermann, Joerg

    2007-05-15

    The aim of this work was the growth and the characterization of cubic GaN, cubic AlGaN/GaN heterostructures and cubic AlN/GaN superlattice structures. Reduction of the surface and interface roughness was the key issue to show the potential for the use of cubic nitrides in futur devices. All structures were grown by plasma assisted molecular beam epitaxy on free standing 3C-SiC (001) substrates. In situ reflection high energy electron diffraction was first investigated to determine the Ga coverage of c-GaN during growth. Using the intensity of the electron beam as a probe, optimum growth conditions were found when a 1 monolayer coverage is formed at the surface. GaN samples grown under these conditions reveal excellent structural properties. On top of the c-GaN buffer c-AlGaN/GaN single and multiple quantum wells were deposited. The well widths ranged from 2.5 to 7.5 nm. During growth of Al{sub 0.15}Ga{sub 0.85}N/GaN quantum wells clear reflection high energy electron diffraction oscillations were observed indicating a two dimensional growth mode. We observed strong room-temperature, ultraviolet photoluminescence at about 3.3 eV with a minimum linewidth of 90 meV. The peak energy of the emission versus well width is reproduced by a square-well Poisson- Schroedinger model calculation. We found that piezoelectric effects are absent in c-III nitrides with a (001) growth direction. Intersubband transition in the wavelength range from 1.6 {mu}m to 2.1 {mu}m was systematically investigated in AlN/GaN superlattices (SL), grown on 100 nm thick c-GaN buffer layers. The SLs consisted of 20 periods of GaN wells with a thickness between 1.5 nm and 2.1 nm and AlN barriers with a thickness of 1.35 nm. The first intersubband transitions were observed in metastable cubic III nitride structures in the range between 1.6 {mu}m and 2.1 {mu}m. (orig.)

  3. Ohmic contacts to n+-GaN capped AlGaN/AlN/GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Wang Liang; Mohammed, Fitih M.; Ofuonye, Benedict; Adesida, Ilesanmi

    2007-01-01

    Investigations of Ti/Al/Mo/Au Ohmic contact formation, premetallization plasma treatment effects, and interfacial reactions for n + -GaN capped AlGaN/AlN/GaN heterostructures are presented. Ti thickness played an important role in determining contact performance. Transmission electron microscopy studies confirmed that thick Ti layer was necessary to fully consume the GaN cap and the top of AlGaN to enable a higher tunneling current flow. A direct correlation of plasma treatment conditions with I-V linearity, current level, and contact performance was established. The plasma-affected region is believed to extend over 20 nm into the AlGaN and GaN

  4. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers.

    Science.gov (United States)

    Lv, Wenbin; Wang, Lai; Wang, Jiaxing; Hao, Zhibiao; Luo, Yi

    2012-11-07

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm.

  5. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    International Nuclear Information System (INIS)

    Choi, Yunju; Kim, Yangsoo; Ahn, Kwang-Soon; Kim, Hyunsoo

    2014-01-01

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10 −3 , 4.3 × 10 −4 , and 1.1 × 10 −3 Ω cm 2 for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N 2 ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples

  6. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yunju [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Kim, Yangsoo [Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Ahn, Kwang-Soon, E-mail: kstheory@ynu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, Gyeongbuk 712-749 (Korea, Republic of); Kim, Hyunsoo, E-mail: hskim7@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2014-10-30

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10{sup −3}, 4.3 × 10{sup −4}, and 1.1 × 10{sup −3} Ω cm{sup 2} for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N{sub 2} ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples.

  7. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation

    International Nuclear Information System (INIS)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chen, Han-Wei; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-01-01

    Enhanced photoelectrochemical (PEC) performances of Ga 2 O 3 and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga 2 O 3 and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga 2 O 3 NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga 2 O 3 . These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga 2 O 3 NWs, or by incorporation of indium to form InGaN NWs. (paper)

  8. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    Science.gov (United States)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  9. Internal quantum efficiency in yellow-amber light emitting AlGaN-InGaN-GaN heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Ngo, Thi Huong; Gil, Bernard; Valvin, Pierre [Laboratoire Charles Coulomb – UMR 5221, CNRS and University Montpellier, Case courier 074, 34095 Montpellier Cedex 5 (France); Damilano, Benjamin; Lekhal, Kaddour; De Mierry, Philippe [CRHEA-CNRS Centre de Recherche sur l' Hétéro-Epitaxie et ses Applications, Centre National de la Recherche Scientifique, rue Bernard Gregory, 06560 Valbonne (France)

    2015-09-21

    We determine the internal quantum efficiency of strain-balanced AlGaN-InGaN-GaN hetero-structures designed for yellow-amber light emission, by using a recent model based on the kinetics of the photoluminescence decay initiated by Iwata et al. [J. Appl. Phys. 117, 075701 (2015)]. Our results indicate that low temperature internal quantum efficiencies sit in the 50% range and we measure that adding an AlGaN layer increases the internal quantum efficiency from 50% up to 57% with respect to the GaN-InGaN case. More dramatic, it almost doubles from 2.5% up to 4.3% at room temperature.

  10. Computational study of GaAs1-xNx and GaN1-yAsy alloys and arsenic impurities in GaN

    International Nuclear Information System (INIS)

    Laaksonen, K; Komsa, H-P; Arola, E; Rantala, T T; Nieminen, R M

    2006-01-01

    We have studied the structural and electronic properties of As-rich GaAs 1-x N x and N-rich GaN 1-y As y alloys in a large composition range using first-principles methods. We have systematically investigated the effect of the impurity atom configuration near both GaAs and GaN sides of the concentration range on the total energies, lattice constants and bandgaps. The N (As) atoms, replacing substitutionally As (N) atoms in GaAs (GaN), cause the surrounding Ga atoms to relax inwards (outwards), making the Ga-N (Ga-As) bond length about 15% shorter (longer) than the corresponding Ga-As (Ga-N) bond length in GaAs (GaN). The total energies of the relaxed alloy supercells and the bandgaps experience large fluctuations within different configurations and these fluctuations grow stronger if the impurity concentration is increased. Substituting As atoms with N in GaAs induces modifications near the conduction band minimum, while substituting N atoms with As in GaN modifies the states near the valence band maximum. Both lead to bandgap reduction, which is at first rapid but later slows down. The relative size of the fluctuations is much larger in the case of GaAs 1-x N x alloys. We have also looked into the question of which substitutional site (Ga or N) As occupies in GaN. We find that under Ga-rich conditions arsenic prefers the substitutional N site over the Ga site within a large range of Fermi level values

  11. Nanomaterial disordering in AlGaN/GaN UV LED structures

    International Nuclear Information System (INIS)

    Shabunina, E I; Levinshtein, M E; Kulagina, M M; Petrov, V N; Ratnikov, V V; Smirnova, I N; Troshkov, S I; Shmidt, N M; Kurin, S Yu; Makarov, Yu N; Chernyakov, A E; Usikov, A S; Helava, H

    2015-01-01

    Multifractal analysis was applied to characterize quantitatively nanostructural disordering in HVPE-grown AlGaN/GaN UV LED structures. A higher level of leakage currents shunting the active region of LEDs by an extended defect system is correlated with higher values of multifractal parameters (MFs). As a result, the concentration of injected carriers participating in radiative recombination in the active region is reduced. MFs and the conductivity of quasi-ohmic shunts localized in an extended defect system are higher in AlGaN/GaN structures than in InGaN/GaN structures. It is one of the reasons behind the low external quantum efficiency of AlGaN/GaN UV LEDs. (paper)

  12. Asymmetric quantum-well structures for AlGaN/GaN/AlGaN resonant tunneling diodes

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Lin' an, E-mail: layang@xidian.edu.cn; Li, Yue; Wang, Ying; Xu, Shengrui; Hao, Yue [State Key Discipline Laboratory of Wide Bandgap Semiconductor Technology, School of Microelectronics, Xidian University, Xi' an 710071 (China)

    2016-04-28

    Asymmetric quantum-well (QW) structures including the asymmetric potential-barrier and the asymmetric potential-well are proposed for AlGaN/GaN/AlGaN resonant tunneling diodes (RTDs). Theoretical investigation gives that an appropriate decrease in Al composition and thickness for emitter barrier as well as an appropriate increase of both for collector barrier can evidently improve the negative-differential-resistance characteristic of RTD. Numerical simulation shows that RTD with a 1.5-nm-thick GaN well sandwiched by a 1.3-nm-thick Al{sub 0.15}Ga{sub 0.85}N emitter barrier and a 1.7-nm-thick Al{sub 0.25}Ga{sub 0.75}N collector barrier can yield the I-V characteristic having the peak current (Ip) and the peak-to-valley current ratio (PVCR) of 0.39 A and 3.6, respectively, about double that of RTD with a 1.5-nm-thick Al{sub 0.2}Ga{sub 0.8}N for both barriers. It is also found that an introduction of InGaN sub-QW into the diode can change the tunneling mode and achieve higher transmission coefficient of electron. The simulation demonstrates that RTD with a 2.8-nm-thick In{sub 0.03}Ga{sub 0.97}N sub-well in front of a 2.0-nm-thick GaN main-well can exhibit the I-V characteristic having Ip and PVCR of 0.07 A and 11.6, about 7 times and double the value of RTD without sub-QW, respectively. The purpose of improving the structure of GaN-based QW is to solve apparent contradiction between the device structure and the device manufacturability of new generation RTDs for sub-millimeter and terahertz applications.

  13. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    Science.gov (United States)

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  14. Physical and electrical characterizations of AlGaN/GaN MOS gate stacks with AlGaN surface oxidation treatment

    Science.gov (United States)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Shih, Hong-An; Nakazawa, Satoshi; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    The impacts of inserting ultrathin oxides into insulator/AlGaN interfaces on their electrical properties were investigated to develop advanced AlGaN/GaN metal–oxide–semiconductor (MOS) gate stacks. For this purpose, the initial thermal oxidation of AlGaN surfaces in oxygen ambient was systematically studied by synchrotron radiation X-ray photoelectron spectroscopy (SR-XPS) and atomic force microscopy (AFM). Our physical characterizations revealed that, when compared with GaN surfaces, aluminum addition promotes the initial oxidation of AlGaN surfaces at temperatures of around 400 °C, followed by smaller grain growth above 850 °C. Electrical measurements of AlGaN/GaN MOS capacitors also showed that, although excessive oxidation treatment of AlGaN surfaces over around 700 °C has an adverse effect, interface passivation with the initial oxidation of the AlGaN surfaces at temperatures ranging from 400 to 500 °C was proven to be beneficial for fabricating high-quality AlGaN/GaN MOS gate stacks.

  15. AlGaN/GaN high electron mobility transistors with a low sub-threshold swing on free-standing GaN wafer

    Directory of Open Access Journals (Sweden)

    Xinke Liu

    2017-09-01

    Full Text Available This paper reported AlGaN/GaN high electron mobility transistors (HEMTs with low sub-threshold swing SS on free-standing GaN wafer. High quality AlGaN/GaN epi-layer has been grown by metal-organic chemical vapor deposition (MOCVD on free-standing GaN, small full-width hall maximum (FWHM of 42.9 arcsec for (0002 GaN XRD peaks and ultralow dislocation density (∼104-105 cm-2 were obtained. Due to these extremely high quality material properties, the fabricated AlGaN/GaN HEMTs achieve a low SS (∼60 mV/decade, low hysteresis of 54 mV, and high peak electron mobility μeff of ∼1456 cm2V-1s-1. Systematic study of materials properties and device characteristics exhibits that GaN-on-GaN AlGaN/GaN HEMTs are promising candidate for next generation high power device applications.

  16. Investigation of room-temperature wafer bonded GaInP/GaAs/InGaAsP triple-junction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Wen-xian; Dai, Pan; Ji, Lian; Tan, Ming; Wu, Yuan-yuan [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China); Uchida, Shiro [Department of Mechanical Science and Engineering Faculty of Engineering, Chiba Institute of Technology, 2-17-1, Tsudanuma, Narashino, Chiba 275-0016 (Japan); Lu, Shu-long, E-mail: sllu2008@sinano.ac.cn [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China); Yang, Hui [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China)

    2016-12-15

    Highlights: • High quality InGaAsP material with a bandgap of 1.0 eV was grown by MBE. • Room-temperature wafer-bonded GaInP/GaAs/InGaAsP SCs were fabricated. • An efficiency of 30.3% of wafer-bonded triple-junction SCs was obtained. - Abstract: We report on the fabrication of III–V compound semiconductor multi-junction solar cells using the room-temperature wafer bonding technique. GaInP/GaAs dual-junction solar cells on GaAs substrate and InGaAsP single junction solar cell on InP substrate were separately grown by all-solid state molecular beam epitaxy (MBE). The two cells were then bonded to a triple-junction solar cell at room-temperature. A conversion efficiency of 30.3% of GaInP/GaAs/InGaAsP wafer-bonded solar cell was obtained at 1-sun condition under the AM1.5G solar simulator. The result suggests that the room-temperature wafer bonding technique and MBE technique have a great potential to improve the performance of multi-junction solar cell.

  17. GaAsSb/InGaAs type-II quantum wells for long-wavelength lasers on GaAs substrates

    International Nuclear Information System (INIS)

    Klem, J. F.; Blum, O.; Kurtz, S. R.; Fritz, I. J.; Choquette, K. D.

    2000-01-01

    We have investigated the properties of GaAsSb/InGaAs type-II bilayer quantum-well structures grown by molecular-beam epitaxy for use in long-wavelength lasers on GaAs substrates. Structures with layer strains and thicknesses designed to be thermodynamically stable against dislocation formation exhibit room-temperature photoluminescence at wavelengths as long as 1.43 μm. The photoluminescence emission wavelength is significantly affected by growth temperature and the sequence of layer growth (InGaAs/GaAsSb versus GaAsSb/InGaAs), suggesting that Sb and/or In segregation results in nonideal interfaces under certain growth conditions. At low-injection currents, double-heterostructure lasers with GaAsSb/InGaAs bilayer quantum-well active regions display electroluminescence at wavelengths comparable to those obtained in photoluminescence, but at higher currents the electroluminescence shifts to shorter wavelengths. Lasers have been obtained with threshold current densities of 120 A/cm2 at 1.17 μm, and 2.1 kA/cm2 at 1.21 μm. (c) 2000 American Vacuum Society

  18. Gold free contacts to AlGaN/GaN heterostructures

    NARCIS (Netherlands)

    Hajlasz, Marcin

    2018-01-01

    Transistors and diodes based on AlGaN/GaN are suitable candidates for high-voltage and high-speed electronics due to the GaN material properties such as wide bandgap, large breakdown field, high electron saturation velocity and good thermal conductivity. When thin AlGaN layer is grown epitaxially on

  19. Clinical evaluation of 67Ga gut accumulation in 67Ga scintigraphy

    International Nuclear Information System (INIS)

    Kobayashi, Hidetoshi; Ohno, Akiko; Watanabe, Youichi; Ishigaki, Takeo.

    1994-01-01

    Accumulation of 67 Ga in gut was evaluated in 67 Ga scintigraphy retrospectively in 30 patients (32 examinations). TIBC and UIBC were examined in all patients on the day when their scintigraphies were performed. Blood transfusion or Fe administration 2 months before 67 Ga scintigraphies were not carried out in any patient. Fifty percents (6/12) of male, and 40% (8/20) of female patients showed 67 Ga accumulation in gut. There was significant correlation between 67 Ga accumulation in gut and low ion-saturation ratio for transferrin. Excretion of 67 Ga bound with transferrin from liver was thought to be an important factor of 67 ga accumulation in gut. (author)

  20. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  1. Study of GaN nanorods converted from β-Ga2O3

    Science.gov (United States)

    Li, Yuewen; Xiong, Zening; Zhang, Dongdong; Xiu, Xiangqian; Liu, Duo; Wang, Shuang; Hua, Xuemei; Xie, Zili; Tao, Tao; Liu, Bin; Chen, Peng; Zhang, Rong; Zheng, Youdou

    2018-05-01

    We report here high-quality β-Ga2O3 nanorods (NRs) grown on sapphire substrates by hydrothermal method. Ammoniating the β-Ga2O3 NRs results in strain-free wurtzite gallium nitride (GaN) NRs. It was shown by XRD and Raman spectroscopy that β-Ga2O3 was partially converted to GaN/β-Ga2O3 at 1000 °C and then completely converted to GaN NRs at 1050 °C, as confirmed by high-resolution transmission electron microscopy (HRTEM). There is no band-edge emission of β-Ga2O3 in the cathodoluminescence spectrum, and only a deep-level broad emission observed at 3.68-3.73 eV. The band edge emission (3.39 eV) of GaN NRs converted from β-Ga2O3 can also be observed.

  2. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates

    Science.gov (United States)

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-01

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  3. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates.

    Science.gov (United States)

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-02

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  4. Investigation of the current collapse induced in InGaN back barrier AlGaN/GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Wan Xiaojia; Wang Xiaoliang; Xiao Hongling; Feng Chun; Jiang Lijuan; Qu Shenqi; Wang Zhanguo; Hou Xun

    2013-01-01

    Current collapses were studied, which were observed in AlGaN/GaN high electron mobility transistors (HEMTs) with and without InGaN back barrier (BB) as a result of short-term bias stress. More serious drain current collapses were observed in InGaN BB AlGaN/GaN HEMTs compared with the traditional HEMTs. The results indicate that the defects and surface states induced by the InGaN BB layer may enhance the current collapse. The surface states may be the primary mechanism of the origination of current collapse in AlGaN/GaN HEMTs for short-term direct current stress. (semiconductor devices)

  5. Investigation of the GaN-on-GaAs interface for vertical power device applications

    International Nuclear Information System (INIS)

    Möreke, Janina; Uren, Michael J.; Kuball, Martin; Novikov, Sergei V.; Foxon, C. Thomas; Hosseini Vajargah, Shahrzad; Wallis, David J.; Humphreys, Colin J.; Haigh, Sarah J.; Al-Khalidi, Abdullah; Wasige, Edward; Thayne, Iain

    2014-01-01

    GaN layers were grown onto (111) GaAs by molecular beam epitaxy. Minimal band offset between the conduction bands for GaN and GaAs materials has been suggested in the literature raising the possibility of using GaN-on-GaAs for vertical power device applications. I-V and C-V measurements of the GaN/GaAs heterostructures however yielded a rectifying junction, even when both sides of the junction were heavily doped with an n-type dopant. Transmission electron microscopy analysis further confirmed the challenge in creating a GaN/GaAs Ohmic interface by showing a large density of dislocations in the GaN layer and suggesting roughening of the GaN/GaAs interface due to etching of the GaAs by the nitrogen plasma, diffusion of nitrogen or melting of Ga into the GaAs substrate.

  6. Investigation of the GaN-on-GaAs interface for vertical power device applications

    Energy Technology Data Exchange (ETDEWEB)

    Möreke, Janina, E-mail: janina.moereke@bristol.ac.uk; Uren, Michael J.; Kuball, Martin [H.H. Wills Physics Laboratory, Tyndall Avenue, Bristol BS8 1TL (United Kingdom); Novikov, Sergei V.; Foxon, C. Thomas [Department of Physics and Astronomy, University of Nottingham, Nottingham NG7 2RD (United Kingdom); Hosseini Vajargah, Shahrzad; Wallis, David J.; Humphreys, Colin J. [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Haigh, Sarah J. [Super STEM Laboratory, STFC Daresbury Campus, Keckwick Lane, Daresbury WA4 4AD (United Kingdom); School of Materials, University of Manchester, Manchester M13 9PL (United Kingdom); Al-Khalidi, Abdullah; Wasige, Edward; Thayne, Iain [School of Engineering, University of Glasgow, Rankine Bldg, Oakfield Avenue, Glasgow G12 8LT (United Kingdom)

    2014-07-07

    GaN layers were grown onto (111) GaAs by molecular beam epitaxy. Minimal band offset between the conduction bands for GaN and GaAs materials has been suggested in the literature raising the possibility of using GaN-on-GaAs for vertical power device applications. I-V and C-V measurements of the GaN/GaAs heterostructures however yielded a rectifying junction, even when both sides of the junction were heavily doped with an n-type dopant. Transmission electron microscopy analysis further confirmed the challenge in creating a GaN/GaAs Ohmic interface by showing a large density of dislocations in the GaN layer and suggesting roughening of the GaN/GaAs interface due to etching of the GaAs by the nitrogen plasma, diffusion of nitrogen or melting of Ga into the GaAs substrate.

  7. Capacitance properties and simulation of the AlGaN/GaN Schottky heterostructure

    International Nuclear Information System (INIS)

    Harmatha, Ladislav; Ľubica, Stuchlíková; Juraj, Racko; Juraj, Marek; Juraj, Pecháček; Peter, Benko; Michal, Nemec; Juraj, Breza

    2014-01-01

    Highlights: • Dependences of CV characteristics of the AlGaN/GaN structure on frequency and temperature variations. • Identification of electrical activity of defects by capacitance DLTS. • Simulating the properties of the GaN/Al 0.2 GaN 0.8 /GaN Schottky heterostructure. - Abstract: The paper presents the results of capacitance measurements on GaN/AlGaN/GaN Schottky heterostructures grown on an Al 2 O 3 substrate by Low-Pressure Metal–Organic Vapour-Phase Epitaxy (LP-MOVPE). Dependences of the capacitance–voltage (CV) characteristics on the frequency of the measuring signal allow analysing the properties of the 2D electron gas (2DEG) at the AlGaN/GaN heterojunction. Exact location of the hetero-interface below the surface (20 nm) was determined from the concentration profile. Temperature variations of the CV curves reveal the influence of bulk defects in GaN and of the traps at the AlGaN/GaN interface. Electrical activity of these defects was characterized by capacitance Deep Level Transient Fourier Spectroscopy (DLTFS). Experimental results of CV measurements were supported by simulating the properties of the GaN/Al 0.2 GaN 0.8 /GaN Schottky heterostructure in dependence on the influence of the concentration of donor-like traps in GaN and of the temperature upon the CV curves

  8. Anomalous disorder-related phenomena in InGaN/GaN multiple quantum well heterosystems

    International Nuclear Information System (INIS)

    Hu, Y.-J.; Huang, Y.-W.; Fang, C.-H.; Wang, J.-C.; Chen, Y.-F.; Nee, T.-E.

    2010-01-01

    The influences of InGaN/GaN multiple quantum well (MQW) heterostructures with InGaN/GaN and GaN barriers on carrier confinement were investigated. The degree of disordering over a broad range of temperatures from 20 to 300 K was considered. The optical and electrical properties were strongly influenced by structural and compositional disordering of the InGaN/GaN MQW heterostructures. To compare the degree of disordering we examined the temperature dependence of the luminescence spectra and electrical conductance contingent on the Berthelot-type mechanisms in the InGaN/GaN MQW heterostructures. We further considered carrier transport in the InGaN/GaN disordered systems, probability of carrier tunneling, and activation energy of the transport mechanism for devices with InGaN/GaN and GaN barriers. The optical properties of InGaN/GaN disordered heterosystems can be interpreted from the features of the absorption spectra. The anomalous temperature-dependent characteristics of the disordered InGaN/GaN MQW structures were attributable to the enhancement of the exciton confinement.

  9. Ga and Pt NMR study of UPtGa sub 5 and UNiGa sub 5

    CERN Document Server

    Kato, H; Tokunaga, Y; Tokiwa, Y; Ikeda, S; Onuki, Y; Kambe, S; Walstedt, R E

    2003-01-01

    Ga and Pt NMR measurements have been carried out for two isomorphs compounds, UPtGa sub 5 and UNiGa sub 5 , which exhibit different magnetic structures below T sub N. Knight shift K measurements in the paramagnetic region are reported here. The transferred hyperfine coupling constants at Ga and Pt sites are determined. The temperature independent part K sub 0 of K, which probes the conduction electron polarization at the ligand site, has been successfully evaluated. A nearly identical conduction electron structure in the paramagnetic region is suggested for these two compounds. The origin of the different magnetic structures is discussed.

  10. AlGaN/GaN heterostructures with an AlGaN layer grown directly on reactive-ion-etched GaN showing a high electron mobility (>1300 cm2 V-1 s-1)

    Science.gov (United States)

    Yamamoto, Akio; Makino, Shinya; Kanatani, Keito; Kuzuhara, Masaaki

    2018-04-01

    In this study, the metal-organic-vapor-phase-epitaxial growth behavior and electrical properties of AlGaN/GaN structures prepared by the growth of an AlGaN layer on a reactive-ion-etched (RIE) GaN surface without regrown GaN layers were investigated. The annealing of RIE-GaN surfaces in NH3 + H2 atmosphere, employed immediately before AlGaN growth, was a key process in obtaining a clean GaN surface for AlGaN growth, that is, in obtaining an electron mobility as high as 1350 cm2 V-1 s-1 in a fabricated AlGaN/RIE-GaN structure. High-electron-mobility transistors (HEMTs) were successfully fabricated with AlGaN/RIE-GaN wafers. With decreasing density of dotlike defects observed on the surfaces of AlGaN/RIE-GaN wafers, both two-dimensional electron gas properties of AlGaN/RIE-GaN structures and DC characteristics of HEMTs were markedly improved. Since dotlike defect density was markedly dependent on RIE lot, rather than on growth lot, surface contaminations of GaN during RIE were believed to be responsible for the formation of dotlike defects and, therefore, for the inferior electrical properties.

  11. Durability testing of the high-capacity GA-4/GA-9 trailer

    International Nuclear Information System (INIS)

    Zimmer, A.; Lyon, T.

    1995-01-01

    GA designed trailers to transport the GA-4 and GA-9 LWT from-reactor spent nuclear fuel shipping casks. GA designed and fabricated the GA-9 trailer to ANSI N14.30 requirements and is now performing a durability test at the AlliedSignal Automotive Proving Grounds. The trailer, simulated cask and tractor. The test program objective is to evaluate and improve, as necessary, the trailer's durability, reliability and performance

  12. Efficiency enhancement of InGaN/GaN light-emitting diodes with pin-doped GaN quantum barrier

    International Nuclear Information System (INIS)

    Sirkeli, Vadim P; Al-Daffaie, Shihab; Oprea, Ion; Küppers, Franko; Hartnagel, Hans L; Yilmazoglu, Oktay; Ong, Duu Sheng

    2017-01-01

    Blue InGaN/GaN light-emitting diodes with undoped, heavily Si-doped, Si delta-doped, heavily Mg-doped, Mg delta-doped, and Mg–Si pin-doped GaN barrier are investigated numerically. The simulation results demonstrate that the Mg–Si pin-doping in the GaN barrier effectively reduces the polarization-induced electric field between the InGaN well and the GaN barrier in the multiple quantum well, suppresses the quantum-confined Stark effect, and enhances the hole injection and electron confinement in the active region. For this light-emitting diode (LED) device structure, we found that the turn-on voltage is 2.8 V, peak light emission is at 415.3 nm, and internal quantum efficiency is 85.9% at 100 A cm −2 . It is established that the LED device with Mg–Si pin-doping in the GaN barrier has significantly improved efficiency and optical output power performance, and lower efficiency droop up to 400 A cm −2 compared with LED device structures with undoped or Si(Mg)-doped GaN barrier. (paper)

  13. Growth and characterization of Ga(As,N) and (In,Ga)(As,N)

    International Nuclear Information System (INIS)

    Mussler, G.

    2005-01-01

    This dissertation deals with the MBE growth and characterization of Ga(As,N) and (In,Ga)(As,N). The work commences with the optimization of the Ga(As,N) growth. Owing to a large miscibility gap of GaN in GaAs, the incorporation of nitrogen into GaAs causes a structural degradation that is dependent on the substrate temperature, the nitrogen concentration, and the quantum well thickness. Another problem related to the growth of Ga(As,N) are point defects that have a detrimental influence on optical properties. A thermal treatment of Ga(As,N) reduces the concentration of these point defects. This leads to a substantial improvement of optical properties. We will show that nitrogen split interstitials that incorporate into gallium and arsenic vacancies may be attributed to these point defects. A thermal treatment of Ga(As,N) at high temperatures, on the contrary, results in a creation of extended defects which are detrimental to optical properties. We show that the temperature of the thermal treatment that yields the highest photoluminescence intensity is nitrogen concentration-dependent. The growth of (In,Ga)(As,N) is similar with respect to Ga(As,N). Again, one has to face a high miscibility gap of (In,Ga)N in (In,Ga)As that results in a structural degradation. A thermal treatment of (In,Ga)(As,N) is also beneficial for improving optical properties. We show that a thermal treatment of (In,Ga)As results in an indium diffusion that is suppressed by the incorporation of nitrogen. The characterization of (In,Ga)(As,N) edge emitting lasers shows emission at wavelengths up to 1366 nm. With higher nitrogen concentrations, there is a strong increase of the threshold current density and a decrease of the output power

  14. Groove-type channel enhancement-mode AlGaN/GaN MIS HEMT with combined polar and nonpolar AlGaN/GaN heterostructures

    International Nuclear Information System (INIS)

    Duan Xiao-Ling; Zhang Jin-Cheng; Xiao Ming; Zhao Yi; Ning Jing; Hao Yue

    2016-01-01

    A novel groove-type channel enhancement-mode AlGaN/GaN MIS high electron mobility transistor (GTCE-HEMT) with a combined polar and nonpolar AlGaN/GaN heterostucture is presented. The device simulation shows a threshold voltage of 1.24 V, peak transconductance of 182 mS/mm, and subthreshold slope of 85 mV/dec, which are obtained by adjusting the device parameters. Interestingly, it is possible to control the threshold voltage accurately without precisely controlling the etching depth in fabrication by adopting this structure. Besides, the breakdown voltage ( V B ) is significantly increased by 78% in comparison with the value of the conventional MIS-HEMT. Moreover, the fabrication process of the novel device is entirely compatible with that of the conventional depletion-mode (D-mode) polar AlGaN/GaN HEMT. It presents a promising way to realize the switch application and the E/D-mode logic circuits. (paper)

  15. Strain-compensated (Ga,In)N/(Al,Ga)N/GaN multiple quantum wells for improved yellow/amber light emission

    Energy Technology Data Exchange (ETDEWEB)

    Lekhal, K.; Damilano, B., E-mail: bd@crhea.cnrs.fr; De Mierry, P.; Vennéguès, P. [CRHEA-CNRS, Centre de Recherche sur l' Hétéro-Epitaxie et ses Applications, Centre National de la Recherche Scientifique, Valbonne 06560 (France); Ngo, H. T.; Rosales, D.; Gil, B. [Laboratoire Charles Coulomb, CNRS-INP-UMR 5221, Université Montpellier 2, F-34095 Montpellier (France); Hussain, S. [CRHEA-CNRS, Centre de Recherche sur l' Hétéro-Epitaxie et ses Applications, Centre National de la Recherche Scientifique, Valbonne 06560 (France); Université de Nice Sophia Antipolis, Parc Valrose, 28 av. Valrose, 06108 Nice cedex 2 (France)

    2015-04-06

    Yellow/amber (570–600 nm) emitting In{sub x}Ga{sub 1−x}N/Al{sub y}Ga{sub 1−y}N/GaN multiple quantum wells (QWs) have been grown by metal organic chemical vapor deposition on GaN-on- sapphire templates. When the (Al,Ga)N thickness of the barrier increases, the room temperature photoluminescence is red-shifted while its yield increases. This is attributed to an increase of the QW internal electric field and an improvement of the material quality due to the compensation of the compressive strain of the In{sub x}Ga{sub 1−x}N QWs by the Al{sub y}Ga{sub 1−y}N layers, respectively.

  16. First-principle natural band alignment of GaN / dilute-As GaNAs alloy

    Directory of Open Access Journals (Sweden)

    Chee-Keong Tan

    2015-01-01

    Full Text Available Density functional theory (DFT calculations with the local density approximation (LDA functional are employed to investigate the band alignment of dilute-As GaNAs alloys with respect to the GaN alloy. Conduction and valence band positions of dilute-As GaNAs alloy with respect to the GaN alloy on an absolute energy scale are determined from the combination of bulk and surface DFT calculations. The resulting GaN / GaNAs conduction to valence band offset ratio is found as approximately 5:95. Our theoretical finding is in good agreement with experimental observation, indicating the upward movements of valence band at low-As content dilute-As GaNAs are mainly responsible for the drastic reduction of the GaN energy band gap. In addition, type-I band alignment of GaN / GaNAs is suggested as a reasonable approach for future device implementation with dilute-As GaNAs quantum well, and possible type-II quantum well active region can be formed by using InGaN / dilute-As GaNAs heterostructure.

  17. Tuning high frequency magnetic properties and damping of FeGa, FeGaN and FeGaB thin films

    Directory of Open Access Journals (Sweden)

    Derang Cao

    2017-11-01

    Full Text Available A series of FeGa, FeGaN and FeGaB films with varied oblique angles were deposited by sputtering method on silicon substrates, respectively. The microstructure, soft magnetism, microwave properties, and damping factor for the films were investigated. The FeGa films showed a poor high frequency magnetic property due to the large stress itself. The grain size of FeGa films was reduced by the additional N element, while the structure of FeGa films was changed from the polycrystalline to amorphous phase by the involved B element. As a result, N content can effectively improve the magnetic softness of FeGa film, but their high frequency magnetic properties were still poor both when the N2/Ar flow rate ratio is 2% and 5% during the deposition. The additional B content significantly led to the excellent magnetic softness and the self-biased ferromagnetic resonance frequency of 1.83 GHz for FeGaB film. The dampings of FeGa films were adjusted by the additional N and B contents from 0.218 to 0.139 and 0.023, respectively. The combination of these properties for FeGa films are helpful for the development of magnetostrictive microwave devices.

  18. A hole modulator for InGaN/GaN light-emitting diodes

    Science.gov (United States)

    Zhang, Zi-Hui; Kyaw, Zabu; Liu, Wei; Ji, Yun; Wang, Liancheng; Tan, Swee Tiam; Sun, Xiao Wei; Demir, Hilmi Volkan

    2015-02-01

    The low p-type doping efficiency of the p-GaN layer has severely limited the performance of InGaN/GaN light-emitting diodes (LEDs) due to the ineffective hole injection into the InGaN/GaN multiple quantum well (MQW) active region. The essence of improving the hole injection efficiency is to increase the hole concentration in the p-GaN layer. Therefore, in this work, we have proposed a hole modulator and studied it both theoretically and experimentally. In the hole modulator, the holes in a remote p-type doped layer are depleted by the built-in electric field and stored in the p-GaN layer. By this means, the overall hole concentration in the p-GaN layer can be enhanced. Furthermore, the hole modulator is adopted in the InGaN/GaN LEDs, which reduces the effective valance band barrier height for the p-type electron blocking layer from ˜332 meV to ˜294 meV at 80 A/cm2 and demonstrates an improved optical performance, thanks to the increased hole concentration in the p-GaN layer and thus the improved hole injection into the MQWs.

  19. Ga originated kink-and-tail Zn diffusion profiles in InGaAsP and InGaAlAs alloys during MOVPE regrowth

    Science.gov (United States)

    Kitatani, T.; Okamoto, K.; Uchida, K.; Tanaka, S.

    2017-12-01

    We investigated the diffusion characteristics of Zn in ternary and quaternary alloys of InGaAsP and InGaAlAs, which are important materials in long-wavelength optical communication devices. The measured Zn diffusion profiles of InGaAs, InGaAsP, and InGaAlAs showed kink-and-tail shapes in which Zn concentration fell abruptly at first and then decreased slowly, whereas those of InP and InAlAs showed only abrupt decreases. Thus, only Ga-containing alloys had tail-like profiles. Since this tail was well described by the group-V vacancy related defect model, we deduced that its mechanism is closely related with group-V vacancies in Ga-related bonds such as GaP or GaAs. Furthermore, we demonstrated the possibility that many more group-V vacancies originated from GaP bonds than from GaAs bonds, indicating the difficulty in crystal growth of high quality alloys that have GaP components.

  20. InGaN/GaN quantum well improved by in situ SiN{sub x} pretreatment of GaN template

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Demeng; Wu, Zhengyuan; Fang, Zhilai [Department of Physics, Collaborative Innovation Center for Optoelectronic Semiconductors and Efficient Devices, Xiamen University (China)

    2016-12-15

    In situ SiN{sub x} pretreatment was employed to modify the growth behavior and optical properties of InGaN/GaN quantum wells (QWs). With moderate SiN{sub x} pretreatment surface smoothness of InGaN/GaN QWs was improved and attributed to enhanced layer growth by Ga surfactant effect. Significant increase of photoluminescence peak intensity and relatively uniform and bright cathodoluminescence images were observed, which were attributed to the improvement in crystalline quality and strain reduction for the InGaN/GaN QWs with moderate SiN{sub x} pretreatment. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  2. AlGaN/GaN field effect transistors for power electronics—Effect of finite GaN layer thickness on thermal characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Hodges, C., E-mail: chris.hodges@bristol.ac.uk; Anaya Calvo, J.; Kuball, M. [H. H. Wills Physics Laboratory, University of Bristol, Bristol BS8 1TL (United Kingdom); Stoffels, S.; Marcon, D. [IMEC, Kapeldreef 75, B3001 Leuven (Belgium)

    2013-11-11

    AlGaN/GaN heterostructure field effect transistors with a 150 nm thick GaN channel within stacked Al{sub x}Ga{sub 1−x}N layers were investigated using Raman thermography. By fitting a thermal simulation to the measured temperatures, the thermal conductivity of the GaN channel was determined to be 60 W m{sup −1} K{sup −1}, over 50% less than typical GaN epilayers, causing an increased peak channel temperature. This agrees with a nanoscale model. A low thermal conductivity AlGaN buffer means the GaN spreads heat; its properties are important for device thermal characteristics. When designing power devices with thin GaN layers, as well as electrical considerations, the reduced channel thermal conductivity must be considered.

  3. Characteristics of AlGaN/GaN/AlGaN double heterojunction HEMTs with an improved breakdown voltage

    International Nuclear Information System (INIS)

    Ma Juncai; Zhang Jincheng; Xue Junshuai; Lin Zhiyu; Liu Ziyang; Xue Xiaoyong; Ma Xiaohua; Hao Yue

    2012-01-01

    We studied the performance of AlGaN/GaN double heterojunction high electron mobility transistors (DH-HEMTs) with an AlGaN buffer layer, which leads to a higher potential barrier at the backside of the two-dimensional electron gas channel and better carrier confinement. This, remarkably, reduces the drain leakage current and improves the device breakdown voltage. The breakdown voltage of AlGaN/GaN double heterojunction HEMTs (∼100 V) was significantly improved compared to that of conventional AlGaN/GaN HEMTs (∼50 V) for the device with gate dimensions of 0.5 × 100 μm and a gate—drain distance of 1 μm. The DH-HEMTs also demonstrated a maximum output power of 7.78 W/mm, a maximum power-added efficiency of 62.3% and a linear gain of 23 dB at the drain supply voltage of 35 V at 4 GHz. (semiconductor devices)

  4. Microstructure of (Ga,Mn)As/GaAs digital ferromagnetic heterostructures

    International Nuclear Information System (INIS)

    Kong, X.; Trampert, A.; Guo, X.X.; Kolovos-Vellianitis, D.; Daeweritz, L.; Ploog, K.H.

    2005-01-01

    We report on the microstructure of (Ga,Mn)As digital ferromagnetic heterostructures grown on GaAs (001) substrates by low-temperature molecular-beam epitaxy. The Mn concentration and the As 4 /Ga beam equivalent pressure (BEP) ratio are varied in the samples containing periods of Mn sheets separated by thin GaAs spacer layers. Transmission electron microscopy studies reveal that decreasing the Mn doping concentration and reducing the BEP ratio lead to smaller composition fluctuations of Mn and more homogeneous (Ga,Mn)As layers with abrupt interfaces. Planar defects are found as the dominant defect in these heterostructures and their density is related to the magnitude of the composition fluctuation. These defects show a noticeable anisotropy in the morphologic distribution parallel to the orthogonal [110] and [110] direction. Along the [110] direction, they are stacking faults, which are preferentially formed in V-shaped pairs and nucleate at the interfaces between (Ga,Mn)As and GaAs layers. Along the [110] direction, the planar defects are isolated thin twin lamellae. The character of the planar defects and their configuration are analyzed in detail

  5. Diffuse scattering from the liquid-vapor interfaces of dilute Bi:Ga, Tl:Ga, and Pb:Ga alloys

    International Nuclear Information System (INIS)

    Li Dongxu; Jiang Xu; Rice, Stuart A.; Lin Binhua; Meron, Mati

    2005-01-01

    As part of a study of the in-plane wave-vector (q xy ) dependence of the effective Hamiltonian for the liquid-vapor interface, H(q), the wave-vector dependences of diffuse x-ray scattering from the liquid-vapor interfaces of dilute alloys of Bi in Ga, Tl in Ga, and Pb in Ga have been measured. In these dilute alloys the solute component segregates as a monolayer that forms the outermost stratum of the liquid-vapor interfaces, and the density distribution along the normal to the interface is stratified. Over the temperature ranges that the alloy interfaces were studied, the Tl and Pb monolayers exhibit both crystalline and liquid phases while the Bi monolayer is always liquid. The diffuse scattering from the liquid-vapor interfaces of these alloys displays interesting differences with that from the liquid-vapor interface of pure Ga. The presence of a segregated monolayer of solute in the liquid-vapor interface of the alloy appears to slightly suppress the fluctuations in an intermediate wave-vector range in a fashion that preserves the validity of the macroscopic capillary wave model to smaller wavelengths than in pure liquid Ga, and there is an increase in diffuse scattering when the Tl and Pb monolayers melt. The surface intrinsic roughness from fitting the wave-vector dependence of surface tension is 5.0 pm for the Tl:Ga alloy and 1.4 pm for the Bi:Ga alloy. Also, a mode of excitation that contributes to diffuse scattering from the liquid-vapor interface of Pb in Ga, but does not contribute to diffuse scattering from the liquid-vapor interface of Ga, has been identified. It is proposed that this mode corresponds to the separation of the Pb and Ga layers in the regime 1 nm -1 ≤q xy ≤10 nm -1

  6. Enhanced thermoelectric transport in modulation-doped GaN/AlGaN core/shell nanowires.

    Science.gov (United States)

    Song, Erdong; Li, Qiming; Swartzentruber, Brian; Pan, Wei; Wang, George T; Martinez, Julio A

    2016-01-08

    The thermoelectric properties of unintentionally n-doped core GaN/AlGaN core/shell N-face nanowires are reported. We found that the temperature dependence of the electrical conductivity is consistent with thermally activated carriers with two distinctive donor energies. The Seebeck coefficient of GaN/AlGaN nanowires is more than twice as large as that for the GaN nanowires alone. However, an outer layer of GaN deposited onto the GaN/AlGaN core/shell nanowires decreases the Seebeck coefficient at room temperature, while the temperature dependence of the electrical conductivity remains the same. We attribute these observations to the formation of an electron gas channel within the heavily-doped GaN core of the GaN/AlGaN nanowires. The room-temperature thermoelectric power factor for the GaN/AlGaN nanowires can be four times higher than the GaN nanowires. Selective doping in bandgap engineered core/shell nanowires is proposed for enhancing the thermoelectric power.

  7. A hole modulator for InGaN/GaN light-emitting diodes

    International Nuclear Information System (INIS)

    Zhang, Zi-Hui; Kyaw, Zabu; Liu, Wei; Ji, Yun; Wang, Liancheng; Tan, Swee Tiam; Sun, Xiao Wei; Demir, Hilmi Volkan

    2015-01-01

    The low p-type doping efficiency of the p-GaN layer has severely limited the performance of InGaN/GaN light-emitting diodes (LEDs) due to the ineffective hole injection into the InGaN/GaN multiple quantum well (MQW) active region. The essence of improving the hole injection efficiency is to increase the hole concentration in the p-GaN layer. Therefore, in this work, we have proposed a hole modulator and studied it both theoretically and experimentally. In the hole modulator, the holes in a remote p-type doped layer are depleted by the built-in electric field and stored in the p-GaN layer. By this means, the overall hole concentration in the p-GaN layer can be enhanced. Furthermore, the hole modulator is adopted in the InGaN/GaN LEDs, which reduces the effective valance band barrier height for the p-type electron blocking layer from ∼332 meV to ∼294 meV at 80 A/cm 2 and demonstrates an improved optical performance, thanks to the increased hole concentration in the p-GaN layer and thus the improved hole injection into the MQWs

  8. From Schottky to Ohmic graphene contacts to AlGaN/GaN heterostructures: Role of the AlGaN layer microstructure

    International Nuclear Information System (INIS)

    Fisichella, G.; Greco, G.; Roccaforte, F.; Giannazzo, F.

    2014-01-01

    The electrical behaviour of graphene (Gr) contacts to Al x Ga 1−x N/GaN heterostructures has been investigated, focusing, in particular, on the impact of the AlGaN microstructure on the current transport at Gr/AlGaN interface. Two Al 0.25 Ga 0.75 N/GaN heterostructures with very different quality in terms of surface roughness and defectivity, as evaluated by atomic force microscopy (AFM) and transmission electron microscopy, were compared in this study, i.e., a uniform and defect-free sample and a sample with a high density of typical V-defects, which locally cause a reduction of the AlGaN thickness. Nanoscale resolution current voltage (I-V) measurements by an Au coated conductive AFM tip were carried out at several positions both on the bare and Gr-coated AlGaN surfaces. Rectifying contacts were found onto both bare AlGaN surfaces, but with a more inhomogeneous and lower Schottky barrier height (Φ B  ≈ 0.6 eV) for AlGaN with V-defects, with respect to the case of the uniform AlGaN (Φ B  ≈ 0.9 eV). Instead, very different electrical behaviours were observed in the presence of the Gr interlayer between the Au tip and AlGaN, i.e., a Schottky contact with reduced barrier height (Φ B ≈ 0.4 eV) for the uniform AlGaN and an Ohmic contact for the AlGaN with V-defects. Interestingly, excellent lateral uniformity of the local I-V characteristics was found in both cases and can be ascribed to an averaging effect of the Gr electrode over the AlGaN interfacial inhomogeneities. Due to the locally reduced AlGaN layer thickness, V defect act as preferential current paths from Gr to the 2DEG and can account for the peculiar Ohmic behaviour of Gr contacts on defective AlGaN

  9. Gas sensing with AlGaN/GaN 2DEG channels

    NARCIS (Netherlands)

    Offermans, P.; Vitushinsky, R.; Crego-Calama, M.; Brongersma, S.H.

    2011-01-01

    AlGaN/GaN shows great promise as a generic platform for (bio-)chemical sensing because of its robustness and intrinsic sensitivity to surface charge or dipoles. Here, we employ the two-dimensional electron gas (2DEG) formed at the interface of AlGaN/GaN layers grown on Si substrates for the

  10. First-principles electronic structure of Mn-doped GaAs, GaP, and GaN semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Schulthess, T C [Computer Science and Mathematics Division and Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6164 (United States); Temmerman, W M [Daresbury Laboratory, Daresbury, Warrington WA4 4AD (United Kingdom); Szotek, Z [Daresbury Laboratory, Daresbury, Warrington WA4 4AD (United Kingdom); Svane, A [Department of Physics and Astronomy, University of Aarhus, DK-8000 Aarhus C (Denmark); Petit, L [Computer Science and Mathematics Division and Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6164 (United States)

    2007-04-23

    We present first-principles electronic structure calculations of Mn-doped III-V semiconductors based on the local spin-density approximation (LSDA) as well as the self-interaction corrected local spin-density method (SIC-LSD). We find that it is crucial to use a self-interaction free approach to properly describe the electronic ground state. The SIC-LSD calculations predict the proper electronic ground state configuration for Mn in GaAs, GaP, and GaN. Excellent quantitative agreement with experiment is found for the magnetic moment and p-d exchange in (GaMn)As. These results allow us to validate commonly used models for magnetic semiconductors. Furthermore, we discuss the delicate problem of extracting binding energies of localized levels from density functional theory calculations. We propose three approaches to take into account final state effects to estimate the binding energies of the Mn d levels in GaAs. We find good agreement between computed values and estimates from photoemission experiments.

  11. First-principles electronic structure of Mn-doped GaAs, GaP, and GaN semiconductors

    International Nuclear Information System (INIS)

    Schulthess, T C; Temmerman, W M; Szotek, Z; Svane, A; Petit, L

    2007-01-01

    We present first-principles electronic structure calculations of Mn-doped III-V semiconductors based on the local spin-density approximation (LSDA) as well as the self-interaction corrected local spin-density method (SIC-LSD). We find that it is crucial to use a self-interaction free approach to properly describe the electronic ground state. The SIC-LSD calculations predict the proper electronic ground state configuration for Mn in GaAs, GaP, and GaN. Excellent quantitative agreement with experiment is found for the magnetic moment and p-d exchange in (GaMn)As. These results allow us to validate commonly used models for magnetic semiconductors. Furthermore, we discuss the delicate problem of extracting binding energies of localized levels from density functional theory calculations. We propose three approaches to take into account final state effects to estimate the binding energies of the Mn d levels in GaAs. We find good agreement between computed values and estimates from photoemission experiments

  12. Gallium-containing Heusler phases ScRh{sub 2}Ga, ScPd{sub 2}Ga, TmRh{sub 2}Ga and LuRh{sub 2}Ga. Magnetic and solid state NMR-spectroscopic characterization

    Energy Technology Data Exchange (ETDEWEB)

    Heletta, Lukas; Seidel, Stefan; Poettgen, Rainer [Muenster Univ. (Germany). Inst. fuer Anorganische und Analytische Chemie; Benndorf, Christopher [Leipzig Univ. (Germany). Inst. fuer Mineralogie, Kristallographie und Materialwissenschaften; Eckert, Hellmut [Muenster Univ. (Germany). Inst. fuer Physikalische Chemie; Sao Paulo Univ., Sao Carlos (Brazil). Inst. of Physics

    2017-10-01

    The gallium-containing Heusler phases ScRh{sub 2}Ga, ScPd{sub 2}Ga, TmRh{sub 2}Ga and LuRh{sub 2}Ga have been synthesized by arc-melting of the elements followed by different annealing sequences to improve phase purity. The samples have been studied by powder X-ray diffraction. The structures of Lu{sub 0.97}Rh{sub 2}Ga{sub 1.03} (Fm3m, a=632.94(5) pm, wR2=0.0590, 46 F{sup 2} values, seven variables) and Sc{sub 0.88}Rh{sub 2}Ga{sub 1.12} (a=618.91(4) pm, wR2=0.0284, 44 F{sup 2} values, six variables) have been refined from single crystal X-ray diffractometer data. Both gallides show structural disorder through Lu/Ga and Sc/Ga mixing. Temperature dependent magnetic susceptibility measurements showed Pauli paramagnetism for ScRh{sub 2}Ga, ScPd{sub 2}Ga, and LuRh{sub 2}Ga and Curie-Weiss paramagnetism for TmRh{sub 2}Ga. {sup 45}Sc and {sup 71}Ga solid state MAS NMR spectroscopic investigations of the Sc containing compounds confirmed the site mixing effects typically observed for Heusler phases. The data indicate that the effect of mixed Sc/Ga occupancy is significantly stronger in ScRh{sub 2}Ga than in ScPd{sub 2}Ga.

  13. Ga-Ga bonding and tunnel framework in the new Zintl phase Ba{sub 3}Ga{sub 4}Sb{sub 5}

    Energy Technology Data Exchange (ETDEWEB)

    Park, S -M; Kim, S -J; Kanatzidis, M G

    2003-11-01

    A new Zintl phase Ba{sub 3}Ga{sub 4}Sb{sub 5} was obtained from the reaction of Ba and Sb in excess Ga flux at 1000 deg. C, and its structure was determined with single-crystal X-ray diffraction methods. It crystallizes in the orthorhombic space group Pnma (No. 62) with a=13.248(3) A, b=4.5085(9) A, c=24.374(5) A and Z=4. Ba{sub 3}Ga{sub 4}Sb{sub 5} has a three-dimensional [Ga{sub 4}Sb{sub 5}]{sup 6-} framework featuring large tunnels running along the b-axis and accommodating the Ba ions. The structure also has small tube-like tunnels of pentagonal and rhombic cross-sections. The structure contains ethane-like dimeric Sb{sub 3}Ga-GaSb{sub 3} units and GaSb{sub 4} tetrahedra that are connected to form 12- and 14-membered tunnels. Band structure calculations confirm that the material is a semiconductor and indicate that the structure is stabilized by strong Ga-Ga covalent bonding interactions.

  14. Barrier layer engineering: Performance evaluation of E-mode InGaN/AlGaN/GaN HEMT

    Science.gov (United States)

    Majumdar, Shubhankar; Das, S.; Biswas, D.

    2015-08-01

    Impact on DC characteristics of InGaN/AlGaN/GaN HEMT due to variation in the hetero-structure parameters i.e. molar fraction of Al and thickness of AlGaN barrier layer is presented in this paper. Gate controllability over the channel is dependent on barrier layer thickness, and molar fraction has an impact on band offset and 2DEG, which further affects the current. HEMT device that is simulated in SILVACO has InGaN cap layer of 2 nm thickness with 15% In molar fraction, variation of Al percentage and thickness of the AlGaN barrier layer are taken as 15-45% and 5-20nm, respectively. A tremendous change in threshold voltage (Vth), maximum transconductance (Gmmax) and subthreshold swing is found due to variation in hetero-structure parameter of barrier layer and the values are typically 1.3-0.1 V, 0.6-0.44 S/mm and 75-135 mV/dec respectively.

  15. Enhanced thermoelectric transport in modulation-doped GaN/AlGaN core/shell nanowires

    International Nuclear Information System (INIS)

    Song, Erdong; Martinez, Julio A; Li, Qiming; Pan, Wei; Wang, George T; Swartzentruber, Brian

    2016-01-01

    The thermoelectric properties of unintentionally n-doped core GaN/AlGaN core/shell N-face nanowires are reported. We found that the temperature dependence of the electrical conductivity is consistent with thermally activated carriers with two distinctive donor energies. The Seebeck coefficient of GaN/AlGaN nanowires is more than twice as large as that for the GaN nanowires alone. However, an outer layer of GaN deposited onto the GaN/AlGaN core/shell nanowires decreases the Seebeck coefficient at room temperature, while the temperature dependence of the electrical conductivity remains the same. We attribute these observations to the formation of an electron gas channel within the heavily-doped GaN core of the GaN/AlGaN nanowires. The room-temperature thermoelectric power factor for the GaN/AlGaN nanowires can be four times higher than the GaN nanowires. Selective doping in bandgap engineered core/shell nanowires is proposed for enhancing the thermoelectric power. (paper)

  16. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    International Nuclear Information System (INIS)

    Gao, Qingxue; Liu, Rong; Xiao, Hongdi; Cao, Dezhong; Liu, Jianqiang; Ma, Jin

    2016-01-01

    Highlights: • GaN film with a strong phase-separated InGaN/GaN layer was etched by electrochemical etching. • Vertically aligned nanopores in n-GaN films were buried underneath the InGaN/GaN structures. • The relaxation of compressive stress in the MQW structure was found by PL and Raman spectra. - Abstract: A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  17. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Qingxue [School of Physics, Shandong University, Jinan, 250100 (China); Liu, Rong [Department of Fundamental Theories, Shandong Institute of Physical Education and Sports, Jinan 250063 (China); Xiao, Hongdi, E-mail: hdxiao@sdu.edu.cn [School of Physics, Shandong University, Jinan, 250100 (China); Cao, Dezhong; Liu, Jianqiang; Ma, Jin [School of Physics, Shandong University, Jinan, 250100 (China)

    2016-11-30

    Highlights: • GaN film with a strong phase-separated InGaN/GaN layer was etched by electrochemical etching. • Vertically aligned nanopores in n-GaN films were buried underneath the InGaN/GaN structures. • The relaxation of compressive stress in the MQW structure was found by PL and Raman spectra. - Abstract: A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  18. Investigation of GaN LED with Be-implanted Mg-doped GaN layer

    International Nuclear Information System (INIS)

    Huang, H.-W.; Kao, C.C.; Chu, J.T.; Kuo, H.C.; Wang, S.C.; Yu, C.C.; Lin, C.F.

    2004-01-01

    We report the electrical and optical characteristics of GaN light emitting diode (LED) with beryllium (Be) implanted Mg-doped GaN layer. The p-type layer of Be-implanted GaN LED showed a higher hole carrier concentration of 2.3 x 10 18 cm -3 and low specific contact resistance value of 2.0 x 10 -4 Ωcm 2 than as-grown p-GaN LED samples without Be-implantation. The Be-implanted GaN LEDs with InGaN/GaN MQW show slightly lower light output (about 10%) than the as-grown GaN LEDs, caused by the high RTA temperature annealing process

  19. Analysis of the AlGaN/GaN vertical bulk current on Si, sapphire, and free-standing GaN substrates

    International Nuclear Information System (INIS)

    Pérez-Tomás, A.; Fontserè, A.; Llobet, J.; Placidi, M.; Rennesson, S.; Chenot, S.; Moreno, J. C.; Cordier, Y.; Baron, N.

    2013-01-01

    The vertical bulk (drain-bulk) current (I db ) properties of analogous AlGaN/GaN hetero-structures molecular beam epitaxially grown on silicon, sapphire, and free-standing GaN (FS-GaN) have been evaluated in this paper. The experimental I db (25–300 °C) have been well reproduced with physical models based on a combination of Poole-Frenkel (trap assisted) and hopping (resistive) conduction mechanisms. The thermal activation energies (E a ), the (soft or destructive) vertical breakdown voltage (V B ), and the effect of inverting the drain-bulk polarity have also been comparatively investigated. GaN-on-FS-GaN appears to adhere to the resistive mechanism (E a = 0.35 eV at T = 25–300 °C; V B = 840 V), GaN-on-sapphire follows the trap assisted mechanism (E a = 2.5 eV at T > 265 °C; V B > 1100 V), and the GaN-on-Si is well reproduced with a combination of the two mechanisms (E a = 0.35 eV at T > 150 °C; V B = 420 V). Finally, the relationship between the vertical bulk current and the lateral AlGaN/GaN transistor leakage current is explored.

  20. Fabrication and improved photoelectrochemical properties of a transferred GaN-based thin film with InGaN/GaN layers.

    Science.gov (United States)

    Cao, Dezhong; Xiao, Hongdi; Gao, Qingxue; Yang, Xiaokun; Luan, Caina; Mao, Hongzhi; Liu, Jianqiang; Liu, Xiangdong

    2017-08-17

    Herein, a lift-off mesoporous GaN-based thin film, which consisted of a strong phase-separated InGaN/GaN layer and an n-GaN layer, was fabricated via an electrochemical etching method in a hydrofluoric acid (HF) solution for the first time and then transferred onto quartz or n-Si substrates, acting as photoanodes during photoelectrochemical (PEC) water splitting in a 1 M NaCl aqueous solution. Compared to the as-grown GaN-based film, the transferred GaN-based thin films possess higher and blue-shifted light emission, presumably resulting from an increase in the surface area and stress relaxation in the InGaN/GaN layer embedded on the mesoporous n-GaN. The properties such as (i) high photoconversion efficiency, (ii) low turn-on voltage (-0.79 V versus Ag/AgCl), and (iii) outstanding stability enable the transferred films to have excellent PEC water splitting ability. Furthermore, as compared to the film transferred onto the quartz substrate, the film transferred onto the n-Si substrate exhibits higher photoconversion efficiency (2.99% at -0.10 V) due to holes (h + ) in the mesoporous n-GaN layer that originate from the n-Si substrate.

  1. Sub-monolayer Deposited InGaAs/GaAs Quantum Dot Heterostructures and Lasers

    DEFF Research Database (Denmark)

    Xu, Zhangcheng

    2004-01-01

    deposition, the deposition of a short-period InAs/GaAs superlattice on GaAs (100) surface with an InAs effective thickness of less than 1 monolayer (ML), results in the formatioin of nanometer scale (In,Ga)As QDs of a non-SK class.In this thesis, the SML InGaAs/GaAs QDs are formed by 10 cycles of alternate......The fabrication, characterization and exploitation of self-assembled quantum dot (QD) heterostructures have attracted much attention not only in basic research, but also by the promising device applications such as QD lasers. The Stranski-Krastanow (SK) growth and the submonolayer (SML) deposition...... deposition of 0.5 ML InAs and 2.5 MLGaAs. The growth, structure, and optical properties of SML InGaAs/GaAs QD heterostructures are investigated in detail. SML InGaAs/GaAs QD lasers lasing even at room temperature have been successfully realized. The gain properties of SML InGaAs QD lasers are studied...

  2. Self-diffusion in 69Ga121Sb/71Ga123Sb isotope heterostructures

    Science.gov (United States)

    Bracht, H.; Nicols, S. P.; Haller, E. E.; Silveira, J. P.; Briones, F.

    2001-05-01

    Gallium and antimony self-diffusion experiments have been performed in undoped 69Ga121Sb/71Ga123Sb isotope heterostructures at temperatures between 571 and 708 °C under Sb- and Ga-rich ambients. Ga and Sb profiles measured with secondary ion mass spectrometry reveal that Ga diffuses faster than Sb by several orders of magnitude. This strongly suggests that the two self-atom species diffuse independently on their own sublattices. Experimental results lead us to conclude that Ga and Sb diffusion are mediated by Ga vacancies and Sb interstitials, respectively, and not by the formation of a triple defect proposed earlier by Weiler and Mehrer [Philos. Mag. A 49, 309 (1984)]. The extremely slow diffusion of Sb up to the melting temperature of GaSb is proposed to be a consequence of amphoteric transformations between native point defects which suppress the formation of those native defects which control Sb diffusion. Preliminary experiments exploring the effect of Zn indiffusion at 550 °C on Ga and Sb diffusion reveal an enhanced intermixing of the Ga isotope layers compared to undoped GaSb. However, under the same conditions the diffusion of Sb was not significantly affected.

  3. Multicharacterization approach for studying InAl(Ga)N/Al(Ga)N/GaN heterostructures for high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Naresh-Kumar, G., E-mail: naresh.gunasekar@strath.ac.uk; Trager-Cowan, C. [Dept of Physics, SUPA, University of Strathclyde, Glasgow G4 0NG (United Kingdom); Vilalta-Clemente, A.; Morales, M.; Ruterana, P. [CIMAP UMR 6252 CNRS-ENSICAEN-CEA-UCBN 14050 Caen Cedex (France); Pandey, S.; Cavallini, A.; Cavalcoli, D. [Dipartimento di Fisica Astronomia, Università di Bologna, 40127 Bologna (Italy); Skuridina, D.; Vogt, P.; Kneissl, M. [Institute of Solid State Physics, Technical University Berlin, 10623 Berlin (Germany); Behmenburg, H.; Giesen, C.; Heuken, M. [AIXTRON SE, Kaiserstr. 98, 52134 Herzogenrath (Germany); Gamarra, P.; Di Forte-Poisson, M. A. [Thales Research and Technology, III-V Lab, 91460 Marcoussis (France); Patriarche, G. [LPN, Route de Nozay, 91460 Marcoussis (France); Vickridge, I. [Institut des NanoSciences, Université Pierre et Marie Curie, 75015 Paris (France)

    2014-12-15

    We report on our multi–pronged approach to understand the structural and electrical properties of an InAl(Ga)N(33nm barrier)/Al(Ga)N(1nm interlayer)/GaN(3μm)/ AlN(100nm)/Al{sub 2}O{sub 3} high electron mobility transistor (HEMT) heterostructure grown by metal organic vapor phase epitaxy (MOVPE). In particular we reveal and discuss the role of unintentional Ga incorporation in the barrier and also in the interlayer. The observation of unintentional Ga incorporation by using energy dispersive X–ray spectroscopy analysis in a scanning transmission electron microscope is supported with results obtained for samples with a range of AlN interlayer thicknesses grown under both the showerhead as well as the horizontal type MOVPE reactors. Poisson–Schrödinger simulations show that for high Ga incorporation in the Al(Ga)N interlayer, an additional triangular well with very small depth may be exhibited in parallel to the main 2–DEG channel. The presence of this additional channel may cause parasitic conduction and severe issues in device characteristics and processing. Producing a HEMT structure with InAlGaN as the barrier and AlGaN as the interlayer with appropriate alloy composition may be a possible route to optimization, as it might be difficult to avoid Ga incorporation while continuously depositing the layers using the MOVPE growth method. Our present work shows the necessity of a multicharacterization approach to correlate structural and electrical properties to understand device structures and their performance.

  4. Multicharacterization approach for studying InAl(GaN/Al(GaN/GaN heterostructures for high electron mobility transistors

    Directory of Open Access Journals (Sweden)

    G. Naresh-Kumar

    2014-12-01

    Full Text Available We report on our multi–pronged approach to understand the structural and electrical properties of an InAl(GaN(33nm barrier/Al(GaN(1nm interlayer/GaN(3μm/ AlN(100nm/Al2O3 high electron mobility transistor (HEMT heterostructure grown by metal organic vapor phase epitaxy (MOVPE. In particular we reveal and discuss the role of unintentional Ga incorporation in the barrier and also in the interlayer. The observation of unintentional Ga incorporation by using energy dispersive X–ray spectroscopy analysis in a scanning transmission electron microscope is supported with results obtained for samples with a range of AlN interlayer thicknesses grown under both the showerhead as well as the horizontal type MOVPE reactors. Poisson–Schrödinger simulations show that for high Ga incorporation in the Al(GaN interlayer, an additional triangular well with very small depth may be exhibited in parallel to the main 2–DEG channel. The presence of this additional channel may cause parasitic conduction and severe issues in device characteristics and processing. Producing a HEMT structure with InAlGaN as the barrier and AlGaN as the interlayer with appropriate alloy composition may be a possible route to optimization, as it might be difficult to avoid Ga incorporation while continuously depositing the layers using the MOVPE growth method. Our present work shows the necessity of a multicharacterization approach to correlate structural and electrical properties to understand device structures and their performance.

  5. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    Directory of Open Access Journals (Sweden)

    Nigamananda Samal

    2010-02-01

    Full Text Available An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW on GaAs by molecular beam epitaxy (MBE are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM of ~60 meV in room temperature (RT photoluminescence (PL indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  6. Self-organized formation of GaSb/GaAs quantum rings.

    Science.gov (United States)

    Timm, R; Eisele, H; Lenz, A; Ivanova, L; Balakrishnan, G; Huffaker, D L; Dähne, M

    2008-12-19

    Ring-shaped GaSb/GaAs quantum dots, grown by molecular beam epitaxy, were studied using cross-sectional scanning tunneling microscopy. These quantum rings have an outer shape of a truncated pyramid with baselengths around 15 nm and heights of about 2 nm but are characterized by a clear central opening extending over about 40% of the outer baselength. They form spontaneously during the growth and subsequent continuous capping of GaSb/GaAs quantum dots due to the large strain and substantial As-for-Sb exchange reactions leading to strong Sb segregation.

  7. Effects of GaN/AlGaN/Sputtered AlN nucleation layers on performance of GaN-based ultraviolet light-emitting diodes

    Science.gov (United States)

    Hu, Hongpo; Zhou, Shengjun; Liu, Xingtong; Gao, Yilin; Gui, Chengqun; Liu, Sheng

    2017-03-01

    We report on the demonstration of GaN-based ultraviolet light-emitting diodes (UV LEDs) emitting at 375 nm grown on patterned sapphire substrate (PSS) with in-situ low temperature GaN/AlGaN nucleation layers (NLs) and ex-situ sputtered AlN NL. The threading dislocation (TD) densities in GaN-based UV LEDs with GaN/AlGaN/sputtered AlN NLs were determined by high-resolution X-ray diffraction (XRD) and cross-sectional transmission electron microscopy (TEM), which revealed that the TD density in UV LED with AlGaN NL was the highest, whereas that in UV LED with sputtered AlN NL was the lowest. The light output power (LOP) of UV LED with AlGaN NL was 18.2% higher than that of UV LED with GaN NL owing to a decrease in the absorption of 375 nm UV light in the AlGaN NL with a larger bandgap. Using a sputtered AlN NL instead of the AlGaN NL, the LOP of UV LED was further enhanced by 11.3%, which is attributed to reduced TD density in InGaN/AlInGaN active region. In the sputtered AlN thickness range of 10-25 nm, the LOP of UV LED with 15-nm-thick sputtered AlN NL was the highest, revealing that optimum thickness of the sputtered AlN NL is around 15 nm.

  8. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  9. Energetics of Mg incorporation at GaN(0001) and GaN(0001¯) surfaces

    Science.gov (United States)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-04-01

    By using density functional calculations in the generalized gradient approximation, we investigate the energetics of Mg adsorption and incorporation at GaN(0001) and GaN(0001¯) surfaces under various Ga and Mg coverage conditions as well as in presence of light or electron beam-induced electronic excitation. We find significant differences in Mg incorporation between Ga- and N-polar surfaces. Mg incorporation is easier at the Ga-polar surface, but high Mg coverages are found to cause important distortions which locally change the polarity from Ga to N polar. At the N-rich and moderately Ga-rich GaN(0001) surface, 0.25 ML of Mg substituting Ga in the top bilayer strongly reduce the surface diffusion barriers of Ga and N adatoms, in agreement with the surfactant effect observed in experiments. As the Mg coverage exceeds 0.5 ML, partial incorporation in the subsurface region (second bilayer) becomes favorable. A surface structure with 0.5 ML of incorporated Mg in the top bilayer and 0.25 ML in the second bilayer is found to be stable over a wide range of Ga chemical potential. At the Ga bilayer-terminated GaN(0001) surface, corresponding to Ga-rich conditions, configurations where Mg is incorporated in the interface region between the metallic Ga bilayer and the underlying GaN bilayer appear to be favored. At the N-polar surface, Mg is not incorporated under N-rich or moderately Ga-rich conditions, whereas incorporation in the adlayer may take place under Ga-rich conditions. In the presence of light or electron beam induced excitation, energy differences between Mg incorporated at the surface and in deeper layers are reduced so that the tendency toward surface segregation is also reduced.

  10. Hexagonal (wurtzite) GaN inclusions as a defect in cubic (zinc-blende) GaN

    International Nuclear Information System (INIS)

    Zainal, N.; Novikov, S.V.; Akimov, A.V.; Staddon, C.R.; Foxon, C.T.; Kent, A.J.

    2012-01-01

    The dependence of the hexagonal fraction with thickness in MBE-grown bulk cubic (c-) GaN epilayer is presented in this paper. A number of c-GaN epilayers with different thicknesses were characterized via PL and XRD measurements. From the PL spectra, the signal due to h-GaN inclusions increases as the thickness of the c-GaN increases. On the contrary, in the XRD diffractogram, c-GaN shows a dominant signal at all thicknesses, and only a weak peak at ∼35° is observed in the diffractogram, implying the existence of a small amount of h-GaN in the c-GaN layer. The best quality of c-GaN is observed in the first 10 μm of GaN on the top of GaAs substrate. Even though the hexagonal content increases with the thickness, the average content remains below 20% in c-GaN layers up to 50 μm thick. The surface morphology of thick c-GaN is also presented.

  11. Comparison of MOVPE grown GaAs, InGaAs and GaAsSb covering layers for different InAs/GaAs quantum dot applications

    Czech Academy of Sciences Publication Activity Database

    Zíková, Markéta; Hospodková, Alice; Pangrác, Jiří; Oswald, Jiří; Hulicius, Eduard

    2017-01-01

    Roč. 464, Apr (2017), s. 59-63 ISSN 0022-0248 R&D Projects: GA MŠk LO1603 Institutional support: RVO:68378271 Keywords : MOVPE * quantum dot * strain reducing layer * InAs * GaAsSb * InGaAs Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 1.751, year: 2016

  12. Comb-drive GaN micro-mirror on a GaN-on-silicon platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Sasaki, Takashi; Wu, Tong; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here a double-sided process for the fabrication of a comb-drive GaN micro-mirror on a GaN-on-silicon platform. A silicon substrate is first patterned from the backside and removed by deep reactive ion etching, resulting in totally suspended GaN slabs. GaN microstructures including the torsion bars, movable combs and mirror plate are then defined on a freestanding GaN slab by the backside alignment technique and generated by fast atom beam etching with Cl 2 gas. Although the fabricated comb-drive GaN micro-mirrors are deflected by the residual stress in GaN thin films, they can operate on a high resistivity silicon substrate without introducing any additional isolation layer. The optical rotation angles are experimentally characterized in the rotation experiments. This work opens the possibility of producing GaN optical micro-electro-mechanical-system (MEMS) devices on a GaN-on-silicon platform.

  13. Improved AlGaN/GaN HEMTs Grown on Si Substrates Using Stacked AlGaN/AlN Interlayer by MOCVD

    International Nuclear Information System (INIS)

    Wang Yong; Yu Nai-Sen; Li Ming; Lau Kei-May

    2011-01-01

    AlGaN/GaN high electron mobility transistors (HEMTs) are grown on 2-inch Si (111) substrates by MOCVD. The stacked AlGaN/AlN interlayer with different AlGaN thickness and indium surfactant doped is designed and optimized to relieve the tensile stress during GaN epitaxial growth. The top 1.0μm GaN buffer layer grown on the optimized AlGaN/AlN interlayer shows a crack-free and shining surface. The XRD results show that GaN(002) FWHM is 480 arcsec and GaN(102) FWHM is 900 arcsec. The AGaN/GaN HEMTs with optimized and non-optimized AlGaN/AlN interlayer are grown and processed for comparison and the dc and rf characteristics are characterized. For the dc characteristics of the device with optimized AlGaN/AlN interlayer, maximum drain current density I dss of 737mA/mm, peak transconductance G m of 185mS/mm, drain leakage current density I ds of 1.7μA/mm, gate leakage current density I gs of 24.8 μA/mm and off-state breakdown voltage V BR of 67 V are achieved with L g /W g /L gs /L gd = 1/10/1/1 μm. For the small signal rf characteristics of the device with optimized AlGaN/AlN interlayer, current gain cutoff frequency f T of 8.3 GHz and power gain cutoff frequency f max of 19.9 GHz are achieved with L g /W g /L gs /L gd = 1/100/1/1 μm. Furthermore, the best rf performance with f T of 14.5 GHz and f max of 37.3 GHz is achieved with a reduced gate length of 0.7μm. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  14. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  15. Impact ionization of excitons in electric field of GaN and quantum wells of GaN/AlGaN

    International Nuclear Information System (INIS)

    Nel'son, D.K.; Yakobson, M.A.; Kagan, V.D.; Gil, B.; Grandjean, N.; Beaumont, B.; Massier, J.; Gibart, P.

    2001-01-01

    The effect of the exciton states impact ionization in the GaN exploit films and in the GaN/AlGaN structures with quantum wells is studied. The study was carried out through the optical method, based on the exciton photoluminescence quenching by applying the electric field. It is established that in the process of the electrons relaxation by energy and pulse the scattering on the admixtures prevails over the scattering on the acoustic phonons. The average length of the hot electrons free run is evaluated. The average length of the hot electrons free run in the GaN/AlGaN wells proved to be by the value order higher than in the GaN epitaxial films, which is conditioned by decrease in the probability of the electrons scattering in the two-dimensional case [ru

  16. Self-diffusion in 69Ga121Sb/71Ga123Sb isotope heterostructures

    OpenAIRE

    Bracht, H.; Nicols, S. P.; Haller, E. E.; Silveira, Juan Pedro; Briones Fernández-Pola, Fernando

    2001-01-01

    Gallium and antimony self-diffusion experiments have been performed in undoped 69Ga121Sb/71Ga123Sb isotope heterostructures at temperatures between 571 and 708 °C under Sb- and Ga-rich ambients. Ga and Sb profiles measured with secondary ion mass spectrometry reveal that Ga diffuses faster than Sb by several orders of magnitude. This strongly suggests that the two self-atom species diffuse independently on their own sublattices. Experimental results lead us to conclude that Ga and Sb diffusio...

  17. Photocurrent characteristics of metal–AlGaN/GaN Schottky-on-heterojunction diodes induced by GaN interband excitation

    Science.gov (United States)

    Tang, Xi; Li, Baikui; Chen, Kevin J.; Wang, Jiannong

    2018-05-01

    The photocurrent characteristics of metal–AlGaN/GaN Schottky-on-heterojunction diodes were investigated. When the photon energy of incident light was larger than the bandgap of GaN but smaller than that of AlGaN, the alternating-current (ac) photocurrent measured using lock-in techniques increased with the chopper frequency. Analyzing the generation and flow processes of photocarriers revealed that the photocurrent induced by GaN interband excitation featured a transient behavior, and its direction reversed when the light excitation was removed. The abnormal dependence of the measured ac photocurrent magnitude on the chopper frequency was explained considering the detection principles of a lock-in amplifier.

  18. Interface States in AlGaN/GaN Metal-Insulator-Semiconductor High Electron Mobility Transistors

    International Nuclear Information System (INIS)

    Feng Qian; Du Kai; Li Yu-Kun; Shi Peng; Feng Qing

    2013-01-01

    Frequency-dependent capacitance and conductance measurements are performed on AlGaN/GaN high electron mobility transistors (HEMTs) and NbAlO/AlGaN/GaN metal-insulator-semiconductor HEMTs (MISHEMTs) to extract density and time constants of the trap states at NbAlO/AlGaN interface and gate/AlGaN interface with the gate-voltage biased into the accumulation region and that at the AlGaN/GaN interface with the gate-voltage biased into the depletion region in different circuit models. The measurement results indicate that the trap density at NbAlO/AlGaN interface is about one order lower than that at gate/AlGaN interface while the trap density at AlGaN/GaN interface is in the same order, so the NbAlO film can passivate the AlGaN surface effectively, which is consistent with the current collapse results

  19. Influence of annealing condition and multicycle AlGaAs/GaAs structures on the Al0.26Ga0.74As surface morphology

    International Nuclear Information System (INIS)

    Wei, Wenzhe; Wang, Yi; Guo, Xiang; Luo, Zijiang; Zhao, Zhen; Zhou, Haiyue; Ding, Zhao

    2015-01-01

    Highlights: • STM study on the change of AlGaAs surface morphology with varying annealing conditions. • Interesting phenomenon that the subsequent sample has more surface roughness than the previous samples do. A physical model was proposed to explain why the multi-runs growth can increase surface roughness. • The annealing conditions of AlGaAs/GaAs surface were proposed. - Abstract: The influence of annealing temperature, As 4 beam equivalent pressure and multi-runs growth on AlGaAs/GaAs structures was investigated. The real space ultrahigh vacuum scanning tunneling microscopy images showed that AlGaAs/GaAs surface morphology greatly depends on annealing conditions and initial state of surface. The reasons of the surface phenomenon are proposed, and a physical model was proposed to explain why the multi-runs growth structures can increase AlGaAs surface roughness. The reasonable preparation conditions for AlGaAs/GaAs structures were proposed

  20. Temperature Dependence of the Energy Band Diagram of AlGaN/GaN Heterostructure

    Directory of Open Access Journals (Sweden)

    Yanli Liu

    2018-01-01

    Full Text Available Temperature dependence of the energy band diagram of AlGaN/GaN heterostructure was investigated by theoretical calculation and experiment. Through solving Schrodinger and Poisson equations self-consistently by using the Silvaco Atlas software, the energy band diagram with varying temperature was calculated. The results indicate that the conduction band offset of AlGaN/GaN heterostructure decreases with increasing temperature in the range of 7 K to 200 K, which means that the depth of quantum well at AlGaN/GaN interface becomes shallower and the confinement of that on two-dimensional electron gas reduces. The theoretical calculation results are verified by the investigation of temperature dependent photoluminescence of AlGaN/GaN heterostructure. This work provides important theoretical and experimental basis for the performance degradation of AlGaN/GaN HEMT with increasing temperature.

  1. Characterization of modulation doped pseudomorphic AlGaAs/InGaAs/GaAs HEMT structures by electron beam electroreflectance and photoluminescence

    International Nuclear Information System (INIS)

    Herman, M.A.; Ward, I.D.; Kopf, R.F.; Pearton, S.J.; Jones, E.D.

    1990-01-01

    The authors have investigated the optical transitions present in MBE-grown modulation doped pseudomorphic Al x Ga 1-x As/In y Ga 1-y As/GaAs HEMT structures of 120 Angstrom InGaAs thickness, y values 0 to 0.28, and x values 0.20 to 0.30. From both 300K electron beam electroreflectance (EBER) and 4K photoluminescence (PL) measurements the authors observe transitions from the InGaAs strained quantum well layer. The intensity and lineshape of the InGaAs transition in both optical spectra are affected by processing temperatures, and provides an indication of the quality of the HEMT

  2. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    International Nuclear Information System (INIS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-01-01

    Molecular-Beam Epitaxy growth of multiple In 0.4 Ga 0.6 As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4 Ga 0.6 As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4 Ga 0.6 As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  3. Epitaxial nanowire formation in metamorphic GaAs/GaPAs short-period superlattices

    Science.gov (United States)

    Zheng, Nan; Ahrenkiel, S. Phillip

    2017-07-01

    Metamorphic growth presents routes to novel nanomaterials with unique properties that may be suitable for a range of applications. We discuss self-assembled, epitaxial nanowires formed during metalorganic chemical vapor deposition of metamorphic GaAs/GaPAs short-period superlattices. The heterostructures incorporate strain-engineered GaPAs compositional grades on 6°-B miscut GaAs substrates. Lateral diffusion within the SPS into vertically aligned, three-dimensional columns results in nanowires extending along A directions with a lateral period of 70-90 nm. The microstructure is probed by transmission electron microscopy to confirm the presence of coherent GaAs nanowires within GaPAs barriers. The compositional profile is inferred from analysis of {200} dark-field image contrast and lattice images.

  4. Formation of columnar (In,Ga)As quantum dots on GaAs(100)

    International Nuclear Information System (INIS)

    He, J.; Noetzel, R.; Offermans, P.; Koenraad, P.M.; Gong, Q.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    Columnar (In,Ga)As quantum dots (QDs) with homogeneous composition and shape in the growth direction are realized by molecular-beam epitaxy on GaAs(100) substrates. The columnar (In,Ga)As QDs are formed on InAs seed QDs by alternating deposition of thin GaAs intermediate layers and monolayers of InAs with extended growth interruptions after each layer. The height of the columnar (In,Ga)As QDs is controlled by varying the number of stacked GaAs/InAs layers. The structural and optical properties are studied by cross-sectional scanning tunneling microscopy, atomic force microscopy, and photoluminescence spectroscopy. With increase of the aspect ratio of the columnar QDs, the emission wavelength is redshifted and the linewidth is reduced

  5. Compositional and structural characterisation of GaSb and GaInSb

    International Nuclear Information System (INIS)

    Corregidor, V.; Alves, E.; Alves, L.C.; Barradas, N.P.; Duffar, Th.; Franco, N.; Marques, C.; Mitric, A.

    2005-01-01

    Low band gap III-V semiconductors are researched for applications in thermophotovoltaic technology. GaSb crystal is often used as a substrate. Ga 1-x In x Sb is also a promising substrate material, because its lattice parameters can be adjusted by controlling x. We used a new method to synthesise GaSb and GaInSb, in which a high frequency alternate magnetic field is used to heat, to melt and to mix the elements. We present a compositional and structural characterisation of the materials using a combination of complementary techniques. Rutherford backscattering was used to determine accurately the composition of the GaSb. With proton induced X-ray emission in conjunction with a 3 x 3 μm 2 micro-beam we studied the homogeneity of the samples. Structural analysis and phase identification were done with X-ray diffraction. The results for GaSb show a homogeneous composition while the GaInSb samples were found to be strongly heterogeneous at the end of the ingot. The ingots produced are competitive feed material, when compared to other growth techniques, to be used in a second step for the production of good quality ternary crystals

  6. Atmospheric pressure-MOVPE growth of GaSb/GaAs quantum dots

    Science.gov (United States)

    Tile, Ngcali; Ahia, Chinedu C.; Olivier, Jaco; Botha, Johannes Reinhardt

    2018-04-01

    This study focuses on the growth of GaSb/GaAs quantum dots (QD) using an atmospheric pressure MOVPE system. For the best uncapped dots, the average dot height, base diameter and density are 5 nm, 45 nm and 4.5×1010 cm-2, respectively. Capping of GaSb QDs at high temperatures caused flattening and formation of thin inhomogeneous GaSb layer inside GaAs resulting in no obvious QD PL peak. Capping at low temperatures lead to the formation of dot-like features and a wetting layer (WL) with distinct PL peaks for QD and WL at 1097 nm and 983 nm respectively. Some of the dot-like features had voids. An increase in excitation power caused the QD and WL peaks to shift to higher energies. This is attributed to electrostatic band bending leading to triangular potential wells, typical of type-II alignment between GaAs and strained GaSb. Variable temperature PL measurements of the QD sample showed the decrease in the intensity of the WL peak to be faster than that of the QD peak as the temperature increased.

  7. Resonant circular photogalvanic effect in GaN/AlGaN heterojunctions

    NARCIS (Netherlands)

    Wittmann, B.; Golub, L. E.; Danilov, S. N.; Karch, J.; Reitmaier, C.; Kvon, Z. D.; Vinh, N. Q.; van der Meer, A. F. G.; Murdin, B.; Ganichev, S. D.

    2008-01-01

    The resonant circular photogalvanic effect is observed in wurtzite (0001)-oriented GaN low-dimensional structures excited by infrared radiation. The current is induced by angular-momentum transfer of photons to the photoexcited electrons at resonant intersubband optical transitions in a GaN/AlGaN

  8. High resolution x-ray diffraction analyses of GaN/LiGaO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Matyi, R.J. [Department of Materials Science and Engineering University of Wisconsin, Madison, WI (United States); Doolittle, W.A.; Brown, A.S. [School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, GA (United States)

    1999-05-21

    Lithium gallate (LiGaO{sub 2}) is gaining increasing attention as a potential substrate for the growth of the important semiconductor GaN. In order to better understand this material we have performed high-resolution double- and triple-axis x-ray diffraction analyses of both the starting LiGaO{sub 2} and GaN/LiGaO{sub 2} following epitaxial growth. A high-resolution triple-axis reciprocal space map of the substrate showed a sharp, well-defined crystal truncation rod and a symmetric streak of intensity perpendicular to q{sub 002}, suggesting high structural quality with mosaic spread. Triple-axis scans following GaN growth showed (1) the development of isotropic diffuse scatter around the LiGaO{sub 2} (002) reflection, (2) the presence of a semi-continuous intensity streak between the LiGaO{sub 2} (002) and GaN (0002) reflections, and (3) a compact pattern of diffuse scatter around the GaN (0002) reflection that becomes increasingly anisotropic as the growth temperature is increased. These results suggest that LiGaO{sub 2} permits the epitaxial growth of GaN with structural quality that may be superior to that observed when growth is performed on SiC or Al{sub 2}O{sub 3}. (author)

  9. Botulinum toxin detection using AlGaN /GaN high electron mobility transistors

    Science.gov (United States)

    Wang, Yu-Lin; Chu, B. H.; Chen, K. H.; Chang, C. Y.; Lele, T. P.; Tseng, Y.; Pearton, S. J.; Ramage, J.; Hooten, D.; Dabiran, A.; Chow, P. P.; Ren, F.

    2008-12-01

    Antibody-functionalized, Au-gated AlGaN /GaN high electron mobility transistors (HEMTs) were used to detect botulinum toxin. The antibody was anchored to the gate area through immobilized thioglycolic acid. The AlGaN /GaN HEMT drain-source current showed a rapid response of less than 5s when the target toxin in a buffer was added to the antibody-immobilized surface. We could detect a range of concentrations from 1to10ng/ml. These results clearly demonstrate the promise of field-deployable electronic biological sensors based on AlGaN /GaN HEMTs for botulinum toxin detection.

  10. Fermi edge singularity evidence from photoluminescence spectroscopy of AlGaAs/InGaAs/GaAs pseudomorphic HEMTs grown on (3 1 1)A GaAs substrates

    International Nuclear Information System (INIS)

    Rekaya, S.; Sfaxi, L.; Bru-Chevallier, C.; Maaref, H.

    2011-01-01

    InGaAs/AlGaAs/GaAs pseudomorphic high electron mobility transistor (P-HEMT) structures were grown by Molecular Beam Epitaxy (MBE) on (3 1 1)A GaAs substrates with different well widths, and studied by photoluminescence (PL) spectroscopy as a function of temperature and excitation density. The PL spectra are dominated by one or two spectral bands, corresponding, respectively, to one or two populated electron sub-bands in the InGaAs quantum well. An enhancement of PL intensity at the Fermi level energy (E F ) in the high-energy tail of the PL peak is clearly observed and associated with the Fermi edge singularity (FES). This is practically detected at the same energy for all samples, in contrast with energy transitions in the InGaAs channel, which are shifted to lower energy with increasing channel thickness. PL spectra at low temperature and low excitation density are used to optically determine the density of the two-dimensional electron gas (2DEG) in the InGaAs channel for different thicknesses. The results show an enhancement of the 2DEG density when the well width increases, in good agreement with our previous theoretical study.

  11. Spin dynamics in GaAs and (110)-GaAs heterostructures; Spindynamik in GaAs und (110)-GaAs-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Oertel, Stefan

    2012-07-01

    This thesis investigates the spin dynamics in both bulk GaAs and (llO)GaAs heterostructures using time- and polarization-resolved photoluminescence spectroscopy. In bulk GaAs the spin relaxation t ime is measured for the first time in the high temperature regime from 280 K to 400 K and is compared to numerical calculations. The numerical calculations are based on the spin relaxation theory of the Dyakonov-Perel mechanism effected by momentum scattering with polar optical phonons and electron-electron scattering and are in good agreement with the experimental results. Measurements of the dependence on the electron density serve to determine the energy dependent proportional factor between the electron density and the effective electron-electron scattering time. Also in bulk GaAs the interaction between the electron spin system and the nuclear spin system is investigated. The measured electron Lande g-factor under the influence of the nuclear magnetic field is used as an indicator to monitor the temporal evolution of the nuclear magnetic field under sustained dynamic nuclear polarization. Measurements with polarization modulated excitation enable the determination of the relevant time scale at which dynamic nuclear polarization takes place. Furthermore, the temporal evolution of the measured electron Lande g-factor shows the complex interplay of the dynamic nuclear polarization, the nuclear spin diffusion and the nuclear spin relaxation. In symmetric (110)-GaAs quantum wells the dependence of the inplane anisotropy of the electron Lande g-factor on the quantum well thickness is determined experimentally. The measurements are in very good agreement with calculations based upon k . p-theory and reveal a maximum of the anisotropy at maximum carrier localization in the quantum well. The origin of the anisotropy that is not present in symmetric (001) quantum wells is qualitatively described by means of a simplified model based on fourth-order perturbation theory. A

  12. Ga vacancy induced ferromagnetism enhancement and electronic structures of RE-doped GaN

    International Nuclear Information System (INIS)

    Zhong Guohua; Zhang Kang; He Fan; Ma Xuhang; Lu Lanlan; Liu Zhuang; Yang Chunlei

    2012-01-01

    Because of their possible applications in spintronic and optoelectronic devices, GaN dilute magnetic semiconductors (DMSs) doped by rare-earth (RE) elements have attracted much attention since the high Curie temperature was obtained in RE-doped GaN DMSs and a colossal magnetic moment was observed in the Gd-doped GaN thin film. We have systemically studied the GaN DMSs doped by RE elements (La, Ce-Yb) using the full-potential linearized augmented plane wave method within the framework of density functional theory and adding the considerations of the electronic correlation and the spin-orbital coupling effects. We have studied the electronic structures of DMSs, especially for the contribution from f electrons. The origin of magnetism, magnetic interaction and the possible mechanism of the colossal magnetic moment were explored. We found that, for materials containing f electrons, electronic correlation was usually strong and the spin-orbital coupling was sometimes crucial in determining the magnetic ground state. It was found that GaN doped by La was non-magnetic. GaN doped by Ce, Nd, Pm, Eu, Gd, Tb and Tm are stabilized at antiferromagnetic phase, while GaN doped by other RE elements show strong ferromagnetism which is suitable materials for spintronic devices. Moreover, we have identified that the observed large enhancement of magnetic moment in GaN is mainly caused by Ga vacancies (3.0μB per Ga vacancy), instead of the spin polarization by magnetic ions or originating from N vacancies. Various defects, such as substitutional Mg for Ga, O for N under the RE doping were found to bring a reduction of ferromagnetism. In addition, intermediate bands were observed in some systems of GaN:RE and GaN with intrinsic defects, which possibly opens the potential application of RE-doped semiconductors in the third generation high efficiency photovoltaic devices.

  13. GaAsP on GaP top solar cells

    Science.gov (United States)

    Mcneely, J. B.; Negley, G. H.; Barnett, A. M.

    1985-01-01

    GaAsP on GaP top solar cells as an attachment to silicon bottom solar cells are being developed. The GaAsP on GaP system offers several advantages for this top solar cell. The most important is that the gallium phosphide substrate provides a rugged, transparent mechanical substrate which does not have to be removed or thinned during processing. Additional advantages are that: (1) gallium phosphide is more oxidation resistant than the III-V aluminum compounds, (2) a range of energy band gaps higher than 1.75 eV is readily available for system efficiency optimization, (3) reliable ohmic contact technology is available from the light-emitting diode industry, and (4) the system readily lends itself to graded band gap structures for additional increases in efficiency.

  14. Characterization of GaN/AlGaN epitaxial layers grown by ...

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical ... reported by introducing annealing of the GaN layer in nitrogen [5], Fe doping [6], .... [2] Y F Wu, S M Wood, R P Smith, S Sheppard, S T Allen, P Parikh and J Milligan,.

  15. Radiative and non-radiative recombination in GaInN/GaN quantum wells

    International Nuclear Information System (INIS)

    Netzel, C.

    2007-01-01

    The studies presented in this thesis deal with the occurence of V defectsin GaInN/GaN quantum film structures grown by means of organometallic gas phase epitaxy, and the effects, which have the V defects respectively the GaInN quantum films on the V-defect facets on the emission and recombination properties of the whole GaInN/GaN quantum film structure. The V-defects themselves, inverse pyramidal vacancies with hexagonal base in the semiconductor layers, arise under suitable growth conditions around the percussion violations, which extend in lattice-mismatched growth of GaN on the heterosubstrates sapphire or silicon carbide starting in growth direction through the crystal. If GaInN layers are grown over V-defect dispersed layers on the (1-101) facets of the V defects and the (0001) facets, the growth front of the structure, different growth velocities are present, which lead to differently wide GaInN quantum films on each facets

  16. Two-dimensional electron gas in AlGaN/GaN heterostructures

    International Nuclear Information System (INIS)

    Li, J.Z.; Lin, J.Y.; Jiang, H.X.; Khan, M.A.; Chen, Q.

    1997-01-01

    The formation of a two-dimensional electron gas (2DEG) system by an AlGaN/GaN heterostructure has been further confirmed by measuring its electrical properties. The effect of persistent photoconductivity (PPC) has been observed and its unique features have been utilized to study the properties of 2DEG formed by the AlGaN/GaN heterointerface. Sharp electronic transitions from the first to the second subbands in the 2DEG channel have been observed by monitoring the 2DEG carrier mobility as a function of carrier concentration through the use of PPC. These results are expected to have significant implications on field-effect transistor and high electron mobility transistor applications based on the GaN system. copyright 1997 American Vacuum Society

  17. Photoelectric characteristics of metal-Ga{sub 2}O{sub 3}-GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Kalygina, V. M., E-mail: Kalygina@ngs.ru; Vishnikina, V. V.; Petrova, Yu. S.; Prudaev, I. A.; Yaskevich, T. M. [National Research Tomsk State University (Russian Federation)

    2015-03-15

    We investigate the effect of thermal annealing in argon and of oxygen plasma processing on the photoelectric properties of GaAs-Ga{sub 2}O{sub 3}-Me structures. Gallium-oxide films are fabricated by photostimulated electrochemical oxidation of epitaxial gallium-arsenide layers with n-type conductivity. The as-deposited films were amorphous, but their processing in oxygen plasma led to the nucleation of β-Ga{sub 2}O{sub 3} crystallites. The unannealed films are nontransparent in the visible and ultraviolet (UV) ranges and there is no photocurrent in structures based on them. After annealing at 900°C for 30 min, the gallium-oxide films contain only β-Ga{sub 2}O{sub 3} crystallites and become transparent. Under illumination of the Ga{sub 2}O{sub 3}-GaAs structures with visible light, the photocurrent appears. This effect can be attributed to radiation absorption in GaAs. The photocurrent and its voltage dependence are determined by the time of exposure to the oxygen plasma. In the UV range, the sensitivity of the structures increases with decreasing radiation wavelength, starting at λ ≤ 230 nm. This is due to absorption in the Ga{sub 2}O{sub 3} film. Reduction in the structure sensitivity with an increase in the time of exposure to oxygen plasma can be caused by the incorporation of defects both at the Ga{sub 2}O{sub 3}-GaAs interface and in the Ga{sub 2}O{sub 3} film.

  18. Density Functional Theory Study on Defect Feature of AsGaGaAs in Gallium Arsenide

    Directory of Open Access Journals (Sweden)

    Deming Ma

    2015-01-01

    Full Text Available We investigate the defect feature of AsGaGaAs defect in gallium arsenide clusters in detail by using first-principles calculations based on the density functional theory (DFT. Our calculations reveal that the lowest donor level of AsGaGaAs defect on the gallium arsenide crystal surface is 0.85 eV below the conduction band minimum, while the lowest donor level of the AsGaGaAs defect inside the gallium arsenide bulk is 0.83 eV below the bottom of the conduction band, consistent with gallium arsenide EL2 defect level of experimental value (Ec-0.82 eV. This suggests that AsGaGaAs defect is one of the possible gallium arsenide EL2 deep-level defects. Moreover, our results also indicate that the formation energies of internal AsGaGaAs and surface AsGaGaAs defects are predicted to be around 2.36 eV and 5.54 eV, respectively. This implies that formation of AsGaGaAs defect within the crystal is easier than that of surface. Our results offer assistance in discussing the structure of gallium arsenide deep-level defect and its effect on the material.

  19. Growth and characterization of cubic AlGaN/GaN based devices

    Energy Technology Data Exchange (ETDEWEB)

    Potthast, S.

    2006-11-15

    Cubic GaN and AlGaN layers are grown by radio frequency plasma assisted molecular beam epitaxy on freestanding 3C-SiC (001) substrates. Detailed analysis of the substrate quality reveal a direct dependence of the roughness of the 3C-SiC on the dislocation density. Additionally a strong influence of the substrate quality on the quality of cubic GaN layers is found. GaN, AlGaN and AlN buffer layers grown at different temperatures are used to improve the structural properties of the c-GaN buffer. Best values are obtained for AlN buffers deposited at T{sub Subs}=720 C. Furthermore, the growth temperature of the buffer itself is varied. Optimized results are found for T{sub Subs}=720 C grown under a Ga coverage of one monolayer. On top of the GaN buffer, AlGaN films (0Ga coverages of one monolayer and much greater than one monolayer. A linear dependence between the Al metal flux and the Al mole fraction is measured. Investigation of the growth front using reflection high energy electron diffraction as a probe, show a predominant two-dimensional growth mode. With increasing Al mole fraction, a change in the resistivity of the AlGaN layer is observed due to the gettering of oxygen by aluminum and the variation of the oxygen ionization energy as a function of the Al content. Schottky diodes are fabricated on GaN and AlGaN using nickel as contact material. A strong deviation of the current voltage characteristics from thermionic emission theory is found, measuring anormal high leakage current, caused by the presence of oxygen donors near the surface. It is investigated, that thermal annealing in air reduces the reverse current by three orders of magnitude. AlGaN/GaN are used to fabricate heterojunction field effect transistor structures. Analysis of the capacitance-voltage characteristics at T=150 K revealed clear evidence for the existence of a two-dimensional electron gas, and a sheet carrier concentration of about 1.6 x 10{sup 12}cm{sup -2} is

  20. Surface Morphology Evolution Mechanisms of InGaN/GaN Multiple Quantum Wells with Mixture N2/H2-Grown GaN Barrier.

    Science.gov (United States)

    Zhou, Xiaorun; Lu, Taiping; Zhu, Yadan; Zhao, Guangzhou; Dong, Hailiang; Jia, Zhigang; Yang, Yongzhen; Chen, Yongkang; Xu, Bingshe

    2017-12-01

    Surface morphology evolution mechanisms of InGaN/GaN multiple quantum wells (MQWs) during GaN barrier growth with different hydrogen (H 2 ) percentages have been systematically studied. Ga surface-diffusion rate, stress relaxation, and H 2 etching effect are found to be the main affecting factors of the surface evolution. As the percentage of H 2 increases from 0 to 6.25%, Ga surface-diffusion rate and the etch effect are gradually enhanced, which is beneficial to obtaining a smooth surface with low pits density. As the H 2 proportion further increases, stress relaxation and H 2 over- etching effect begin to be the dominant factors, which degrade surface quality. Furthermore, the effects of surface evolution on the interface and optical properties of InGaN/GaN MQWs are also profoundly discussed. The comprehensive study on the surface evolution mechanisms herein provides both technical and theoretical support for the fabrication of high-quality InGaN/GaN heterostructures.

  1. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  2. InGaN stress compensation layers in InGaN/GaN blue LEDs with step graded electron injectors

    Science.gov (United States)

    Sheremet, V.; Gheshlaghi, N.; Sözen, M.; Elçi, M.; Sheremet, N.; Aydınlı, A.; Altuntaş, I.; Ding, K.; Avrutin, V.; Özgür, Ü.; Morkoç, H.

    2018-04-01

    We investigate the effect of InGaN stress compensation layer on the properties of light emitting diodes based on InGaN/GaN multiple quantum well (MQW) structures with step-graded electron injectors. Insertion of an InGaN stress compensation layer between n-GaN and the step graded electron injector provides, among others, strain reduction in the MQW region and as a result improves epitaxial quality that can be observed by 15-fold decrease of V-pit density. We observed more uniform distribution of In between quantum wells in MQW region from results of electro- and photoluminescence measurement. These structural improvements lead to increasing of radiant intensity by a factor of 1.7-2.0 and enhancement of LED efficiency by 40%.

  3. Growth of InGaAs/GaAsP multiple quantum well solar cells on mis-orientated GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sodabanlu, Hassanet, E-mail: sodabanlu@hotaka.t.u-tokyo.ac.jp; Wang, Yunpeng; Watanabe, Kentaroh [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Sugiyama, Masakazu [Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Institute of Engineering Innovation, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Nakano, Yoshiaki [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan)

    2014-06-21

    The effects of growth temperature on the properties of InGaAs/GaAsP multiple quantum well (MQW) solar cells on various mis-orientated GaAs substrates were studied using metalorganic vapor phase epitaxy. Thickness modulation effect caused by mismatch strain of InGaAs/GaAsP could be suppressed by low growth temperature. Consequently, abrupt MQWs with strong light absorption could be deposited on mis-oriented substrates. However, degradation in crystal quality and impurity incorporation are the main drawbacks with low temperature growth because they tend to strongly degraded carrier transport and collection efficiency. MQW solar cells grown at optimized temperature showed the better conversion efficiency. The further investigation should focus on improvement of crystal quality and background impurities.

  4. An improved EEHEMT model for kink effect on AlGaN/GaN HEMT

    International Nuclear Information System (INIS)

    Cao Meng-Yi; Lu Yang; Chen Yong-He; Zheng Jia-Xin; Ma Xiao-Hua; Hao Yue; Wei Jia-Xing; Li Wei-Jun

    2014-01-01

    In this paper, a new current expression based on both the direct currect (DC) characteristics of the AlGaN/GaN high election mobility transistor (HEMT) and the hyperbolic tangent function tanh is proposed, by which we can describe the kink effect of the AlGaN/GaN HEMT well. Then, an improved EEHEMT model including the proposed current expression is presented. The simulated and measured results of I–V, S-parameter, and radio frequency (RF) large-signal characteristics are compared for a self-developed on-wafer AlGaN/GaN HEMT with ten gate fingers each being 0.4-μm long and 125-μm wide (Such an AlGaN/GaN HEMT is denoted as AlGaN/GaN HEMT (10 × 125 μm)). The improved large signal model simulates the I–V characteristic much more accurately than the original one, and its transconductance and RF characteristics are also in excellent agreement with the measured data. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Growth mechanism of InGaN nanodots on three-dimensional GaN structures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Donghwy; Min, Daehong; Nam, Okhyun [Department of Nano-Optical Engineering, Convergence Center for Advanced Nano-Semiconductor (CANS), Korea Polytechnic University (KPU), Siheung-si, Gyeonggi-do (Korea, Republic of)

    2017-07-15

    In this study, we investigated the growth mechanism of indium gallium nitride (InGaN) nanodots (NDs) and an InGaN layer, which were simultaneously formed on a three-dimensional (3D) gallium nitride (GaN) structure, having (0001) polar, (11-22) semi-polar, and (11-20) nonpolar facets. We observed the difference in the morphological and compositional properties of the InGaN structures. From the high resolution transmission electron microscopy (HR-TEM) images, it can be seen that the InGaN NDs were formed only on the polar and nonpolar facets, whereas an InGaN layer was formed on the semi-polar facet. The indium composition variation in all the InGaN structures was observed using scanning transmission electron microscopy (STEM) and the energy dispersive X-ray spectroscopy (EDS). The different growth mechanism can be explained by two reasons: (i) The difference in the diffusivities of indium and gallium adatoms at each facet of 3D GaN structure; and (ii) the difference in the kinetic Wulff plots of polar, semi-polar, and nonpolar GaN planes. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  7. GA-4/GA-9 honeycomb impact limiter tests and analytical model

    International Nuclear Information System (INIS)

    Koploy, M.A.; Taylor, C.S.

    1991-01-01

    General Atomics (GA) has a test program underway to obtain data on the behavior of a honeycomb impact limiter. The program includes testing of small samples to obtain basic information, as well as testing of complete 1/4-scale impact limiters to obtain load-versus-deflection curves for different crush orientations. GA has used the test results to aid in the development of an analytical model to predict the impact limiter loads. The results also helped optimize the design of the impact limiters for the GA-4 and GA-9 Casks

  8. Limitations of In(Ga)As/GaAs quantum dot growth

    International Nuclear Information System (INIS)

    Lenz, Andrea; Timm, Rainer; Eisele, Holger; Ivanova, Lena; Sellin, Roman L.; Pohl, Udo W.; Bimberg, Dieter; Daehne, Mario; Liu, Huiyun; Hopkinson, Mark

    2008-01-01

    Large In(Ga)As/GaAs quantum dots (QDs) with an emission wavelength of 1.3 μm are of widespread interest for devices in optoelectronics. Two different growth strategies to achieve those larger QDs are - among others - the overgrowth with a strain-reducing InGaAs layer or the growth of InAs QDs within InGaAs quantum wells. Using cross-sectional scanning tunneling microscopy (XSTM) we studied such In(Ga)As QD samples grown with MOCVD and MBE. In both cases the intended size increase of the QDs is confirmed, but it is accompanied by some QDs containing a material hole, and hence will not contribute to the luminescence. We present atomically-resolved XSTM images of these defects and discuss the similarities and differences between the two samples. In addition, we developed growth models considering the strain and the limited growth kinetics during capping, demonstrating the limits of larger QD growth

  9. Picosecond electron bunches from GaAs/GaAsP strained superlattice photocathode

    International Nuclear Information System (INIS)

    Jin, Xiuguang; Matsuba, Shunya; Honda, Yosuke; Miyajima, Tsukasa; Yamamoto, Masahiro; Utiyama, Takashi; Takeda, Yoshikazu

    2013-01-01

    GaAs/GaAsP strained superlattices are excellent candidates for use as spin-polarized electron sources. In the present study, picosecond electron bunches were successfully generated from such a superlattice photocathode. However, electron transport in the superlattice was much slower than in bulk GaAs. Transmission electron microscopy observations revealed that a small amount of variations in the uniformity of the layers was present in the superlattice. These variations lead to fluctuations in the superlattice mini-band structure and can affect electron transport. Thus, it is expected that if the periodicity of the superlattice can be improved, much faster electron bunches can be produced. - Highlights: • GaAs/GaAsP strained superlattices are excellent candidates for spin-polarized electron beam. • Pulse spin-polarized electron beam is required for investigating the magnetic domain change. • Picosecond electron bunches were achieved from GaAs/GaAsP superlattice photocathode. • TEM observation revealed a small disorder of superlattice layers. • Improvement of superlattice periodicity can achieve much faster electron bunches

  10. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  11. Strong correlation and ferromagnetism in (Ga,Mn)As and (Ga,Mn)N

    International Nuclear Information System (INIS)

    Filippetti, A.; Spaldin, N.A.; Sanvito, S.

    2005-01-01

    The band energies of the ferromagnetic diluted magnetic semiconductors (Ga,Mn)As and (Ga,Mn)N are calculated using a self-interaction-free approach which describes covalent and strongly correlated electrons without adjustable parameters. Both materials are half-metallic, although the contribution of Mn-derived d states to the bands around the Fermi energy is very different in the two cases. In (Ga,Mn)As the bands are strongly p-d hybridized, with a dominance of As p states. In contrast in (Ga,Mn)N the Fermi energy lies within three flat bands of mainly d character that are occupied by two electrons. Thus the Mn ion in (Ga,Mn)N behaves as a deep trap acceptor, with the hole at 1.39 eV above the GaN valence band top, and is in excellent agreement with the experimental data

  12. Polarization-induced Zener tunnel diodes in GaN/InGaN/GaN heterojunctions

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Xiaodong; Li, Wenjun; Islam, S. M.; Pourang, Kasra; Fay, Patrick [Department of Electrical Engineering, University of Notre Dame, Notre Dame, Indiana 46556 (United States); Xing, Huili; Jena, Debdeep, E-mail: djena@cornell.edu [Department of Electrical Engineering, University of Notre Dame, Notre Dame, Indiana 46556 (United States); Departments of ECE and MSE, Cornell University, Ithaca, New York 14853 (United States)

    2015-10-19

    By the insertion of thin In{sub x}Ga{sub 1−x}N layers into Nitrogen-polar GaN p-n junctions, polarization-induced Zener tunnel junctions are studied. The reverse-bias interband Zener tunneling current is found to be weakly temperature dependent, as opposed to the strongly temperature-dependent forward bias current. This indicates tunneling as the primary reverse-bias current transport mechanism. The Indium composition in the InGaN layer is systematically varied to demonstrate the increase in the interband tunneling current. Comparing the experimentally measured tunneling currents to a model helps identify the specific challenges in potentially taking such junctions towards nitride-based polarization-induced tunneling field-effect transistors.

  13. Polarization-induced Zener tunnel diodes in GaN/InGaN/GaN heterojunctions

    International Nuclear Information System (INIS)

    Yan, Xiaodong; Li, Wenjun; Islam, S. M.; Pourang, Kasra; Fay, Patrick; Xing, Huili; Jena, Debdeep

    2015-01-01

    By the insertion of thin In x Ga 1−x N layers into Nitrogen-polar GaN p-n junctions, polarization-induced Zener tunnel junctions are studied. The reverse-bias interband Zener tunneling current is found to be weakly temperature dependent, as opposed to the strongly temperature-dependent forward bias current. This indicates tunneling as the primary reverse-bias current transport mechanism. The Indium composition in the InGaN layer is systematically varied to demonstrate the increase in the interband tunneling current. Comparing the experimentally measured tunneling currents to a model helps identify the specific challenges in potentially taking such junctions towards nitride-based polarization-induced tunneling field-effect transistors

  14. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. AlGaAs and AlGaAs/GaAs/AlGaAs nanowires grown by molecular beam epitaxy on silicon substrates

    DEFF Research Database (Denmark)

    Cirlin, G E; Reznik, R R; Shtrom, I V

    2017-01-01

    The data on growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on different (1 1 1) substrates by Au-assisted molecular beam epitaxy are presented. The influence of nanowires growth conditions on structural and optical properties is studied in detail...

  16. Effects of underlying InGaN/GaN superlattice structures on the structural and optical properties of InGaN LEDs

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Chia-Lung, E-mail: cltsai@mail.cgu.edu.tw

    2016-06-15

    This study proposes the use of InGaN/GaN superlattices grown beneath InGaN multiple quantum wells (MQWs) and designed with different well widths to act as an electron emitter layer (EEL). Cross-sectional transmission electron microscopy reveals strong indium segregation in the underlying superlattices with a 5-nm-thick In{sub 0.1}Ga{sub 0.9}N well, thus corrupting the crystalline perfection of the resulting LEDs, and also increasing their leakage current. It was also found that the depth of the localized states increases with the well width of the underlying superlattices. In the proposed LEDs, variation in the biaxial strains of the superlattice EELs with different well widths results in an increase in indium incorporation of InGaN MQWs, thus obtaining a redshifted photoluminescence emission with respect to that of normal LED. Furthermore, the presence of relatively strong carrier localization and the alleviation of electron leakage from the InGaN MQWs results in improved light output performance from the proposed LEDs grown with a narrow In{sub 0.1}Ga{sub 0.9}N well in the underlying superlattices. Although growth in a wide In{sub 0.1}Ga{sub 0.9}N well (~3.5 nm) containing underlying superlattices suffers from poor crystalline quality due to partial strain relaxation, it resulted in improved roll-off behavior in terms of light intensity. This may be due to the improved hot electron cooling capacity mitigating the extent of carrier leakage. - Highlights: • In{sub 0.1}Ga{sub 0.9}N/GaN superlattices are used as an electron emitter layer. • Improved LED performance can be achieved using a narrow In{sub 0.1}Ga{sub 0.9}N well. • A wider well can further reduce carrier leakage despite poor quality is presented.

  17. Assembly of phosphonic acids on GaN and AlGaN

    Energy Technology Data Exchange (ETDEWEB)

    Simpkins, B S; Stine, R; Theodore, N D; Pehrsson, P E [Chemistry Division, Naval Research Laboratory, Washington DC (United States); Hong, S [Thomas Jefferson High School, McClean, VA (United States); Maekinen, A J [Optical Sciences Division, Naval Research Laboratory, Washington, DC (United States); Mastro, M A; Eddy, C R Jr [Electronics Science and Technology Division, Naval Research Laboratory, Washington, DC (United States)

    2010-01-13

    Self-assembled monolayers of octadecylphosphonic acid and 16-phosphonohexadecanoic acid (PHDA) were formed on the semiconductor substrates gallium nitride (GaN) and aluminium gallium nitride (AlGaN). The presence of the molecular layers was verified through x-ray photoelectron spectroscopy and ultraviolet photoelectron spectroscopy. Structural information was acquired with infrared spectroscopy which verified the bonding orientation of the carboxyl-containing PHDA. The impact of the molecular layers on the channel conductivity and the surface electronic structure of an AlGaN/GaN heterostructure was measured. Our results indicate that pinning of the surface Fermi level prohibits modification of the channel conductivity by the layer. However, a surface dipole of {approx}0.8 eV is present and associated with both phosphonic acid layers. These results are of direct relevance to field-effect-based biochemical sensors and metal-semiconductor contact formation for this system and provide a fundamental basis for further applications of GaN and AlGaN technology in the fields of biosensing and microelectronics.

  18. Growth of high quality GaN epilayer on AlInN/GaN/AlInN/GaN multilayer buffer and its device characteristics

    International Nuclear Information System (INIS)

    Lee, Suk-Hun; Lee, Hyun-Hwi; Jung, Jong-Jae; Moon, Young-Bu; Kim, Tae Hoon; Baek, Jong Hyeob; Yu, Young Moon

    2004-01-01

    The role of AlInN 1st /GaN/AlInN 2nd /GaN multi-layer buffer (MLB) on the growth of the high quality GaN epilayers was demonstrated by atomic force microscope (AFM), X-ray diffraction (XRD), photoluminescence, and Hall measurement. The surface morphology and crystalline quality of GaN epilayers were considerably dependent on AlInN layers thicknesses rather than those of GaN inter layers. With optimal thickness of 2 nd AlInN layer, the pit density of GaN epilayers was substantially reduced. Also, the RMS roughness of the well ordered terraces generated on the GaN surface was 1.8 A at 5 x 5 μm 2 . The omega-rocking width of GaN(0002) Bragg peak and Hall mobility of GaN epilayers grown on AlInN 1st /GaN/AlInN 2nd /GaN MLB were 190 arcsec and 500 cm 2 /Vs, while those values of GaN epilayers on single GaN buffer layer were 250 arcsec and 250 cm 2 /Vs, respectively. Especially, the light output power and operating voltage of the fabricated light emitting diodes with this new buffer layer was about 5 mW and 3.1 V (dominant luminous wavelength ∝460 nm) at 20 mA, respectively. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Scanning tunneling microscopy and spectroscopy on GaN and InGaN surfaces; Rastertunnelmikroskopie und -spektroskopie an GaN- und InGaN-Oberflaechen

    Energy Technology Data Exchange (ETDEWEB)

    Krueger, David

    2009-12-02

    Optelectronic devices based on gallium nitride (GaN) and indium gallium nitride (InGaN) are in the focus of research since more than 20 years and still have great potential for optical applications. In the first part of this work non-polar surfaces of GaN are investigated by scanning electron microscopy (SEM), atomic force microscopy (AFM) and scanning tunneling microscopy (STM). In SEM and AFM, the (1 anti 100)- and especially the (anti 2110)-plane are quite corrugated. For the first time, the (anti 2110)-plane of GaN is atomically resolved in STM. In the second part InGaN quantum dot layers are investigated by X-ray photoelectron spectroscopy (XPS), scanning tunneling spectroscopy (STS) and STM. The STMmeasurements show the dependency of surface morphology on growth conditions in the metalorganic vapour phase epitaxy (MOVPE). Nucleation, a new MOVPE-strategy, is based on phase separations on surfaces. It is shown that locally varying density of states and bandgaps can be detected by STS, that means bandgap histograms and 2D-bandgap-mapping. (orig.)

  20. Breakdown mechanisms in AlGaN/GaN high electron mobility transistors with different GaN channel thickness values

    International Nuclear Information System (INIS)

    Ma Xiao-Hua; Zhang Ya-Man; Chen Wei-Wei; Wang Xin-Hua; Yuan Ting-Ting; Pang Lei; Liu Xin-Yu

    2015-01-01

    In this paper, the off-state breakdown characteristics of two different AlGaN/GaN high electron mobility transistors (HEMTs), featuring a 50-nm and a 150-nm GaN thick channel layer, respectively, are compared. The HEMT with a thick channel exhibits a little larger pinch-off drain current but significantly enhanced off-state breakdown voltage (BV off ). Device simulation indicates that thickening the channel increases the drain-induced barrier lowering (DIBL) but reduces the lateral electric field in the channel and buffer underneath the gate. The increase of BV off in the thick channel device is due to the reduction of the electric field. These results demonstrate that it is necessary to select an appropriate channel thickness to balance DIBL and BV off in AlGaN/GaN HEMTs. (paper)

  1. Phonon replica dynamics in high quality GaN epilayers and AlGaN/GaN quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    Alderighi, D.; Vinattieri, A.; Colocci, M. [Ist. Nazionale Fisica della Materia, Firenze (Italy); Dipt. di Fisica and LENS, Firenze (Italy); Bogani, F. [Ist. Nazionale Fisica della Materia, Firenze (Italy); Dipt. di Energetica, Firenze (Italy); Gottardo, S. [Dipt. di Fisica and LENS, Firenze (Italy); Grandjean, N.; Massies, J. [Centre de Recherche sur l' Hetero-Epitaxie et ses Applications, CNRS, Valbonne (France)

    2001-01-01

    We present an experimental study of the exciton and phonon replica dynamics in high quality GaN epilayers and AlGaN/GaN quantum wells (QW) by means of picosecond time-resolved photoluminescence (PL) measurements. A non-exponential decay is observed both at the zero phonon line (ZPL) and at the n = 1 LO replica. Time-resolved spectra unambiguously assign the replica to the free exciton A recombination. Optical migration effects are detected both in the epilayer and the QWs samples and disappear as the temperature increases up to 60-90 K. Even though the sample quality is comparable to state-of-the-art samples, localization effects dominate the exciton dynamics at low temperature in the studied GaN based structures. (orig.)

  2. Differences between GaAs/GaInP and GaAs/AlInP interfaces grown by movpe revealed by depth profiling and angle-resolved X-ray photoelectron spectroscopies

    International Nuclear Information System (INIS)

    López-Escalante, M.C.; Gabás, M.; García, I.; Barrigón, E.; Rey-Stolle, I.; Algora, C.; Palanco, S.; Ramos-Barrado, J.R.

    2016-01-01

    Graphical abstract: - Highlights: • GaAs, AlInP and GaInP epi-layers grown in a MOVPE facility. • GaAs/GaInP and GaAs/AlInP interfaces studied through the combination of angle resolved and depth profile X-ray photoelectros spectroscopies. • GaAs/GaInP interface shows no features appart from GaAs, GaInP and mixed GaInAs or GaInAsP phases. • GaAs/AlInP interface shows traces of an anomalous P environment, probably due to P-P clusters. - Abstract: GaAs/GaInP and GaAs/AlInP interfaces have been studied using photoelectron spectroscopy tools. The combination of depth profile through Ar + sputtering and angle resolved X-ray photoelectron spectroscopy provides reliable information on the evolution of the interface chemistry. Measurement artifacts related to each particular technique can be ruled out on the basis of the results obtained with the other technique. GaAs/GaInP interface spreads out over a shorter length than GaAs/AlInP interface. The former could include the presence of the quaternary GaInAsP in addition to the nominal GaAs and GaInP layers. On the contrary, the GaAs/AlInP interface exhibits a higher degree of compound mixture. Namely, traces of P atoms in a chemical environment different to the usual AlInP coordination were found at the top of the GaAs/AlInP interface, as well as mixed phases like AlInP, GaInAsP or AlGaInAsP, located at the interface.

  3. Differences between GaAs/GaInP and GaAs/AlInP interfaces grown by movpe revealed by depth profiling and angle-resolved X-ray photoelectron spectroscopies

    Energy Technology Data Exchange (ETDEWEB)

    López-Escalante, M.C., E-mail: mclopez@uma.es [Nanotech Unit, Laboratorio de Materiales y Superficies, Departamento de Ingeniería Química, Facultad de Ciencias, Universidad de Málaga, 29071 Málaga (Spain); Gabás, M. [The Nanotech Unit, Depto. de Física Aplicada I, Andalucía Tech, Universidad de Málaga, Campus de Teatinos s/n, 29071 Málaga Spain (Spain); García, I.; Barrigón, E.; Rey-Stolle, I.; Algora, C. [Instituto de Energía Solar, Universidad Politécnica de Madrid, Avda. Complutense 30, 28040 Madrid Spain (Spain); Palanco, S.; Ramos-Barrado, J.R. [The Nanotech Unit, Depto. de Física Aplicada I, Andalucía Tech, Universidad de Málaga, Campus de Teatinos s/n, 29071 Málaga Spain (Spain)

    2016-01-01

    Graphical abstract: - Highlights: • GaAs, AlInP and GaInP epi-layers grown in a MOVPE facility. • GaAs/GaInP and GaAs/AlInP interfaces studied through the combination of angle resolved and depth profile X-ray photoelectros spectroscopies. • GaAs/GaInP interface shows no features appart from GaAs, GaInP and mixed GaInAs or GaInAsP phases. • GaAs/AlInP interface shows traces of an anomalous P environment, probably due to P-P clusters. - Abstract: GaAs/GaInP and GaAs/AlInP interfaces have been studied using photoelectron spectroscopy tools. The combination of depth profile through Ar{sup +} sputtering and angle resolved X-ray photoelectron spectroscopy provides reliable information on the evolution of the interface chemistry. Measurement artifacts related to each particular technique can be ruled out on the basis of the results obtained with the other technique. GaAs/GaInP interface spreads out over a shorter length than GaAs/AlInP interface. The former could include the presence of the quaternary GaInAsP in addition to the nominal GaAs and GaInP layers. On the contrary, the GaAs/AlInP interface exhibits a higher degree of compound mixture. Namely, traces of P atoms in a chemical environment different to the usual AlInP coordination were found at the top of the GaAs/AlInP interface, as well as mixed phases like AlInP, GaInAsP or AlGaInAsP, located at the interface.

  4. Crystal Structures of GaN Nanodots by Nitrogen Plasma Treatment on Ga Metal Droplets

    Directory of Open Access Journals (Sweden)

    Yang-Zhe Su

    2018-06-01

    Full Text Available Gallium nitride (GaN is one of important functional materials for optoelectronics and electronics. GaN exists both in equilibrium wurtzite and metastable zinc-blende structural phases. The zinc-blende GaN has superior electronic and optical properties over wurtzite one. In this report, GaN nanodots can be fabricated by Ga metal droplets in ultra-high vacuum and then nitridation by nitrogen plasma. The size, shape, density, and crystal structure of GaN nanodots can be characterized by transmission electron microscopy. The growth parameters, such as pre-nitridation treatment on Si surface, substrate temperature, and plasma nitridation time, affect the crystal structure of GaN nanodots. Higher thermal energy could provide the driving force for the phase transformation of GaN nanodots from zinc-blende to wurtzite structures. Metastable zinc-blende GaN nanodots can be synthesized by the surface modification of Si (111 by nitrogen plasma, i.e., the pre-nitridation treatment is done at a lower growth temperature. This is because the pre-nitridation process can provide a nitrogen-terminal surface for the following Ga droplet formation and a nitrogen-rich condition for the formation of GaN nanodots during droplet epitaxy. The pre-nitridation of Si substrates, the formation of a thin SiNx layer, could inhibit the phase transformation of GaN nanodots from zinc-blende to wurtzite phases. The pre-nitridation treatment also affects the dot size, density, and surface roughness of samples.

  5. Exploring the radiosynthesis and in vitro characteristics of [68 Ga]Ga-DOTA-Siglec-9.

    Science.gov (United States)

    Jensen, Svend B; Käkelä, Meeri; Jødal, Lars; Moisio, Olli; Alstrup, Aage K O; Jalkanen, Sirpa; Roivainen, Anne

    2017-07-01

    Vascular adhesion protein 1 is a leukocyte homing-associated glycoprotein, which upon inflammation rapidly translocates from intracellular sources to the endothelial cell surface. It has been discovered that the cyclic peptide residues 283-297 of sialic acid-binding IgG-like lectin 9 (Siglec-9) "CARLSLSWRGLTLCPSK" bind to vascular adhesion protein 1 and hence makes the radioactive analogues of this compound ([ 68 Ga]Ga-DOTA-Siglec-9) interesting as a noninvasive visualizing marker of inflammation. Three different approaches to the radiosynthesis of [ 68 Ga]Ga-DOTA-Siglec-9 are presented and compared with previously published methods. A simple, robust radiosynthesis of [ 68 Ga]Ga-DOTA-Siglec-9 with a yield of 62% (non decay-corrected) was identified, and it had a radiochemical purity >98% and a specific radioactivity of 35 MBq/nmol. Furthermore, the protein binding and stability of [ 68 Ga]Ga-DOTA-Siglec-9 were analyzed in vitro in mouse, rat, rabbit, pig, and human plasma and compared with in vivo pig results. The plasma in vitro protein binding of [ 68 Ga]Ga-DOTA-Siglec-9 was the lowest in the pig followed by rabbit, human, rat, and mouse. It was considerably higher in the in vivo pig experiments. The in vivo stability in pigs was lower than the in vitro stability. Despite considerable species differences, the observed characteristics of [ 68 Ga]Ga-DOTA-Siglec-9 are suitable as a positron emission tomography tracer. Copyright © 2017 John Wiley & Sons, Ltd.

  6. Diffusion of Ni, Ga, and As in the surface layer of GaAs and characteristics of the Ni/GaAs contact

    International Nuclear Information System (INIS)

    Uskov, V.A.; Fedotov, A.B.; Erofeeva, E.A.; Rodionov, A.I.; Dzhumakulov, D.T.

    1987-01-01

    The authors investigate the low-temperature codiffusion of Ni, Ga, and As in the surface layer of gallium arsenide and study its effect on the current-voltage characteristics of a Ni/GaAs rectifier contact. The concentration distribution of atoms in the function layer of a Ni-GaAs system was investigated by the methods of layerwise radiometric and neutron-activation analyses. It was found that interdiffusion of components takes place in the Ni-GaAs system in an elastic stress field, generated by the differences in the lattice parameters and thermal-expansion coefficients of Ni, GaAs, and the intermetallic compound which form. The form and parameters of the current-voltage characteristics of a Ni/GaAs contact are determined by the phase composition and the structure of the junction layer

  7. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  8. Polarization-enhanced InGaN/GaN-based hybrid tunnel junction contacts to GaN p-n diodes and InGaN LEDs

    Science.gov (United States)

    Mughal, Asad J.; Young, Erin C.; Alhassan, Abdullah I.; Back, Joonho; Nakamura, Shuji; Speck, James S.; DenBaars, Steven P.

    2017-12-01

    Improved turn-on voltages and reduced series resistances were realized by depositing highly Si-doped n-type GaN using molecular beam epitaxy on polarization-enhanced p-type InGaN contact layers grown using metal-organic chemical vapor deposition. We compared the effects of different Si doping concentrations and the addition of p-type InGaN on the forward voltages of p-n diodes and light-emitting diodes, and found that increasing the Si concentrations from 1.9 × 1020 to 4.6 × 1020 cm-3 and including a highly doped p-type InGaN at the junction both contributed to reductions in the depletion width, the series resistance of 4.2 × 10-3-3.4 × 10-3 Ω·cm2, and the turn-on voltages of the diodes.

  9. Polarization-enhanced InGaN/GaN-based hybrid tunnel junction contacts to GaN p–n diodes and InGaN LEDs

    KAUST Repository

    Mughal, Asad J.

    2017-11-27

    Improved turn-on voltages and reduced series resistances were realized by depositing highly Si-doped n-type GaN using molecular beam epitaxy on polarization-enhanced p-type InGaN contact layers grown using metal–organic chemical vapor deposition. We compared the effects of different Si doping concentrations and the addition of p-type InGaN on the forward voltages of p–n diodes and light-emitting diodes, and found that increasing the Si concentrations from 1.9 × 1020 to 4.6 × 1020 cm−3 and including a highly doped p-type InGaN at the junction both contributed to reductions in the depletion width, the series resistance of 4.2 × 10−3–3.4 × 10−3 Ωcenterdotcm2, and the turn-on voltages of the diodes.

  10. Polarization-enhanced InGaN/GaN-based hybrid tunnel junction contacts to GaN p–n diodes and InGaN LEDs

    KAUST Repository

    Mughal, Asad J.; Young, Erin C.; Alhassan, Abdullah I.; Back, Joonho; Nakamura, Shuji; Speck, James S.; DenBaars, Steven P.

    2017-01-01

    Improved turn-on voltages and reduced series resistances were realized by depositing highly Si-doped n-type GaN using molecular beam epitaxy on polarization-enhanced p-type InGaN contact layers grown using metal–organic chemical vapor deposition. We compared the effects of different Si doping concentrations and the addition of p-type InGaN on the forward voltages of p–n diodes and light-emitting diodes, and found that increasing the Si concentrations from 1.9 × 1020 to 4.6 × 1020 cm−3 and including a highly doped p-type InGaN at the junction both contributed to reductions in the depletion width, the series resistance of 4.2 × 10−3–3.4 × 10−3 Ωcenterdotcm2, and the turn-on voltages of the diodes.

  11. Core level photoelectron spectroscopy of LiGaS2 and Ga-S bonding in complex sulfides

    International Nuclear Information System (INIS)

    Atuchin, V.V.; Isaenko, L.I.; Kesler, V.G.; Lobanov, S.I.

    2010-01-01

    The electronic parameters of the lithium thiogallate LiGaS 2 have been evaluated by X-ray photoelectron spectroscopy (XPS). Spectral features of all constituent element core levels and Auger lines have been considered. The Ga-S bonding effects in Ga-bearing sulfide crystals have been discussed using binding energy difference Δ 2p (S-Ga) = BE(S 2p) - BE(Ga 3d) as a representative parameter to quantify the valence electron shift from gallium to sulfur atoms. The value Δ 2p (S-Ga) = 141.9 eV found for LiGaS 2 is very close to that evaluated for AgGaS 2 . This relation is an indicator of closely coincident ionicity of Ga-S bonds in LiGaS 2 and AgGaS 2 .

  12. High resolution study of proton resonances in 65Ga and 67Ga

    International Nuclear Information System (INIS)

    Sales, K.B.

    1980-01-01

    Differential cross sections were measured for 64 Zn(p,p) from 2.50 to 3.24 MeV and for 66 Zn(p,p) from 2.60 to 3.26 MeV at laboratory angles of 90 0 , 105 0 , 135 0 , and 160 0 . These experiments were performed with the Triangle Universities Nuclear Laboratory 3 MV Van de Graaff accelerator and associated electrostatic analyzer-homogenizer system. The total overall energy resolution was 420 to 525 eV for 64 Zn(p,p) and 360 to 405 eV for 66 Zn(p,p). Resonances observed in the excitation functions were analyzed with a multilevel R-Matrix formalism. Resonance energies, spins, parities, and elastic widths were extracted for 39 resonances in 65 Ga and 148 resonances in 67 Ga. The l = 0 proton strength functions were calculated for 64 Zn and 66 Zn. The s-wave strength function shows an increase for A=66, which is consistent with earlier results from (p,n) cross section studies. Statistical properties of the 1/2 + resonances in 65 Ga and 67 Ga were examined. The spacing and reduced width distributions were compared with the Wigner and Porter-Thomas distributions, respectively. This comparison indicates that 50% of the 1/2 + resonances were missed in 65 Ga and that 70% of the 1/2 + resonances were missed in 67 Ga. The observed s-wave level densities in 65 Ga and 67 Ga are compared with predictions from conventional level density models. The analogs of the 0.867 MeV, the 0.910 MeV, and the 1.370 MeV states of 65 Zn are observed in 65 Ga; the analogs of the 0.093 MeV and the 0.394 MeV states of 67 Zn are observed in 67 Ga. The analog states in 65 Ga were fragmented into only two or three resonances, while the two analog states in 67 Ga were highly fragmented. Fits to the fine structure distributions of these two analogs were obtained and the resulting parameters compared with the Robson model. Coulomb energies were extracted for these five analogs

  13. Fabrication of InGaN/GaN nanopillar light-emitting diode arrays

    DEFF Research Database (Denmark)

    Ou, Yiyu; Fadil, Ahmed; Ou, Haiyan

    Nanopillar InGaN/GaN green light-emitting diode arrays were fabricated by using self-assembled nanopatterning and dry etching process. Both internal and external quantum efficiency were increased due to strain relaxation and enhanced light extraction.......Nanopillar InGaN/GaN green light-emitting diode arrays were fabricated by using self-assembled nanopatterning and dry etching process. Both internal and external quantum efficiency were increased due to strain relaxation and enhanced light extraction....

  14. Growth and characterization of GaAs-GaSb III-V pseudo-binary nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Schamp, C.T. [Cerium Laboratories, Austin, TX 78741 (United States); Jesser, W.A. [Department of Materials Science and Engineering, 116 Engineer' s Way, University of Virginia, Charlottesville, VA 22904 (United States)

    2008-07-01

    The GaAs-GaSb pseudo-binary materials system presents an interesting challenge for growth because of 1) the existence of a miscibility gap in the solid-solid portion of the equilibrium phase diagram, and 2) the large differences in vapor pressure between the column III element, Ga, and the column V elements, As and Sb. To overcome these challenges in the growth of GaAs, GaSb, and Ga{sub 50}As{sub x}Sb{sub 50-x} alloy nanoparticles, single- and dual-target pulsed laser deposition (PLD) techniques were implemented using an Nd:YAG laser operated with a harmonic generator to utilize the second harmonic wavelength (532 nm) and a combination of the fundamental and the second harmonic wavelengths (1064 nm+532 nm). The nanoparticles were collected on amorphous carbon films for subsequent characterization by transmission electron microscopy. The analysis shows that single phase GaAs-rich Ga{sub 50}As{sub x}Sb{sub 50-x} (28>x>50) nanoparticles and nanocrystalline films have been formed through dual-target, single-wavelength (532 nm) pulsed laser ablation. Interestingly, through the ablation of the single component targets, two-phase particles were also found to form. These two phase particles resemble ''nano-ice cream cones'' with solid cones of either GaAs or GaSb with a spherical ''ice cream'' ball of Ga located at the wide portion of the cone, which is either an amorphous phase or liquid phase. Through an analysis by STEM-EDX spectroscopy, these particles are found to be consistant with this model. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Degradation of AlGaN/GaN High Electron Mobility Transistors with Different AlGaN Layer Thicknesses under Strong Electric Field

    International Nuclear Information System (INIS)

    Ling, Yang; Yue, Hao; Xiao-Hua, Ma; Jing-Jing, Ma; Cheng, Zhu

    2010-01-01

    The degradation of AlGaN/GaN high electron mobility transistors (HEMTs) has a close relationship with a model of traps in AlGaN barriers as a result of high electric field. We mainly discuss the impacts of strong electrical field on the AlGaN barrier thickness of AlGaN/GaN HEMTs. It is found that the device with a thin AlGaN barrier layer is more easily degraded. We study the degradation of four parameters, i.e. the gate series resistance R Gate , channel resistance R channel , gate current I G,off at V GS = −5 and V DS = 0.1 V, and drain current I D,max at V GS = 2 and V DS = 5 V. In addition, the degradation mechanisms of the device electrical parameters are also investigated in detail. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  16. White emission by self-regulated growth of InGaN/GaN quantum wells on in situ self-organized faceted n-GaN islands

    International Nuclear Information System (INIS)

    Fang Zhilai

    2011-01-01

    The in situ self-organization of three-dimensional n-GaN islands of distinct sidewall faceting was realized by initial low V/III ratio growth under high reactor pressure followed by variations of the V/III ratio and reactor pressure. The naturally formed faceted islands with top and sidewall facets of various specific polar angles may serve as an ideal template for self-regulated growth of the InGaN/GaN multiple quantum wells (MQWs), i.e. the growth behavior is specific polar angle dependent. Further, the growth behavior and luminescence properties of the InGaN/GaN MQWs on various facets of different specific polar angles are directly compared and discussed. Tetrachromatic white emissions (blue, cyan, green, and red) from single-chip phosphor-free InGaN/GaN MQWs are realized by color tuning through island shaping, shape variations, and self-regulated growth of the InGaN/GaN MQWs.

  17. Device Performance and Reliability Improvements of AlGaBN/GaN/Si MOSFET

    Science.gov (United States)

    2016-02-04

    AFRL-AFOSR-JP-TR-2016-0037 Device Performance and Reliablity Improvements of AlGaBN/GaN/Si MOSFET Robert Wallace UNIVERSITY OF TEXAS AT DALLAS Final...GaN/Si MOSFET 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA2386-14-1-4069 5c.  PROGRAM ELEMENT NUMBER 61102F 6. AUTHOR(S) Robert Wallace 5d.  PROJECT...AOARD Grant FA2386-14-1-4069 Device Performance and Reliability Improvements of AlGaN/GaN/Si MOSFET US 12 month extension (2014 – 2015) for current

  18. InGaN/GaN Nanowire LEDs and Lasers

    KAUST Repository

    Zhao, Chao; Ng, Tien Khee; Jahangir, Shafat; Frost, Thomas; Bhattacharya, Pallab; Ooi, Boon S.

    2016-01-01

    -droop issues. The phonon and carrier confinement in nanowires also led to junction heating, and reduced heat dissipation. In this paper, we will present our studies on effective surface states passivation in InGaN/GaN quantum-disks (Qdisks)-in-nanowire light

  19. Current transport in graphene/AlGaN/GaN vertical heterostructures probed at nanoscale.

    Science.gov (United States)

    Fisichella, Gabriele; Greco, Giuseppe; Roccaforte, Fabrizio; Giannazzo, Filippo

    2014-08-07

    Vertical heterostructures combining two or more graphene (Gr) layers separated by ultra-thin insulating or semiconductor barriers represent very promising systems for next generation electronics devices, due to the combination of high speed operation with wide-range current modulation by a gate bias. They are based on the specific mechanisms of current transport between two-dimensional-electron-gases (2DEGs) in close proximity. In this context, vertical devices formed by Gr and semiconductor heterostructures hosting an "ordinary" 2DEG can be also very interesting. In this work, we investigated the vertical current transport in Gr/Al(0.25)Ga(0.75)N/GaN heterostructures, where Gr is separated from a high density 2DEG by a ∼ 24 nm thick AlGaN barrier layer. The current transport from Gr to the buried 2DEG was characterized at nanoscale using conductive atomic force microscopy (CAFM) and scanning capacitance microscopy (SCM). From these analyses, performed both on Gr/AlGaN/GaN and on AlGaN/GaN reference samples using AFM tips with different metal coatings, the Gr/AlGaN Schottky barrier height ΦB and its lateral uniformity were evaluated, as well as the variation of the carrier densities of graphene (ngr) and AlGaN/GaN 2DEG (ns) as a function of the applied bias. A low Schottky barrier (∼ 0.40 eV) with excellent spatial uniformity was found at the Gr/AlGaN interface, i.e., lower compared to the measured values for metal/AlGaN contacts, which range from ∼ 0.6 to ∼ 1.1 eV depending on the metal workfunction. The electrical behavior of the Gr/AlGaN contact has been explained by Gr interaction with AlGaN donor-like surface states located in close proximity, which are also responsible of high n-type Gr doping (∼ 1.3 × 10(13) cm(-2)). An effective modulation of ns by the Gr Schottky contact was demonstrated by capacitance analysis under reverse bias. From this basic understanding of transport properties in Gr/AlGaN/GaN heterostructures, novel vertical field effect

  20. Growth and properties of blue/green InGaN/GaN MQWs on Si(111) substrates

    International Nuclear Information System (INIS)

    Lee, Kang Jea; Oh, Tae Su; Kim, Tae Ki; Yang, Gye Mo; Lim, Kee Young

    2005-01-01

    InGaN/GaN multiple quantum wells (MQWs) were grown on highly tensile-strained GaN films on Si(111) substrate by metalorganic chemical vapor deposition. Due to the large difference of lattice constant and thermal expansion coefficient between GaN and Si, GaN growth on Si(111) substrate usually leads to an initially high dislocation density and cracks. We demonstrate low dislocation-density and crack-free GaN films grown on Si(111) substrate by introducing an AlN/GaN strain-compensation layer and Si x N y dislocation masking layer. Blue/green-emitting InGaN/GaN MQW heterostructures have been successfully grown on Si(111) substrates. Two sets of InGaN/GaN MQWs with different In solid composition and number of pairs grown between 820 .deg. C and 900 .deg. C were studied by high-resolution X-ray diffraction and photoluminescence spectroscopy. The emission wavelengths of InGaN MQW structures were significantly dependent on growth temperature.

  1. GaAsP solar cells on GaP/Si with low threading dislocation density

    International Nuclear Information System (INIS)

    Yaung, Kevin Nay; Vaisman, Michelle; Lang, Jordan; Lee, Minjoo Larry

    2016-01-01

    GaAsP on Si tandem cells represent a promising path towards achieving high efficiency while leveraging the Si solar knowledge base and low-cost infrastructure. However, dislocation densities exceeding 10"8 cm"−"2 in GaAsP cells on Si have historically hampered the efficiency of such approaches. Here, we report the achievement of low threading dislocation density values of 4.0–4.6 × 10"6 cm"−"2 in GaAsP solar cells on GaP/Si, comparable with more established metamorphic solar cells on GaAs. Our GaAsP solar cells on GaP/Si exhibit high open-circuit voltage and quantum efficiency, allowing them to significantly surpass the power conversion efficiency of previous devices. The results in this work show a realistic path towards dual-junction GaAsP on Si cells with efficiencies exceeding 30%.

  2. Influence of annealing condition and multicycle AlGaAs/GaAs structures on the Al{sub 0.26}Ga{sub 0.74}As surface morphology

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Wenzhe; Wang, Yi; Guo, Xiang [College of Big Data and Information Engineering, Guizhou University, Guiyang 550025 (China); Luo, Zijiang [School of Education Administration, Guizhou University of Finance and Economics, Guiyang 550025 (China); Zhao, Zhen; Zhou, Haiyue [College of Big Data and Information Engineering, Guizhou University, Guiyang 550025 (China); Ding, Zhao, E-mail: zding@gzu.edu.cn [College of Big Data and Information Engineering, Guizhou University, Guiyang 550025 (China)

    2015-08-01

    Highlights: • STM study on the change of AlGaAs surface morphology with varying annealing conditions. • Interesting phenomenon that the subsequent sample has more surface roughness than the previous samples do. A physical model was proposed to explain why the multi-runs growth can increase surface roughness. • The annealing conditions of AlGaAs/GaAs surface were proposed. - Abstract: The influence of annealing temperature, As{sub 4} beam equivalent pressure and multi-runs growth on AlGaAs/GaAs structures was investigated. The real space ultrahigh vacuum scanning tunneling microscopy images showed that AlGaAs/GaAs surface morphology greatly depends on annealing conditions and initial state of surface. The reasons of the surface phenomenon are proposed, and a physical model was proposed to explain why the multi-runs growth structures can increase AlGaAs surface roughness. The reasonable preparation conditions for AlGaAs/GaAs structures were proposed.

  3. Preliminary PET/CT Imaging with Somatostatin Analogs [68Ga]DOTAGA-TATE and [68Ga]DOTAGA-TOC.

    Science.gov (United States)

    Satpati, Drishty; Shinto, Ajit; Kamaleshwaran, K K; Sarma, Haladhar Dev; Dash, Ashutosh

    2017-12-01

    Somatostatin receptor positron emission tomography/X-ray computed tomography (SSTR-PET/CT) is a well-established technique for staging and detection of neuroendocrine tumors (NETs). Ga-68-labeled DOTA-conjugated octreotide analogs are the privileged radiotracers for diagnosis and therapeutic monitoring of NETs. Hence, we were interested in assessing the influence of promising, newer variant DOTAGA on the hydrophilicity, pharmacokinetics, and lesion pick-up of somatostatin analogs. Herein, the potential of ([ 68 Ga]DOTAGA, Tyr 3 , Thr 8 ) octreotide ([ 68 Ga]DOTAGA-TATE) and ([ 68 Ga]DOTAGA, Tyr 3 ) octreotide ([ 68 Ga]DOTAGA-TOC) as NET imaging agents has been investigated. Amenability of [ 68 Ga]DOTAGA-(TATE/TOC) to kit-type formulation has been demonstrated. Biodistribution studies were carried out in normal rats at 1 h post-injection (p.i.). [ 68 Ga]DOTAGA-(TATE/TOC) PET/CT scans were carried out in patients (70-170 MBq, 1 h p.i.) with histologically confirmed well-differentiated NETs. [ 68 Ga]DOTAGA-TATE exhibited hydrophilicity similar to [ 68 Ga]DOTA-TATE (log P = -3.51 vs -3.69) whereas [ 68 Ga]DOTAGA-TOC was more hydrophilic than [ 68 Ga]DOTA-TOC (log P = -3.27 vs -2.93). [ 68 Ga]DOTAGA-TATE and [ 68 Ga]DOTA-TATE showed almost identical blood and kidney uptake in normal rats whereas significantly fast clearance (p TOC also demonstrated rapid clearance from blood and kidneys (p TOC. The metastatic lesions in NET patients were well identified by [ 68 Ga]DOTAGA-TATE and [ 68 Ga]DOTAGA-TOC. The phenomenal analogy was observed between [ 68 Ga]DOTAGA-TATE and [ 68 Ga]DOTA-TATE as well as between [ 68 Ga]DOTAGA-TOC and [ 68 Ga]DOTA-TOC in biodistribution studies in rats. The good lesion detection ability of the two radiotracers indicates their potential as NET imaging radiotracers.

  4. Optimization design on breakdown voltage of AlGaN/GaN high-electron mobility transistor

    Science.gov (United States)

    Yang, Liu; Changchun, Chai; Chunlei, Shi; Qingyang, Fan; Yuqian, Liu

    2016-12-01

    Simulations are carried out to explore the possibility of achieving high breakdown voltage of GaN HEMT (high-electron mobility transistor). GaN cap layers with gradual increase in the doping concentration from 2 × 1016 to 5 × 1019 cm-3 of N-type and P-type cap are investigated, respectively. Simulation results show that HEMT with P-doped GaN cap layer shows more potential to achieve higher breakdown voltage than N-doped GaN cap layer under the same doping concentration. This is because the ionized net negative space charges in P-GaN cap layer could modulate the surface electric field which makes more contribution to RESURF effect. Furthermore, a novel GaN/AlGaN/GaN HEMT with P-doped GaN buried layer in GaN buffer between gate and drain electrode is proposed. It shows enhanced performance. The breakdown voltage of the proposed structure is 640 V which is increased by 12% in comparison to UID (un-intentionally doped) GaN/AlGaN/GaN HEMT. We calculated and analyzed the distribution of electrons' density. It is found that the depleted region is wider and electric field maximum value is induced at the left edge of buried layer. So the novel structure with P-doped GaN buried layer embedded in GaN buffer has the better improving characteristics of the power devices. Project supported by the National Basic Research Program of China (No. 2014CB339900) and the Open Fund of Key Laboratory of Complex Electromagnetic Environment Science and Technology, China Academy of Engineering Physics (No. 2015-0214.XY.K).

  5. Comparison of damage introduced into GaN/AlGaN/GaN heterostructures using selective dry etch recipes

    International Nuclear Information System (INIS)

    Green, R T; Luxmoore, I J; Houston, P A; Ranalli, F; Wang, T; Parbrook, P J; Uren, M J; Wallis, D J; Martin, T

    2009-01-01

    A SiCl 4 /SF 6 dry etch plasma recipe is presented giving a selectivity of 14:1 between GaN and AlGaN. Using a leakage test structure, which enables bulk and surface leakage components to be identified independently, the optimized recipe is compared to an un-etched sample and devices recessed using a Cl 2 /Ar/O 2 -based plasma chemistry. Devices etched using the SiCl 4 /SF 6 recipe demonstrated reduced bulk and surface leakage currents when operated over a wide range of temperatures. Consequently the SiCl 4 /SF 6 recipe is identified as most suitable for the fabrication of gate recessed AlGaN/GaN HEMTs

  6. Site-controlled InGaN/GaN single-photon-emitting diode

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Lei; Deng, Hui, E-mail: dengh@umich.edu [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, Michigan 48109 (United States); Teng, Chu-Hsiang; Ku, Pei-Cheng, E-mail: peicheng@umich.edu [Department of Electrical Engineering and Computer Science, University of Michigan, 1301 Beal Ave., Ann Arbor, Michigan 48109 (United States)

    2016-04-11

    We report single-photon emission from electrically driven site-controlled InGaN/GaN quantum dots. The device is fabricated from a planar light-emitting diode structure containing a single InGaN quantum well, using a top-down approach. The location, dimension, and height of each single-photon-emitting diode are controlled lithographically, providing great flexibility for chip-scale integration.

  7. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    Science.gov (United States)

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  8. N-polar GaN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistor formed on sapphire substrate with minimal step bunching

    Science.gov (United States)

    Prasertsuk, Kiattiwut; Tanikawa, Tomoyuki; Kimura, Takeshi; Kuboya, Shigeyuki; Suemitsu, Tetsuya; Matsuoka, Takashi

    2018-01-01

    The metal-insulator-semiconductor (MIS) gate N-polar GaN/AlGaN/GaN high-electron-mobility transistor (HEMT) on a (0001) sapphire substrate, which can be expected to operate with lower on-resistance and more easily work on the pinch-off operation than an N-polar AlGaN/GaN HEMT, was fabricated. For suppressing the step bunching and hillocks peculiar in the N-polar growth, a sapphire substrate with an off-cut angle as small as 0.8° was introduced and an N-polar GaN/AlGaN/GaN HEMT without the step bunching was firstly obtained by optimizing the growth conditions. The previously reported anisotropy of transconductance related to the step was eliminated. The pinch-off operation was also realized. These results indicate that this device is promising.

  9. Electronic passivation of n- and p-type GaAs using chemical vapor deposited GaS

    Science.gov (United States)

    Tabib-Azar, Massood; Kang, Soon; Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    We report on the electronic passivation of n- and p-type GaAs using CVD cubic GaS. Au/GaS/GaAs-fabricated metal-insulator-semiconductor (MIS) structures exhibit classical high-frequency capacitor vs voltage (C-V) behavior with well-defined accumulation and inversion regions. Using high- and low-frequency C-V, the interface trap densities of about 10 exp 11/eV per sq cm on both n- and p-type GaAs are determined. The electronic condition of GaS/GaAs interface did not show any deterioration after a six week time period.

  10. Degradation Mechanisms for GaN and GaAs High Speed Transistors

    Directory of Open Access Journals (Sweden)

    Fan Ren

    2012-11-01

    Full Text Available We present a review of reliability issues in AlGaN/GaN and AlGaAs/GaAs high electron mobility transistors (HEMTs as well as Heterojunction Bipolar Transistors (HBTs in the AlGaAs/GaAs materials systems. Because of the complex nature and multi-faceted operation modes of these devices, reliability studies must go beyond the typical Arrhenius accelerated life tests. We review the electric field driven degradation in devices with different gate metallization, device dimensions, electric field mitigation techniques (such as source field plate, and the effect of device fabrication processes for both DC and RF stress conditions. We summarize the degradation mechanisms that limit the lifetime of these devices. A variety of contact and surface degradation mechanisms have been reported, but differ in the two device technologies: For HEMTs, the layers are thin and relatively lightly doped compared to HBT structures and there is a metal Schottky gate that is directly on the semiconductor. By contrast, the HBT relies on pn junctions for current modulation and has only Ohmic contacts. This leads to different degradation mechanisms for the two types of devices.

  11. Degradation Mechanisms for GaN and GaAs High Speed Transistors

    Science.gov (United States)

    Cheney, David J.; Douglas, Erica A.; Liu, Lu; Lo, Chien-Fong; Gila, Brent P.; Ren, Fan; Pearton, Stephen J.

    2012-01-01

    We present a review of reliability issues in AlGaN/GaN and AlGaAs/GaAs high electron mobility transistors (HEMTs) as well as Heterojunction Bipolar Transistors (HBTs) in the AlGaAs/GaAs materials systems. Because of the complex nature and multi-faceted operation modes of these devices, reliability studies must go beyond the typical Arrhenius accelerated life tests. We review the electric field driven degradation in devices with different gate metallization, device dimensions, electric field mitigation techniques (such as source field plate), and the effect of device fabrication processes for both DC and RF stress conditions. We summarize the degradation mechanisms that limit the lifetime of these devices. A variety of contact and surface degradation mechanisms have been reported, but differ in the two device technologies: For HEMTs, the layers are thin and relatively lightly doped compared to HBT structures and there is a metal Schottky gate that is directly on the semiconductor. By contrast, the HBT relies on pn junctions for current modulation and has only Ohmic contacts. This leads to different degradation mechanisms for the two types of devices.

  12. Uncorrelated electron-hole transition energy in GaN|InGaN|GaN spherical QDQW nanoparticles

    International Nuclear Information System (INIS)

    Haddou El Ghazi; Anouar Jorio and Izeddine Zorkani

    2013-01-01

    The electron (hole) energy and uncorrelated 1S e - 1S h electron-hole transition in Core(GaN)|well(In x Ga 1-x N)|shell(GaN) spherical QDQW nanoparticles is investigated as a function of the inner and the outer radii. The calculations are performed within the framework of the effective-mass approximation and the finite parabolic potential confinement barrier in which two confined parameters are taking account. The Indium composition effect is also investigated. A critical value of the outer and the inner ratio is obtained which constitutes the turning point of two indium composition behaviors. (author)

  13. Hydrogen Generation using non-polar coaxial InGaN/GaN Multiple Quantum Well Structure Formed on Hollow n-GaN Nanowires.

    Science.gov (United States)

    Park, Ji-Hyeon; Mandal, Arjun; Kang, San; Chatterjee, Uddipta; Kim, Jin Soo; Park, Byung-Guon; Kim, Moon-Deock; Jeong, Kwang-Un; Lee, Cheul-Ro

    2016-08-24

    This article demonstrates for the first time to the best of our knowledge, the merits of InGaN/GaN multiple quantum wells (MQWs) grown on hollow n-GaN nanowires (NWs) as a plausible alternative for stable photoelectrochemical water splitting and efficient hydrogen generation. These hollow nanowires are achieved by a growth method rather not by conventional etching process. Therefore this approach becomes simplistic yet most effective. We believe relatively low Ga flux during the selective area growth (SAG) aids the hollow nanowire to grow. To compare the optoelectronic properties, simultaneously solid nanowires are also studied. In this present communication, we exhibit that lower thermal conductivity of hollow n-GaN NWs affects the material quality of InGaN/GaN MQWs by limiting In diffusion. As a result of this improvement in material quality and structural properties, photocurrent and photosensitivity are enhanced compared to the structures grown on solid n-GaN NWs. An incident photon-to-current efficiency (IPCE) of around ~33.3% is recorded at 365 nm wavelength for hollow NWs. We believe that multiple reflections of incident light inside the hollow n-GaN NWs assists in producing a larger amount of electron hole pairs in the active region. As a result the rate of hydrogen generation is also increased.

  14. Exploring the radiosynthesis and in vitro characteristics of [68Ga]Ga-DOTA-Siglec-9

    DEFF Research Database (Denmark)

    Jensen, Svend Borup; Käkelä, Meeri; Jødal, Lars

    2017-01-01

    (Siglec-9) "CARLSLSWRGLTLCPSK" bind to VAP-1 and hence makes the radioactive analogues of this compound ([68 Ga]Ga-DOTA-Siglec-9) interesting as a non-invasive visualizing marker of inflammation. Three different approaches to the radiosynthesis of [68 Ga]Ga-DOTA-Siglec-9 are presented and compared...

  15. GaMnAs on patterned GaAs(001) substrates: Growth and magnetotransport

    Energy Technology Data Exchange (ETDEWEB)

    Daeubler, Joachim; Glunk, Michael; Hummel, Thomas; Schoch, Wladimir; Limmer, Wolfgang; Sauer, Rolf [Institut fuer Halbleiterphysik, Universitaet Ulm, 89069 Ulm (Germany)

    2007-07-01

    A new type of GaMnAs microstructures with laterally confined electronic and magnetic properties has been realized in a bottom-up procedure by growing GaMnAs films on [1 anti 10]-oriented ridge structures with (113)A sidewalls and (001) top layers prepared on GaAs(001) substrates. Previous studies on planar GaMnAs samples have revealed different incorporation of Mn and excess As in (001) and (113)A layers. Accordingly, temperature- and field-dependent magnetotransport measurements on the overgrown ridge structures clearly demonstrate the coexistence of electronic and magnetic properties specific for (001) and (113)A GaMnAs in one single sample. This introduces an additional degree of freedom in the development of new functional structures.

  16. Structural and optical properties of AlGaP confinement layers and InGaAs quantum dot light emitters onto GaP substrate: Towards photonics on silicon applications

    Energy Technology Data Exchange (ETDEWEB)

    Robert, C., E-mail: cedric.robert@insa.rennes.fr [Université Européenne de Bretagne, INSA Rennes (France); CNRS, UMR 6082 Foton, 20 Avenue des Buttes de Coësmes 35708 Rennes (France); Thanh, T. Nguyen; Létoublon, A.; Perrin, M.; Cornet, C.; Levallois, C.; Jancu, J.M.; Even, J. [Université Européenne de Bretagne, INSA Rennes (France); CNRS, UMR 6082 Foton, 20 Avenue des Buttes de Coësmes 35708 Rennes (France); Turban, P. [Equipe de Physique des Surfaces et Interfaces, Institut de Physique de Rennes UMR UR1-CNRS 6251, Université de Rennes 1, F-35042 Rennes Cedex (France); Balocchi, A.; Marie, X. [Université de Toulouse, INSA-CNRS-UPS, LPCNO, 135 avenue de Rangueil, 31077 Toulouse (France); Durand, O.; Le Corre, A. [Université Européenne de Bretagne, INSA Rennes (France); CNRS, UMR 6082 Foton, 20 Avenue des Buttes de Coësmes 35708 Rennes (France)

    2013-08-31

    AlGaP alloy and InGaAs/GaP quantum dots are studied toward possible solutions for the cladding layers and the active zone of a pseudomorphic laser structure on silicon. Coherent growth of AlGaP layers on GaP substrate is carefully analysed by X-ray reciprocal space mapping. The influence of Al content on refractive index is studied by spectroscopic ellipsometry. The structural and optical properties of InGaAs/GaP quantum dots are respectively studied by scanning tunnelling microscopy and time-resolved photoluminescence experiments. - Highlights: ► An active zone is proposed for a pseudomorphic laser structure on Si. ► Cladding layers are proposed for a pseudomorphic laser structure on Si. ► The AlGaP alloy is studied by X-ray diffraction and spectroscopic ellipsometry. ► InGaAs/GaP quantum dots are studied by scanning tunnelling microscopy. ► InGaAs/GaP quantum dots are studied by time-resolved photoluminescence.

  17. Structural Analysis of InxGa1−xN/GaN MQWs by Different Experimental Methods

    International Nuclear Information System (INIS)

    Ding Bin-Beng; Pan Feng; Fa Tao; Cheng Feng-Feng; Yao Shu-De; Feng Zhe-Chuan

    2011-01-01

    Structural properties of In x Ga 1−x N/GaN multi-quantum wells (MQWs) grown on sapphire by metal organic chemical vapor deposition are investigated by synchrotron radiation x-ray diffraction (SRXRD), Rutherford backscattering/channelling (RBS/C) and high-resolution transmission electron microscopy. The sample consists of eight periods of In x Ga 1−x N/GaN wells of 2.1 nm thickness and 8.5 nm thickness of GaN barrier, and the results are very close, which verifies the accuracy of the three methods. The indium content in In x Ga 1−x N/GaN MQWs by SRXRD and RBS/C is estimated, and results are in general the same. By RBS/C random spectra, the indium atomic lattice substitution rate is 94.0%, indicating that almost all indium atoms in In x Ga 1−x N/GaN MQWs are at substitution, that the indium distribution of each layer in In x Ga 1−x N/GaN MQWs is very homogeneous and that the In x Ga 1−x N/GaN MQWs have a very good crystalline quality. It is not accurate to estimate indium content in In x Ga 1−x N/GaN MQWs by photoluminescence (PL) spectra, because the result from the PL experimental method is very different from the results by the SRXRD and RBS/C experimental methods. (cross-disciplinary physics and related areas of science and technology)

  18. On the optimization of asymmetric barrier layers in InAlGaAs/AlGaAs laser heterostructures on GaAs substrates

    International Nuclear Information System (INIS)

    Zhukov, A. E.; Asryan, L. V.; Semenova, E. S.; Zubov, F. I.; Kryzhanovskaya, N. V.; Maximov, M. V.

    2015-01-01

    Band offsets at the heterointerface are calculated for various combinations of InAlGaAs/AlGaAs heteropairs that can be synthesized on GaAs substrates in the layer-by-layer pseudomorphic growth mode. Patterns which make it possible to obtain an asymmetric barrier layer providing the almost obstruction-free transport of holes and the highest possible barrier height for electrons are found. The optimal compositions of both compounds (In 0.232 Al 0.594 Ga 0.174 As/Al 0.355 Ga 0.645 As) at which the flux of electrons across the barrier is at a minimum are determined with consideration for the critical thickness of the indium-containing quaternary solid solution

  19. Stability of AlGaN/GaN heterostructures after hydrogen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Babchenko, O., E-mail: oleg.babchenko@savba.sk [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Dzuba, J.; Lalinský, T. [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Vojs, M. [Institute of Electronics and Photonics STU, Ilkovičova 3, 812 19 Bratislava (Slovakia); Vincze, A. [International Laser Centre, Ilkovičova 3, 841 04 Bratislava (Slovakia); Ižák, T. [Institute of Physics AS CR, v.v.i., Cukrovarnicka 10, 162 53 Prague (Czech Republic); Vanko, G. [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia)

    2017-02-15

    Highlights: • AlGaN/GaNheterostructures with electric contacts were treated by hydrogen plasma. • No surface degradation after treatment was detected by SEM. • Hydrogen plasma caused increasing of sheet resistance up to 3.5 times after 60 min. • Incorporation of hydrogen in AlGaN sub-surface region was observed by SIMS. • Electrical measurements indicate hydrogen induced Schottky barrier lowering. - Abstract: We report on the investigation of low temperature (300 °C) hydrogen plasma treatment influence on the AlGaN/GaN heterostructures. This issue was raised in the frame of study on processes related to hybrid integration of diamond with GaN-based devices. At the same time, the capabilities of thin SiN{sub x} covering were investigated. The samples were exposed to low pressure hydrogen plasma ignited in the linear plasma system at low temperature. We analyze the surface morphology of samples by scanning electron microscopy while microstructural changes down to AlGaN/GaN interface were studied using secondary ion mass spectrometry. The sheet resistance, monitored using circular transmission line measurements, increases more than 3.5 times after 60 min treatment. The basic transport properties of the fabricated circular high electron mobility transistors after H{sub 2} plasma treatment were analyzed. The sheet resistance increasing was attributed to the decrease of effective mobility. Whilst, the observed Schottky barrier lowering indicates necessity of gate contact protection.

  20. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    Science.gov (United States)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  1. Dielectric function and electro-optical properties of (Al,Ga)N/GaN-heterostructures; Dielektrische Funktion und elektrooptische Eigenschaften von (Al,Ga)N/GaN-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Buchheim, Carsten

    2010-04-23

    In this work extensive investigations on nitride semiconductors by optical spectroscopy are presented. The ordinary and the extraordinary component of the dielectric function of GaN in the spectral range from 1.2 to 9.8 eV is shown for the first time. It is demonstrated, that the transparent spectral range is clearly influenced by higher energetic critical points of the band structure. The optical selection rules for GaN and AlN are verified considering the actual strain state. The change of the valence band ordering of AlN in comparison to GaN is proven and the crystal field splitting is estimated for AlN. The ordinary dielectric function of AlGaN is determined for different Al contents. The data are used for developing an analytical model, which includes excitonic effects and bowings. It allows the calculation of the dielectric function for arbitrary alloy compositions. (GaN/)AlGaN/GaN heterostructures are investigated by spectroscopic ellipsometry as well as by photoreflectance and electroreflectance. The optical data yields the electric field strengths of the individual layers to determine the density of the two-dimensional carrier gases at the heterointerfaces with high accuracy. The surface potential is calculated from the combination of experiments and Schroedinger-Poisson calculations. Its dependency on the Al content is quantified. For the special case of thick cap layers the coexistence of electron and hole gases in one sample is experimentally proven for the first time. Several interband transitions between quantized states in AlN/GaN superlattices are observed by electroreflectance. The comparison to quantum mechanical calculations demonstrates the influence of strain and electrical fields (quantum confined Stark effect). For both the ratio of the thicknesses of quantum wells and barriers is crucial. From the dielectric function of the superlattices it becomes obvious, that quantum size effects are not only important for the vicinity of the bandgap, but

  2. Eu{sub 7}Ga{sub 6}Sb{sub 8}: A Zintl phase with Ga-Ga bonds and polymeric gallium antimonide chains

    Energy Technology Data Exchange (ETDEWEB)

    Park, S -M; Kim, S -J; Kanatzidis, M G

    2004-08-01

    The Zintl phase Eu{sub 7}Ga{sub 6}Sb{sub 8} was obtained from a direct element combination reaction at 900 deg. C. It crystallizes in the orthorhombic space group Pbca (No. 61) with a=15.6470(17) A, b=17.2876(19) A, c=17.9200(19) A, and Z=8. In Eu{sub 7}Ga{sub 6}Sb{sub 8}, the anionic framework forms infinite chains of [Ga{sub 6}Sb{sub 8}]{sup 14-} which are arranged side by side to make a sheet-like arrangement but without linking. The sheets of chains are separated by Eu{sup 2+} atoms and also within the sheet, Eu{sup 2+} atoms fill the spaces between two chains. The chain is made up of homoatomic tetramers (Ga{sub 4}){sup 6+} and dimers (Ga{sub 2}){sup 4+} connected by Sb atoms. The compound is a narrow band-gap semiconductor with E{sub g}{approx}0.6 eV and satisfies the classical Zintl concept. Extended Hueckel band structure calculations confirm that the material is a semiconductor and suggest that the structure is stabilized by strong Ga-Ga covalent bonding interactions. Magnetic susceptibility measurements for Eu{sub 7}Ga{sub 6}Sb{sub 8} show that the Eu atoms are divalent and the compound has an antiferromagnetic transition at 9 K.

  3. Structural characterisation of GaN and GaN:O thin films

    International Nuclear Information System (INIS)

    Granville, S.; Budde, F.; Koo, A.; Ruck, B.J.; Trodahl, H.J.; Bittar, A.; Metson, J.B.; James, B.J.; Kennedy, V.J.; Markwitz, A.; Prince, K.E.

    2005-01-01

    In its crystalline form, the wide band-gap semiconductor GaN is of exceptional interest in the development of suitable materials for short wavelength optoelectronic devices. One of the barriers to its potential usefulness however is the large concentration of defects present even in MBE-grown material often due to the lattice mismatch of the GaN with common substrate materials. Calculations have suggested that GaN films grown with an amorphous structure retain many of the useful properties of the crystalline material, including the wide band-gap and a low density of states in the gap, and thus may be a suitable alternative to the single crystal GaN for a variety of applications. We have performed structural and compositional measurements on heavily disordered GaN thin films with and without measureable O and H concentrations grown using ion-assisted deposition. X-ray diffraction and x-ray absorption fine structure measurements show that stoichiometric films are composed of nanocrystallites of ∼3-4 nm in size and that GaN films containing O to 10 at % or greater are amorphous. Rutherford backscattering spectroscopy (RBS) was performed and nuclear reaction analysis (NRA) measurements were made to determine the elemental composition of the films and elastic recoil detection (ERD) detected the hydrogen concentrations. Secondary ion mass spectroscopy (SIMS) measurements were used to depth profile the films. X-ray photoelectron spectroscopy (XPS) measurements probed the bonding environment of the Ga in the films. (author). 2 figs., 1 tab

  4. Ga penetration into polymers

    Czech Academy of Sciences Publication Activity Database

    Hnatowicz, Vladimír; Švorčík, V.; Efimenko, K.; Rybka, V.

    1999-01-01

    Roč. 68, - (1999), s. 357-358 ISSN 0947-8396 R&D Projects: GA ČR GA202/96/0077; GA AV ČR KSK1048601 Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.753, year: 1999

  5. Role of Ga vacancies in enhancing the leakage current of GaN Schottky barrier ultraviolet photodetectors

    International Nuclear Information System (INIS)

    De-Gang, Zhao; Shuang, Zhang; Wen-Bao, Liu; De-Sheng, Jiang; Jian-Jun, Zhu; Zong-Shun, Liu; Hui, Wang; Shu-Ming, Zhang; Hui, Yang; Xiao-Peng, Hao; Long, Wei

    2010-01-01

    The leakage current of GaN Schottky barrier ultraviolet photodetectors is investigated. It is found that the photodetectors adopting undoped GaN instead of lightly Si-doped GaN as an active layer show a much lower leakage current even when they have a higher dislocation density. It is also found that the density of Ga vacancies in undoped GaN is much lower than in Si-doped GaN. The Ga vacancies may enhance tunneling and reduce effective Schottky barrier height, leading to an increase of leakage current. It suggests that when undoped GaN is used as the active layer, it is necessary to reduce the leakage current of GaN Schottky barrier ultraviolet photodetector. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  6. Electrical compensation by Ga vacancies in Ga2O3

    OpenAIRE

    Korhonen, Esa; Tuomisto, F.; Gogova, D.; Wagner, G.; Baldini, M.; Galazka, Z.; Schewski, R.; Albrecht, M.

    2015-01-01

    The authors have applied positron annihilation spectroscopy to study the vacancy defects in undoped and Si-doped Ga2O3 thin films. The results show that Ga vacancies are formed efficiently during metal-organic vapor phase epitaxy growth of Ga2O3 thin films. Their concentrations are high enough to fully account for the electrical compensation of Si doping. This is in clear contrast to another n-type transparent semiconducting oxide In2O3, where recent results show that n-type conductivity is n...

  7. Nanoscale conductive pattern of the homoepitaxial AlGaN/GaN transistor.

    Science.gov (United States)

    Pérez-Tomás, A; Catalàn, G; Fontserè, A; Iglesias, V; Chen, H; Gammon, P M; Jennings, M R; Thomas, M; Fisher, C A; Sharma, Y K; Placidi, M; Chmielowska, M; Chenot, S; Porti, M; Nafría, M; Cordier, Y

    2015-03-20

    The gallium nitride (GaN)-based buffer/barrier mode of growth and morphology, the transistor electrical response (25-310 °C) and the nanoscale pattern of a homoepitaxial AlGaN/GaN high electron mobility transistor (HEMT) have been investigated at the micro and nanoscale. The low channel sheet resistance and the enhanced heat dissipation allow a highly conductive HEMT transistor (Ids > 1 A mm(-1)) to be defined (0.5 A mm(-1) at 300 °C). The vertical breakdown voltage has been determined to be ∼850 V with the vertical drain-bulk (or gate-bulk) current following the hopping mechanism, with an activation energy of 350 meV. The conductive atomic force microscopy nanoscale current pattern does not unequivocally follow the molecular beam epitaxy AlGaN/GaN morphology but it suggests that the FS-GaN substrate presents a series of preferential conductive spots (conductive patches). Both the estimated patches density and the apparent random distribution appear to correlate with the edge-pit dislocations observed via cathodoluminescence. The sub-surface edge-pit dislocations originating in the FS-GaN substrate result in barrier height inhomogeneity within the HEMT Schottky gate producing a subthreshold current.

  8. InN/GaN short-period superlattices as ordered InGaN ternary alloys

    International Nuclear Information System (INIS)

    Kusakabe, Kazuhide; Imai, Daichi; Wang, Ke; Yoshikawa, Akihiko

    2016-01-01

    Coherent (InN) 1 /(GaN) n short-period superlattices (SPSs) were successfully grown through dynamic atomic layer epitaxy (D-ALEp) mode by RF-plasma molecular beam epitaxy (MBE), where GaN layer thicknesses n were thinned down to 4 monolayer (ML). After this achievement, we demonstrated quasi-ternary InGaN behavior in their photoluminescence (PL) spectra for the first time. It was found interestingly that GaN layer thickness of n = 4 ML was the criterion both for structural control and continuum-band formation. Although highly lattice-mismatched InN/GaN interfaces easily introduce relaxation in (InN) 1 /(GaN) 4 SPSs during growth depending on the dynamic surface stoichiometry condition, this problem was overcome by precise control/removal of fluid-like residual In/Ga metals on the growth front with in-situ monitoring method. The (InN) 1 /(GaN) n SPSs with n ≥ 7 ML showed a constant PL peak energy around 3.2 eV at 12 K, reflecting discrete electron/hole wavefunctions. On the other hand, the (InN) 1 /(GaN) 4 SPSs indicated the red-shifted PL peak at 2.93 eV at 12 K, which was attributed to the continuum-band state with increasing in the overlap of electrons/hole wavefunctions. This result is concluded that the (InN) 1 /(GaN) 4 SPSs can be considered as ordered InGaN alloys. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Current transport mechanism in graphene/AlGaN/GaN heterostructures with various Al mole fractions

    Science.gov (United States)

    Pandit, Bhishma; Seo, Tae Hoon; Ryu, Beo Deul; Cho, Jaehee

    2016-06-01

    The current transport mechanism of graphene formed on AlxGa1-xN/GaN heterostructures with various Al mole fractions (x = 0.15, 0.20, 0.30, and 0.40) is investigated. The current-voltage measurement from graphene to AlGaN/GaN shows an excellent rectifying property. The extracted Schottky barrier height of the graphene/AlGaN/GaN contacts increases with the Al mole fraction in AlGaN. However, the current transport mechanism deviates from the Schottky-Mott theory owing to the deterioration of AlGaN crystal quality at high Al mole fractions confirmed by reverse leakage current measurement.

  10. Current transport mechanism in graphene/AlGaN/GaN heterostructures with various Al mole fractions

    Directory of Open Access Journals (Sweden)

    Bhishma Pandit

    2016-06-01

    Full Text Available The current transport mechanism of graphene formed on AlxGa1−xN/GaN heterostructures with various Al mole fractions (x = 0.15, 0.20, 0.30, and 0.40 is investigated. The current–voltage measurement from graphene to AlGaN/GaN shows an excellent rectifying property. The extracted Schottky barrier height of the graphene/AlGaN/GaN contacts increases with the Al mole fraction in AlGaN. However, the current transport mechanism deviates from the Schottky-Mott theory owing to the deterioration of AlGaN crystal quality at high Al mole fractions confirmed by reverse leakage current measurement.

  11. Ga-Bi-Te system

    International Nuclear Information System (INIS)

    Rustamov, P.G.; Seidova, N.A.; Shakhbazov, M.G.; AN Azerbajdzhanskoj SSR, Baku. Inst. Neorganicheskoj i Fizicheskoj Khimii)

    1976-01-01

    To elucidate the nature of interaction in the system Ga-Bi-Te, a study has been made of sections GaTe-Bi 2 Te 3 , Ga 2 Te 3 -Bi, GaTe-Bi and Bi 2 Te 3 -Ga. The alloys have been prepared by direct melting of the components or their alloys with subsequent homogenizin.o annealing at 400 deg C. The study has been made by the methods of differential thermal, microstructural analysis and by microhardness measurements. On the basis of literature data and data obtained a projection of the liquidus surface of the phase diagram for the system Ga-Bi-Te has been constructed. In the ternary system there are 17 curves of monovariant equilibrium dividing the liquidus into 10 fields of primary crystallization of phases, 9 points of non-variant equilibrium of which 4 points are triple eutectics and 5 points are triple peritectics

  12. Growth and properties of the MOVPE GaAs/InAs/GaAsSb quantum dot structures

    Czech Academy of Sciences Publication Activity Database

    Hospodková, Alice; Oswald, Jiří; Pangrác, Jiří; Kuldová, Karla; Zíková, Markéta; Vyskočil, Jan; Hulicius, Eduard

    2016-01-01

    Roč. 480, Jan (2016), 14-22 ISSN 0921-4526 R&D Projects: GA ČR GA13-15286S; GA ČR(CZ) GP14-21285P; GA MŠk(CZ) LM2011026 Institutional support: RVO:68378271 Keywords : quantum dot * band alignment * InAs/GaAs * GaAsSb * MOVPE * luminescence Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.386, year: 2016

  13. GaAs/AlAs/InGaP heterostructure: a versatile material basis for cantilever designs

    International Nuclear Information System (INIS)

    Gregušová, Dagmar; Kúdela, Róbert; Eliáš, Peter; Šoltýs, Ján; Cambel, Vladimír; Kostič, Ivan

    2010-01-01

    We report on the design, fabrication and initial mechanical testing of cantilevers with tips based on a GaAs/In 0.485 Ga 0.515 P/AlAs heterostructure grown by metal organic chemical vapor deposition. They were produced using a dedicated technological process based on (1) the formation of integrated tips through an AlAs-assisted surface sacrificial wet-etching process and (2) the GaAs cantilever release fully protected between two InGaP etch-stop layers. 2 µm thick InGaP/GaAs/InGaP cantilevers had integrated pyramidal tips with the sides at ∼45° to (1 0 0). Metallic elements were processed close to the tip apexes using non-standard optical lithography. The cantilever release was accomplished using photolithography, Ar ion milling of InGaP and wet chemical etching of GaAs via resist layers deposited by a draping technique. A tip–cantilever prototype with length, width and thickness of 150, 35 and 2 µm, respectively, exhibited a resonance frequency of 66.2 kHz, which correlated well with a theoretical value of 57 kHz for a GaAs cantilever of identical dimensions. (technical note)

  14. Robust AlGaN/GaN MMIC Receiver Components

    NARCIS (Netherlands)

    Heijningen, M. van; Janssen, J.P.B.; Vliet, F.E. van

    2009-01-01

    Apart from delivering very high output powers, GaN can also be used to realize robust receiver components, such as Low Noise Amplifiersand Switches. This paper presents the designand measurement results of two GaN X-band switch and LNA MMICs, designed for integration in a radar front end. The switch

  15. Resonant photoemission at the Ga 3p photothreshold in In xGa1-xN

    International Nuclear Information System (INIS)

    Colakerol, L.; Glans, P.-A.; Plucinski, L.; Zhang, Y.; Smith, K.E.; Zakharov, A.A.; Nyholm, R.; Cabalu, J.; Moustakas, T.D.

    2006-01-01

    Resonance effects at the Ga 3p photoabsorption threshold have been observed in photoemission spectra recorded from thin film In x Ga 1-x N alloys. The spectra display satellites of the main Ga 3d emission line, and the intensity of these satellites resonate at this threshold. The satellites are associated with a 3d 8 state, and have previously been observed for the semiconductors GaN, GaAs, and GaP. The resonance behavior has been studied for a variety of In x Ga 1-x N thin films with differing In concentration and band gap. The photon energy where the maximum resonance is observed varies with band gap within the alloy system, but does not follow the trend observed for binary Ga semiconducting compounds. We also observe that the threshold resonant energy increases slightly as the In content increases

  16. Impact of GaN cap on charges in Al₂O₃/(GaN/)AlGaN/GaN metal-oxide-semiconductor heterostructures analyzed by means of capacitance measurements and simulations

    Energy Technology Data Exchange (ETDEWEB)

    Ťapajna, M., E-mail: milan.tapajna@savba.sk; Jurkovič, M.; Válik, L.; Haščík, Š.; Gregušová, D.; Kuzmík, J. [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Brunner, F.; Cho, E.-M. [Ferdinand-Braun-Institut, Leibniz Institut für Höchstfrequenztechnik, Gustav-Kirchhoff-Strasse 4, 12489 Berlin (Germany); Hashizume, T. [Research Center for Integrated Quantum Electronics (RCIQE), Hokkaido University, 060-0814 Sapporo, Japan and JST-CREST, 102-0075 Tokyo (Japan)

    2014-09-14

    Oxide/semiconductor interface trap density (D{sub it}) and net charge of Al₂O₃/(GaN)/AlGaN/GaN metal-oxide-semiconductor high-electron mobility transistor (MOS-HEMT) structures with and without GaN cap were comparatively analyzed using comprehensive capacitance measurements and simulations. D{sub it} distribution was determined in full band gap of the barrier using combination of three complementary capacitance techniques. A remarkably higher D{sub it} (∼5–8 × 10¹²eV⁻¹ cm⁻²) was found at trap energies ranging from EC-0.5 to 1 eV for structure with GaN cap compared to that (D{sub it} ∼ 2–3 × 10¹²eV⁻¹ cm⁻²) where the GaN cap was selectively etched away. D{sub it} distributions were then used for simulation of capacitance-voltage characteristics. A good agreement between experimental and simulated capacitance-voltage characteristics affected by interface traps suggests (i) that very high D{sub it} (>10¹³eV⁻¹ cm⁻²) close to the barrier conduction band edge hampers accumulation of free electron in the barrier layer and (ii) the higher D{sub it} centered about EC-0.6 eV can solely account for the increased C-V hysteresis observed for MOS-HEMT structure with GaN cap. Analysis of the threshold voltage dependence on Al₂O₃ thickness for both MOS-HEMT structures suggests that (i) positive charge, which compensates the surface polarization, is not necessarily formed during the growth of III-N heterostructure, and (ii) its density is similar to the total surface polarization charge of the GaN/AlGaN barrier, rather than surface polarization of the top GaN layer only. Some constraints for the positive surface compensating charge are discussed.

  17. Interfacial recombination at /AlGa/As/GaAs heterojunction structures

    Science.gov (United States)

    Ettenberg, M.; Kressel, H.

    1976-01-01

    Experiments were conducted to determine the interfacial recombination velocity at Al0.25Ga0.75As/GaAs and Al0.5Ga0.5As/GaAs heterojunctions. The recombination velocity was derived from a study of the injected minority-carrier lifetime as a function of the junction spacing. It is found that for heterojunction spacings in excess of about 1 micron, the interfacial recombination can be characterized by a surface recombination velocity of 4,000 and 8,000 cm/sec for the two types of heterojunctions, respectively. For double-heterojunction spacings below 1 micron, the constancy of the minority-carrier lifetime suggests that the interfacial recombination velocity decreases effectively. This effect is technologically very important since it makes it possible to construct very low-threshold injection lasers. No such effect is observed in single-heterojunction diodes.

  18. Theoretical study of electronic structures and spectroscopic properties of Ga 3Sn, GaSn 3, and their ions

    Science.gov (United States)

    Zhu, Xiaolei

    2007-01-01

    Ground and excited states of mixed gallium stannide tetramers (Ga 3Sn, Ga 3Sn +, Ga 3Sn -, GaSn 3, GaSn 3+, and GaSn 3-) are investigated employing the complete active space self-consistent-field (CASSCF), density function theory (DFT), and the coupled-cluster single and double substitution (including triple excitations) (CCSD(T)) methods. The ground states of Ga 3Sn, Ga 3Sn +, and Ga 3Sn - are found to be the 2A 1, 3B 1, and 1A 1 states in C2v symmetry with a planar quadrilateral geometry, respectively. The ground states of GaSn 3 and GaSn 3- is predicted to be the 2A 1 and 1A 1 states in C2v point group with a planar quadrilateral structure, respectively, while the ground state of GaSn 3+ is the 1A 1 state with ideal triangular pyramid C3v geometry. Equilibrium geometries, vibrational frequencies, binding energies, electron affinities, ionization energies, and other properties of Ga 3Sn and GaSn 3 are computed and discussed. The anion photoelectron spectra of Ga 3Sn - and GaSn 3- are also predicted. It is interesting to find that the amount of charge transfer between Ga and Sn 2 atoms in the 1A 1 state of GaSn 3+ greatly increases upon electron ionization from the 2A 1 state of GaSn 3, which may be caused by large geometry change. On the other hand, the results of the low-lying states of Ga 3Sn and GaSn 3 are compared with those of Ga 3Si and GaSi 3.

  19. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  20. Determination of Temperature-Dependent Stress State in Thin AlGaN Layer of AlGaN/GaN HEMT Heterostructures by Near-Resonant Raman Scattering

    Directory of Open Access Journals (Sweden)

    Yanli Liu

    2015-01-01

    Full Text Available The temperature-dependent stress state in the AlGaN barrier layer of AlGaN/GaN heterostructure grown on sapphire substrate was investigated by ultraviolet (UV near-resonant Raman scattering. Strong scattering peak resulting from the A1(LO phonon mode of AlGaN is observed under near-resonance condition, which allows for the accurate measurement of Raman shifts with temperature. The temperature-dependent stress in the AlGaN layer determined by the resonance Raman spectra is consistent with the theoretical calculation result, taking lattice mismatch and thermal mismatch into account together. This good agreement indicates that the UV near-resonant Raman scattering can be a direct and effective method to characterize the stress state in thin AlGaN barrier layer of AlGaN/GaN HEMT heterostructures.

  1. Electrical properties of Ga ion beam implanted GaAs epilayer

    International Nuclear Information System (INIS)

    Hirayama, Yoshiro; Okamoto, Hiroshi

    1985-01-01

    Resistivity enhancement by 5 orders or more was realized by Ga focused ion beam implantation into n + and p + GaAs epilayers. For originally n + epilayers, this resistivity enhancement is maintained after annealing as high as 800 deg C. However this enhancement disappears after annealing at above 650 deg C for p + epilayer. This property makes GaAs high resistive only in a limited area whose minimum dimension is 0.1 μm or less, and is attractive for a device fabrication process to electrically isolate integrated elements. (author)

  2. InGaN multiple-quantum-well epifilms on GaN-sillicon substrates for microcavities and surface-emitting lasers

    International Nuclear Information System (INIS)

    Lee, June Key; Cho, Hoon; Kim, Bok Hee; Park, Si Hyun; Gu, Erdan; Watson, Ian; Dawson, Martin

    2006-01-01

    We report the processing of InGaN/GaN epifilms on GaN-silicon substrates. High-quality InGaN/GaN multi-quantum wells (MQWs) were grown on GaN-silicon substrates, and their membranes were successfully fabricated using a selective wet etching of silicon followed by a dry etching of the AlGaN buffer layer. With atomic force microscope (AFM) measurements and photoluminescence (PL) measurements, we investigated the physical and the optical properties of the InGaN/GaN MQWs membranes. On the InGaN/GaN MQW membranes, dielectric distributed Bragg reflector (DBRs) were successfully deposited, which give, new possibilities for use in GaN microcavity and surface-emitting laser fabrication.

  3. Gallium adsorption on (0001) GaN surfaces

    International Nuclear Information System (INIS)

    Adelmann, Christoph; Brault, Julien; Mula, Guido; Daudin, Bruno; Lymperakis, Liverios; Neugebauer, Joerg

    2003-01-01

    We study the adsorption behavior of Ga on (0001) GaN surfaces combining experimental specular reflection high-energy electron diffraction with theoretical investigations in the framework of a kinetic model for adsorption and ab initio calculations of energy parameters. Based on the experimental results we find that for substrate temperatures and Ga fluxes typically used in molecular-beam epitaxy of GaN, finite equilibrium Ga surface coverages can be obtained. The measurement of a Ga/GaN adsorption isotherm allows the quantification of the equilibrium Ga surface coverage as a function of the impinging Ga flux. In particular, we show that a large range of Ga fluxes exists, where 2.5±0.2 monolayers (in terms of the GaN surface site density) of Ga are adsorbed on the GaN surface. We further demonstrate that the structure of this adsorbed Ga film is in good agreement with the laterally contracted Ga bilayer model predicted to be most stable for strongly Ga-rich surfaces [Northrup et al., Phys. Rev. B 61, 9932 (2000)]. For lower Ga fluxes, a discontinuous transition to Ga monolayer equilibrium coverage is found, followed by a continuous decrease towards zero coverage; for higher Ga fluxes, Ga droplet formation is found, similar to what has been observed during Ga-rich GaN growth. The boundary fluxes limiting the region of 2.5 monolayers equilibrium Ga adsorption have been measured as a function of the GaN substrate temperature giving rise to a Ga/GaN adsorption phase diagram. The temperature dependence is discussed within an ab initio based growth model for adsorption taking into account the nucleation of Ga clusters. This model consistently explains recent contradictory results of the activation energy describing the critical Ga flux for the onset of Ga droplet formation during Ga-rich GaN growth [Heying et al., J. Appl. Phys. 88, 1855 (2000); Adelmann et al., J. Appl. Phys. 91, 9638 (2002).

  4. InGaAs/GaAs (110) quantum dot formation via step meandering

    Energy Technology Data Exchange (ETDEWEB)

    Diez-Merino, Laura; Tejedor, Paloma [Department of Nanostructures and Surfaces, Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Ines de la Cruz 3, 28049-Madrid (Spain)

    2011-07-01

    InGaAs (110) semiconductor quantum dots (QDs) offer very promising prospects as a material base for a new generation of high-speed spintronic devices, such as single electron transistors for quantum computing. However, the spontaneous formation of InGaAs QDs is prevented by two-dimensional (2D) layer-by-layer growth on singular GaAs (110) substrates. In this work we have studied, by using atomic force microscopy and photoluminescence spectroscopy (PL), the growth of InGaAs/GaAs QDs on GaAs (110) stepped substrates by molecular beam epitaxy (MBE), and the modification of the adatom incorporation kinetics to surface steps in the presence of chemisorbed atomic hydrogen. The as-grown QDs exhibit lateral dimensions below 100 nm and emission peaks in the 1.35-1.37 eV range. It has been found that a step meandering instability derived from the preferential attachment of In adatoms to [110]-step edges relative to [11n]-type steps plays a key role in the destabilization of 2D growth that leads to 3D mound formation on both conventional and H-terminated vicinal substrates. In the latter case, the driving force for 3D growth via step meandering is enhanced by H-induced upward mass transport in addition to the lower energy cost associated with island formation on H-terminated substrates, which results in a high density array of InGaAs/GaAs dots selectively nucleated on the terrace apices with reduced lateral dimensions and improved PL efficiency relative to those of conventional MBE-grown samples.

  5. InGaAs/GaAs (110) quantum dot formation via step meandering

    International Nuclear Information System (INIS)

    Diez-Merino, Laura; Tejedor, Paloma

    2011-01-01

    InGaAs (110) semiconductor quantum dots (QDs) offer very promising prospects as a material base for a new generation of high-speed spintronic devices, such as single electron transistors for quantum computing. However, the spontaneous formation of InGaAs QDs is prevented by two-dimensional (2D) layer-by-layer growth on singular GaAs (110) substrates. In this work we have studied, by using atomic force microscopy and photoluminescence spectroscopy (PL), the growth of InGaAs/GaAs QDs on GaAs (110) stepped substrates by molecular beam epitaxy (MBE), and the modification of the adatom incorporation kinetics to surface steps in the presence of chemisorbed atomic hydrogen. The as-grown QDs exhibit lateral dimensions below 100 nm and emission peaks in the 1.35-1.37 eV range. It has been found that a step meandering instability derived from the preferential attachment of In adatoms to [110]-step edges relative to [11n]-type steps plays a key role in the destabilization of 2D growth that leads to 3D mound formation on both conventional and H-terminated vicinal substrates. In the latter case, the driving force for 3D growth via step meandering is enhanced by H-induced upward mass transport in addition to the lower energy cost associated with island formation on H-terminated substrates, which results in a high density array of InGaAs/GaAs dots selectively nucleated on the terrace apices with reduced lateral dimensions and improved PL efficiency relative to those of conventional MBE-grown samples.

  6. Core level photoelectron spectroscopy of LiGaS{sub 2} and Ga-S bonding in complex sulfides

    Energy Technology Data Exchange (ETDEWEB)

    Atuchin, V.V., E-mail: atuchin@thermo.isp.nsc.r [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, 13, Lavrentieva Ave., Novosibirsk 90, 630090 (Russian Federation); Isaenko, L.I. [Laboratory of Crystal Growth, Institute of Geology and Mineralogy, SB RAS, Novosibirsk 90, 630090 (Russian Federation); Kesler, V.G. [Laboratory of Physical Bases of Integrated Microelectronics, Institute of Semiconductor Physics, SB RAS, Novosibirsk 90, 630090 (Russian Federation); Lobanov, S.I. [Laboratory of Crystal Growth, Institute of Geology and Mineralogy, SB RAS, Novosibirsk 90, 630090 (Russian Federation)

    2010-05-14

    The electronic parameters of the lithium thiogallate LiGaS{sub 2} have been evaluated by X-ray photoelectron spectroscopy (XPS). Spectral features of all constituent element core levels and Auger lines have been considered. The Ga-S bonding effects in Ga-bearing sulfide crystals have been discussed using binding energy difference {Delta}{sub 2p}(S-Ga) = BE(S 2p) - BE(Ga 3d) as a representative parameter to quantify the valence electron shift from gallium to sulfur atoms. The value {Delta}{sub 2p}(S-Ga) = 141.9 eV found for LiGaS{sub 2} is very close to that evaluated for AgGaS{sub 2}. This relation is an indicator of closely coincident ionicity of Ga-S bonds in LiGaS{sub 2} and AgGaS{sub 2}.

  7. A InGaN/GaN quantum dot green (λ=524 nm) laser

    KAUST Repository

    Zhang, Meng; Banerjee, Animesh; Lee, Chi-Sen; Hinckley, John M.; Bhattacharya, Pallab

    2011-01-01

    The characteristics of self-organized InGaN/GaN quantum dot lasers are reported. The laser heterostructures were grown on c-plane GaN substrates by plasma-assisted molecular beam epitaxy and the laser facets were formed by focused ion beam etching

  8. Improved interface quality and luminescence capability of InGaN/GaN quantum wells with Mg pretreatment

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Zhengyuan; Shen, Xiyang; Xiong, Huan; Li, Qingfei; Kang, Junyong; Fang, Zhilai [Xiamen University, Collaborative Innovation Center for Optoelectronic Semiconductors and Efficient Devices, Department of Physics, Xiamen (China); Lin, Feng; Yang, Bilan; Lin, Shilin [San' an Optoelectronics Co., Ltd, Xiamen (China); Shen, Wenzhong [Shanghai Jiao Tong University, Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Department of Physics, Shanghai (China); Zhang, Tong-Yi [Shanghai University, Shanghai University Materials Genome Institute and Shanghai Materials Genome Institute, Shanghai (China)

    2016-02-15

    Interface modification of high indium content InGaN/GaN quantum wells was carried out by Mg pretreatment of the GaN barrier surface. The indium in the Mg-pretreated InGaN layer was homogeneously distributed, making the interfaces abrupt. The improved interface quality greatly enhanced light emission capacity. The cathodoluminescence intensity of the Mg-pretreated InGaN/GaN quantum wells was correspondingly much stronger than those of the InGaN/GaN quantum wells without Mg pretreatment. (orig.)

  9. Modeling and simulation of InGaN/GaN quantum dots solar cell

    International Nuclear Information System (INIS)

    Aissat, A.; Benyettou, F.; Vilcot, J. P.

    2016-01-01

    Currently, quantum dots have attracted attention in the field of optoelectronics, and are used to overcome the limits of a conventional solar cell. Here, an In 0.25 Ga 0.75 N/GaN Quantum Dots Solar Cell has been modeled and simulated using Silvaco Atlas. Our results show that the short circuit current increases with the insertion of the InGaN quantum dots inside the intrinsic region of a GaN pin solar cell. In contrary, the open circuit voltage decreases. A relative optimization of the conversion efficiency of 54.77% was achieved comparing a 5-layers In 0.25 Ga 0.75 N/GaN quantum dots with pin solar cell. The conversion efficiency begins to decline beyond 5-layers quantum dots introduced. Indium composition of 10 % improves relatively the efficiency about 42.58% and a temperature of 285 K gives better conversion efficiency of 13.14%.

  10. Modeling and simulation of InGaN/GaN quantum dots solar cell

    Science.gov (United States)

    Aissat, A.; Benyettou, F.; Vilcot, J. P.

    2016-07-01

    Currently, quantum dots have attracted attention in the field of optoelectronics, and are used to overcome the limits of a conventional solar cell. Here, an In0.25Ga0.75N/GaN Quantum Dots Solar Cell has been modeled and simulated using Silvaco Atlas. Our results show that the short circuit current increases with the insertion of the InGaN quantum dots inside the intrinsic region of a GaN pin solar cell. In contrary, the open circuit voltage decreases. A relative optimization of the conversion efficiency of 54.77% was achieved comparing a 5-layers In0.25Ga0.75N/GaN quantum dots with pin solar cell. The conversion efficiency begins to decline beyond 5-layers quantum dots introduced. Indium composition of 10 % improves relatively the efficiency about 42.58% and a temperature of 285 K gives better conversion efficiency of 13.14%.

  11. Interface and transport properties of GaN/graphene junction in GaN-based LEDs

    International Nuclear Information System (INIS)

    Wang Liancheng; Zhang Yiyun; Liu Zhiqiang; Guo Enqing; Yi Xiaoyan; Wang Junxi; Wang Guohong; Li Xiao; Zhu Hongwei

    2012-01-01

    A normalized circular transmission line method pattern with uniform interface area was developed to obtain contact resistances of p-, u-, n-GaN/graphene contacts (p, u and n represent p-type doped, unintentionally doped and n-type doped, respectively) and N-polar u-, n-GaN/graphene contacts in GaN-based LEDs. The resistances of the graphene/GaN contacts were mainly determined by the work function gap and the carrier concentration in GaN. Annealing caused diffusion of metal atoms and significantly influenced the interface transport properties.

  12. Two-dimensional simulation of GaAsSb/GaAs quantum dot solar cells

    Science.gov (United States)

    Kunrugsa, Maetee

    2018-06-01

    Two-dimensional (2D) simulation of GaAsSb/GaAs quantum dot (QD) solar cells is presented. The effects of As mole fraction in GaAsSb QDs on the performance of the solar cell are investigated. The solar cell is designed as a p-i-n GaAs structure where a single layer of GaAsSb QDs is introduced into the intrinsic region. The current density–voltage characteristics of QD solar cells are derived from Poisson’s equation, continuity equations, and the drift-diffusion transport equations, which are numerically solved by a finite element method. Furthermore, the transition energy of a single GaAsSb QD and its corresponding wavelength for each As mole fraction are calculated by a six-band k · p model to validate the position of the absorption edge in the external quantum efficiency curve. A GaAsSb/GaAs QD solar cell with an As mole fraction of 0.4 provides the best power conversion efficiency. The overlap between electron and hole wave functions becomes larger as the As mole fraction increases, leading to a higher optical absorption probability which is confirmed by the enhanced photogeneration rates within and around the QDs. However, further increasing the As mole fraction results in a reduction in the efficiency because the absorption edge moves towards shorter wavelengths, lowering the short-circuit current density. The influences of the QD size and density on the efficiency are also examined. For the GaAsSb/GaAs QD solar cell with an As mole fraction of 0.4, the efficiency can be improved to 26.2% by utilizing the optimum QD size and density. A decrease in the efficiency is observed at high QD densities, which is attributed to the increased carrier recombination and strain-modified band structures affecting the absorption edges.

  13. Binding of biexcitons in GaAs/AlxGa1-xAs superlattices

    DEFF Research Database (Denmark)

    Mizeikis, Vygantas; Birkedal, Dan; Langbein, Wolfgang Werner

    1997-01-01

    Properties of the heavy-hole excitons and biexcitons in GaAs/Al0.3Ga0.7As superlattices are studied using linear and nonlinear optical techniques. In superlattices with miniband halfwidths less than the exciton binding energy, the biexciton binding energy is found to be the same as in the noninte......Properties of the heavy-hole excitons and biexcitons in GaAs/Al0.3Ga0.7As superlattices are studied using linear and nonlinear optical techniques. In superlattices with miniband halfwidths less than the exciton binding energy, the biexciton binding energy is found to be the same...

  14. A hole accelerator for InGaN/GaN light-emitting diodes

    Science.gov (United States)

    Zhang, Zi-Hui; Liu, Wei; Tan, Swee Tiam; Ji, Yun; Wang, Liancheng; Zhu, Binbin; Zhang, Yiping; Lu, Shunpeng; Zhang, Xueliang; Hasanov, Namig; Sun, Xiao Wei; Demir, Hilmi Volkan

    2014-10-01

    The quantum efficiency of InGaN/GaN light-emitting diodes (LEDs) has been significantly limited by the insufficient hole injection, and this is caused by the inefficient p-type doping and the low hole mobility. The low hole mobility makes the holes less energetic, which hinders the hole injection into the multiple quantum wells (MQWs) especially when a p-type AlGaN electron blocking layer (EBL) is adopted. In this work, we report a hole accelerator to accelerate the holes so that the holes can obtain adequate kinetic energy, travel across the p-type EBL, and then enter the MQWs more efficiently and smoothly. In addition to the numerical study, the effectiveness of the hole accelerator is experimentally shown through achieving improved optical output power and reduced efficiency droop for the proposed InGaN/GaN LED.

  15. Mn-doped Ga(As,P) and (Al,Ga)As ferromagnetic semiconductors: electronic structure calculations

    Czech Academy of Sciences Publication Activity Database

    Mašek, Jan; Kudrnovský, Josef; Máca, František; Sinova, J.; MacDonald, A. H.; Champion, R.P.; Gallagher, B. L.; Jungwirth, Tomáš

    2007-01-01

    Roč. 75, č. 4 (2007), 045202/1-045202/6 ISSN 1098-0121 R&D Projects: GA ČR GA202/05/0575; GA ČR GA202/04/0583 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10100520 Keywords : ferromagnetic semiconductors * electronic structure calculations Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.172, year: 2007

  16. Self-catalyzed growth of dilute nitride GaAs/GaAsSbN/GaAs core-shell nanowires by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kasanaboina, Pavan Kumar [Department of Electrical and Computer Engineering, North Carolina A& T State University, Greensboro, North Carolina 27411 (United States); Ahmad, Estiak [Nanoengineering, Joint School of Nanoscience and Nanoengineering, NCA& T State University, Greensboro, North Carolina 27401 (United States); Li, Jia; Iyer, Shanthi [Department of Electrical and Computer Engineering, North Carolina A& T State University, Greensboro, North Carolina 27411 (United States); Nanoengineering, Joint School of Nanoscience and Nanoengineering, NCA& T State University, Greensboro, North Carolina 27401 (United States); Reynolds, C. Lewis; Liu, Yang [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2015-09-07

    Bandgap tuning up to 1.3 μm in GaAsSb based nanowires by incorporation of dilute amount of N is reported. Highly vertical GaAs/GaAsSbN/GaAs core-shell configured nanowires were grown for different N contents on Si (111) substrates using plasma assisted molecular beam epitaxy. X-ray diffraction analysis revealed close lattice matching of GaAsSbN with GaAs. Micro-photoluminescence (μ-PL) revealed red shift as well as broadening of the spectra attesting to N incorporation in the nanowires. Replication of the 4K PL spectra for several different single nanowires compared to the corresponding nanowire array suggests good compositional homogeneity amongst the nanowires. A large red shift of the Raman spectrum and associated symmetric line shape in these nanowires have been attributed to phonon localization at point defects. Transmission electron microscopy reveals the dominance of stacking faults and twins in these nanowires. The lower strain present in these dilute nitride nanowires, as opposed to GaAsSb nanowires having the same PL emission wavelength, and the observation of room temperature PL demonstrate the advantage of the dilute nitride system offers in the nanowire configuration, providing a pathway for realizing nanoscale optoelectronic devices in the telecommunication wavelength region.

  17. Effects of the strain relaxation of an AlGaN barrier layer induced by various cap layers on the transport properties in AlGaN/GaN heterostructures

    International Nuclear Information System (INIS)

    Liu Zi-Yang; Zhang Jin-Cheng; Duan Huan-Tao; Xue Jun-Shuai; Lin Zhi-Yu; Ma Jun-Cai; Xue Xiao-Yong; Hao Yue

    2011-01-01

    The strain relaxation of an AlGaN barrier layer may be influenced by a thin cap layer above, and affects the transport properties of AlGaN/GaN heterostructures. Compared with the slight strain relaxation found in AlGaN barrier layer without cap layer, it is found that a thin cap layer can induce considerable changes of strain state in the AlGaN barrier layer. The degree of relaxation of the AlGaN layer significantly influences the transport properties of the two-dimensional electron gas (2DEG) in AlGaN/GaN heterostructures. It is observed that electron mobility decreases with the increasing degree of relaxation of the AlGaN barrier, which is believed to be the main cause of the deterioration of crystalline quality and morphology on the AlGaN/GaN interface. On the other hand, both GaN and AlN cap layers lead to a decrease in 2DEG density. The reduction of 2DEG caused by the GaN cap layer may be attributed to the additional negative polarization charges formed at the interface between GaN and AlGaN, while the reduction of the piezoelectric effect in the AlGaN layer results in the decrease of 2DEG density in the case of AlN cap layer. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  18. Study on ECR dry etching and selective MBE growth of AlGaN/GaN for fabrication of quantum nanostructures on GaN (0001) substrates

    International Nuclear Information System (INIS)

    Oikawa, Takeshi; Ishikawa, Fumitaro; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    This paper attempts to form AlGaN/GaN quantum wire (QWR) network structures on patterned GaN (0001) substrates by selective molecular beam epitaxy (MBE) growth. Substrate patterns were prepared along - and -directions by electron cyclotron resonance assisted reactive-ion beam etching (ECR-RIBE) process. Selective growth was possible for both directions in the case of GaN growth, but only in the -direction in the case of AlGaN growth. A hexagonal QWR network was successfully grown on a hexagonal mesa pattern by combining the -direction and two other equivalent directions. AFM observation confirmed excellent surface morphology of the grown network. A clear cathodoluminescence (CL) peak coming from the embedded AlGaN/GaN QWR structure was clearly identified

  19. Selective epitaxial growth of monolithically integrated GaN-based light emitting diodes with AlGaN/GaN driving transistors

    International Nuclear Information System (INIS)

    Liu, Zhaojun; Ma, Jun; Huang, Tongde; Liu, Chao; May Lau, Kei

    2014-01-01

    In this Letter, we report selective epitaxial growth of monolithically integrated GaN-based light emitting diodes (LEDs) with AlGaN/GaN high-electron-mobility transistor (HEMT) drivers. A comparison of two integration schemes, selective epitaxial removal (SER), and selective epitaxial growth (SEG) was made. We found the SER resulted in serious degradation of the underlying LEDs in a HEMT-on-LED structure due to damage of the p-GaN surface. The problem was circumvented using the SEG that avoided plasma etching and minimized device degradation. The integrated HEMT-LEDs by SEG exhibited comparable characteristics as unintegrated devices and emitted modulated blue light by gate biasing

  20. Identification of the limiting factors for high-temperature GaAs, GaInP, and AlGaInP solar cells from device and carrier lifetime analysis

    Science.gov (United States)

    Perl, E. E.; Kuciauskas, D.; Simon, J.; Friedman, D. J.; Steiner, M. A.

    2017-12-01

    We analyze the temperature-dependent dark saturation current density and open-circuit voltage (VOC) for GaAs, GaInP, and AlGaInP solar cells from 25 to 400 °C. As expected, the intrinsic carrier concentration, ni, dominates the temperature dependence of the dark currents. However, at 400 °C, we measure VOC that is ˜50 mV higher for the GaAs solar cell and ˜60-110 mV lower for the GaInP and AlGaInP solar cells compared to what would be expected from commonly used solar cell models that consider only the ni2 temperature dependence. To better understand these deviations, we measure the carrier lifetimes of p-type GaAs, GaInP, and AlGaInP double heterostructures (DHs) from 25 to 400 °C using time-resolved photoluminescence. Temperature-dependent minority carrier lifetimes are analyzed to determine the relative contributions of the radiative recombination, interface recombination, Shockley-Read-Hall recombination, and thermionic emission processes. We find that radiative recombination dominates for the GaAs DHs with the effective lifetime approximately doubling as the temperature is increased from 25 °C to 400 °C. In contrast, we find that thermionic emission dominates for the GaInP and AlGaInP DHs at elevated temperatures, leading to a 3-4× reduction in the effective lifetime and ˜40× increase in the surface recombination velocity as the temperature is increased from 25 °C to 400 °C. These observations suggest that optimization of the minority carrier confinement layers for the GaInP and AlGaInP solar cells could help to improve VOC and solar cell efficiency at elevated temperatures. We demonstrate VOC improvement at 200-400 °C in GaInP solar cells fabricated with modified AlGaInP window and back surface field layers.

  1. Hydrogenation of GaAs covered by GaAlAs and subgrain boundary passivation

    Science.gov (United States)

    Djemel, A.; Castaing, J.; Chevallier, J.; Henoc, P.

    1992-12-01

    Cathodoluminescence (CL) has been performed to study the influence of hydrogen on electronic properties of GaAs with and without a GaAlAs layer. Recombination at sub-boundaries has been examined. These extended defects have been introduced by high temperature plastic deformation. The results show that they are passivated by hydrogen. The penetration of hydrogen is slowed down by the GaAlAs layer. La cathodoluminescence (CL) a été utilisée pour étudier l'influence de l'hydrogène sur les propriétés électroniques de GaAs nu et recouvert d'une couche de GaAlAs. Le caractère recombinant des sous-joints de grains a été examiné. Ces défauts étendus ont été introduits par déformation plastique à chaud. Les résultats montrent que l'hydrogène passive ces défauts. La pénétration de l'hydrogène à l'intérieur de GaAs est retardée par la présence de la couche de GaAlAs.

  2. Enhancement of indium incorporation to InGaN MQWs on AlN/GaN periodic multilayers

    Science.gov (United States)

    Monavarian, Morteza; Hafiz, Shopan; Das, Saikat; Izyumskaya, Natalia; Özgür, Ümit; Morkoç, Hadis; Avrutin, Vitaliy

    2016-02-01

    The effect of compressive strain in buffer layer on strain relaxation and indium incorporation in InGaN multi-quantum wells (MQWs) is studied for two sets of samples grown side by side on both relaxed GaN layers and strained 10-pairs of AlN/GaN periodic multilayers. The 14-nm AlN layers were utilized in both multilayers, while GaN thickness was 4.5 and 2.5 nm in the first and the second set, respectively. The obtained results for the InGaN active layers on relaxed GaN and AlN/GaN periodic multilayers indicate enhanced indium incorporation for more relaxed InGaN active layers providing a variety of emission colors from purple to green.

  3. The effect of Ga vacancies on the defect and magnetic properties of Mn-doped GaN

    International Nuclear Information System (INIS)

    Kang, Joongoo; Chang, K. J.

    2007-01-01

    We perform first-principles theoretical calculations to investigate the effect of the presence of Ga vacancy on the defect and magnetic properties of Mn-doped GaN. When a Ga vacancy (V Ga ) is introduced to the Mn ions occupying the Ga lattice sites, a charge transfer occurs from the Mn d band to the acceptor levels of V Ga , and strong Mn-N bonds are formed between the Mn ion and the N atoms in the neighborhood of V Ga . The charge transfer and chemical bonding effects significantly affect the defect and magnetic properties of Mn-doped GaN. In a Mn-V Ga complex, which consists of a Ga vacancy and one Mn ion, the dangling bond orbital of the N atom involved in the Mn-N bond is electrically deactivated, and the remaining dangling bond orbitals of V Ga lead to the shallowness of the defect level. When a Ga vacancy forms a complex with two Mn ions located at a distance of about 6 A, which corresponds to the percolation length in determining the Curie temperature in diluted Mn-doped GaN, the Mn d band is broadened and the density of states at the Fermi level is reduced due to two strong Mn-N bonds. Although the broadening and depopulation of the Mn d band weaken the ferromagnetic stability between the Mn ions, the ferromagnetism is still maintained because of the lack of antiferromagnetic superexchange interactions at the percolation length

  4. Four-junction AlGaAs/GaAs laser power converter

    Science.gov (United States)

    Huang, Jie; Sun, Yurun; Zhao, Yongming; Yu, Shuzhen; Dong, Jianrong; Xue, Jiping; Xue, Chi; Wang, Jin; Lu, Yunqing; Ding, Yanwen

    2018-04-01

    Four-junction AlGaAs/GaAs laser power converters (LPCs) with n+-GaAs/p+-Al0.37Ga0.63As heterostructure tunnel junctions (TJs) have been designed and grown by metal-organic chemical vapor deposition (MOCVD) for converting the power of 808 nm lasers. A maximum conversion efficiency η c of 56.9% ± 4% is obtained for cells with an aperture of 3.14 mm2 at an input laser power of 0.2 W, while dropping to 43.3% at 1.5 W. Measured current–voltage (I–V) characteristics indicate that the performance of the LPC can be further improved by increasing the tunneling current density of TJs and optimizing the thicknesses of sub-cells to achieve current matching in LPC. Project financially supported by the National Natural Science Foundation of China (No. 61376065) and Zhongtian Technology Group Co. Ltd.

  5. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  6. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    Science.gov (United States)

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  7. Modeling and simulation of InGaN/GaN quantum dots solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Aissat, A., E-mail: sakre23@yahoo.fr [LATSI Laboratory, Faculty of Technology, University of Blida 1 (Algeria); LASICOMLaboratory, Faculty of Sciences, University of Blida 1 (Algeria); Benyettou, F. [LASICOMLaboratory, Faculty of Sciences, University of Blida 1 (Algeria); Vilcot, J. P. [Institute of Electronics, Micro-Electronics and Nanotechnologies,UMR CNRS 8520, Université des Sciences et Technologies de Lille1, Avenue Poincaré, CS 60069, 59652 Villeneuve d’Ascq (France)

    2016-07-25

    Currently, quantum dots have attracted attention in the field of optoelectronics, and are used to overcome the limits of a conventional solar cell. Here, an In{sub 0.25}Ga{sub 0.75}N/GaN Quantum Dots Solar Cell has been modeled and simulated using Silvaco Atlas. Our results show that the short circuit current increases with the insertion of the InGaN quantum dots inside the intrinsic region of a GaN pin solar cell. In contrary, the open circuit voltage decreases. A relative optimization of the conversion efficiency of 54.77% was achieved comparing a 5-layers In{sub 0.25}Ga{sub 0.75}N/GaN quantum dots with pin solar cell. The conversion efficiency begins to decline beyond 5-layers quantum dots introduced. Indium composition of 10 % improves relatively the efficiency about 42.58% and a temperature of 285 K gives better conversion efficiency of 13.14%.

  8. Resonant Raman characterization of InAlGaN/GaN heterostructures

    International Nuclear Information System (INIS)

    Cros, A.; Cantarero, A.; Pelekanos, N.T.; Georgakilas, A.; Pomeroy, J.; Kuball, M.

    2006-01-01

    InAlGaN/GaN heterostructures and thin films with In composition ranging from 0.03 to 0.1 are characterized by means of Raman scattering excited at various energies in the ultra violet range, tuning the laser excitation energy through the band gap of In x Al y Ga 1-x-y N. It is shown that the addition of In to the Al y Ga 1-y N alloy diminishes considerably the vibration energy of the A 1 (LO) phonon mode. The phonon line is asymmetric on the low energy side, and the asymmetry increases with In content, while the main peak shifts to lower energies. A shift of the phonon energy has also been observed when the excitation energy is close to the absorption edge of the In x Al y Ga 1-x-y N layer. The nature of this shift is discussed in relation with intrinsic and extrinsic inhomogeneities in the quaternary alloy. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Resonant Raman characterization of InAlGaN/GaN heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Cros, A.; Cantarero, A. [Institut de Ciencia dels Materials, Universitat de Valencia, 46071 Valencia (Spain); Pelekanos, N.T.; Georgakilas, A. [Microelectronics Research Group, FORTH/IESL and University of Crete, P.O. Box 1527, 71110 Heraklion, Crete (Greece); Pomeroy, J.; Kuball, M. [H. H. Wills Physics Laboratory, University of Bristol, Bristol BS8 1TL (United Kingdom)

    2006-06-15

    InAlGaN/GaN heterostructures and thin films with In composition ranging from 0.03 to 0.1 are characterized by means of Raman scattering excited at various energies in the ultra violet range, tuning the laser excitation energy through the band gap of In{sub x}Al{sub y}Ga{sub 1-x-y}N. It is shown that the addition of In to the Al{sub y}Ga{sub 1-y}N alloy diminishes considerably the vibration energy of the A{sub 1}(LO) phonon mode. The phonon line is asymmetric on the low energy side, and the asymmetry increases with In content, while the main peak shifts to lower energies. A shift of the phonon energy has also been observed when the excitation energy is close to the absorption edge of the In{sub x}Al{sub y}Ga{sub 1-x-y}N layer. The nature of this shift is discussed in relation with intrinsic and extrinsic inhomogeneities in the quaternary alloy. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  11. Simulation of zincblende AlGaN/GaN high electron mobility transistors for normally-off operation

    Science.gov (United States)

    Grady, R.; Bayram, C.

    2017-07-01

    In this work we investigate design parameters enabling normally-off operation of zincblende (ZB-) phase Al X Ga(1-X)N/GaN high electron mobility transistors (HEMTs) via Synopsys Sentaurus Technology Computer Aided Design (TCAD). As ZB-phase III-nitrides are polarization-free, the 2D electron gas (2DEG) channel at the Al X Ga(1-X)N/GaN heterojunction is formed through intentional δ-doping part of the Al X Ga(1-X)N barrier layer. The impact of each of the design parameters (i.e. Al-content and thickness of Al X Ga(1-X)N barrier; δ-doping location (within the Al X Ga(1-X)N barrier), δ-doped Al X Ga(1-X)N layer thickness and its doping amount; gate metal) are studied in detail and design trade-offs are reported. We show that work function of the gate metal impacts normally-off behavior and turn-on voltage considerably. Our results suggest that Al-content of 35% or less in the Al X Ga(1-X)N barrier results in a normally-off behavior whereas Al X Ga(1-X)N barrier thickness is effective in controlling the turn-on voltage. Overall, we provide design guidelines in controlling the normally-on/-off operation, threshold voltage, and 2DEG density in ZB-phase AlGaN/GaN HEMT technology.

  12. Interfacial bonding and electronic structure of GaN/GaAs interface: A first-principles study

    International Nuclear Information System (INIS)

    Cao, Ruyue; Zhang, Zhaofu; Wang, Changhong; Li, Haobo; Dong, Hong; Liu, Hui; Wang, Weichao; Xie, Xinjian

    2015-01-01

    Understanding of GaN interfacing with GaAs is crucial for GaN to be an effective interfacial layer between high-k oxides and III-V materials with the application in high-mobility metal-oxide-semiconductor field effect transistor (MOSFET) devices. Utilizing first principles calculations, here, we investigate the structural and electronic properties of the GaN/GaAs interface with respect to the interfacial nitrogen contents. The decrease of interfacial N contents leads to more Ga dangling bonds and As-As dimers. At the N-rich limit, the interface with N concentration of 87.5% shows the most stability. Furthermore, a strong band offsets dependence on the interfacial N concentration is also observed. The valance band offset of N7 with hybrid functional calculation is 0.51 eV. The electronic structure analysis shows that significant interface states exist in all the GaN/GaAs models with various N contents, which originate from the interfacial dangling bonds and some unsaturated Ga and N atoms. These large amounts of gap states result in Fermi level pinning and essentially degrade the device performance

  13. MOVPE growth of violet GaN LEDs on β-Ga2O3 substrates

    Science.gov (United States)

    Li, Ding; Hoffmann, Veit; Richter, Eberhard; Tessaro, Thomas; Galazka, Zbigniew; Weyers, Markus; Tränkle, Günther

    2017-11-01

    We report that a H2-free atmosphere is essential for the initial stage of metalorganic vapour phase epitaxy (MOVPE) growth of GaN on β-Ga2O3 to prevent the surface from damage. A simple growth method is proposed that can easily transfer established GaN growth recipes from sapphire to β-Ga2O3 with both (-2 0 1) and (1 0 0) orientations. This method features a thin AlN nucleation layer grown below 900 °C in N2 atmosphere to protect the surface of β-Ga2O3 from deterioration during further growth under the H2 atmosphere. Based on this, we demonstrate working violet vertical light emitting diodes (VLEDs) on n-conductive β-Ga2O3 substrates.

  14. Recessed insulator and barrier AlGaN/GaN HEMT: A novel structure ...

    Indian Academy of Sciences (India)

    2017-03-08

    Mar 8, 2017 ... AlGaN/GaN high electron mobility transistor; breakdown voltage; output power density; short channel effect ... is an n-type heavily doped Al0.32Ga0.68N while the ..... [15] S E J Mahabadi, A A Orouji, P Keshavarzi and H A.

  15. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  16. Gate length scaling effect on high-electron mobility transistors devices using AlGaN/GaN and AlInN/AlN/GaN heterostructures.

    Science.gov (United States)

    Liao, S Y; Lu, C C; Chang, T; Huang, C F; Cheng, C H; Chang, L B

    2014-08-01

    Compared to AlGaN/GaN HEMT with 0.15 μm T-gate length, the AlInN/AlN/GaN one exhibits much higher current density and transconductance of 1558 mA/mm at Vd = 2 V and 330 mS/mm, respectively. The high extrinsic ft and fmax of 82 GHz and 70 GHz are extracted from AlInN/AlN/GaN HEMT. Besides, we find that the transconductance roll-off is significant in AlGaN/GaN, but largely improved in AlInN/AlN/GaN HEMT, suggesting that the high carrier density and lattice-matched epitaxial heterostructure is important to reach both large RF output power and high operation frequency, especially for an aggressively gate length scaling.

  17. Raman Scattering analysis of InGaAs and AlGaAs superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Oeztuerk, N.; Bahceli, S.

    2010-01-01

    InGaAs/GaAs and AlGaAs/GaAs multiple quantum well structures were grown by molecular beam epitaxy and investigated by X-ray diffraction and micro Raman spectroscopy. Phonon modes are investigated in backscattering from (001) surface. In the measured micro Raman spectrum for both structure, phonon peaks can be resolved for GaAs. These are longitudinal optical (LO) mode at 293 cm - 1 and 294 cm - 1 for InGaAs and AlGaAs, respectively.

  18. Defect Structure of High-Temperature-Grown GaMnSb/GaSb

    International Nuclear Information System (INIS)

    Romanowski, P.; Bak-Misiuk, J.; Dynowska, E.; Domagala, J.Z.; Wojciechowski, T.; Jakiela, R.; Sadowski, J.; Barcz, A.; Caliebe, W.

    2010-01-01

    GaMnSb/GaSb(100) layers with embedded MnSb inclusions have been grown at 720 K using MBE technique. This paper presents the investigation of the defect structure of Ga1-xMnxSb layers with different content of manganese (up to x = 0.07). X-ray diffraction method using conventional and synchrotron radiation was applied. Dimensions and shapes of inclusions were detected by scanning electron microscopy. Depth profiles of elements were measured using secondary ion mass spectroscopy technique. (authors)

  19. Growth and Characterisation of GaAs/AlGaAs Core-shell Nanowires for Optoelectronic Device Applications

    Science.gov (United States)

    Jiang, Nian

    III-V semiconductor nanowires have been investigated as key components for future electronic and optoelectronic devices and systems due to their direct band gap and high electron mobility. Amongst the III-V semiconductors, the planar GaAs material system has been extensively studied and used in industries. Accordingly, GaAs nanowires are the prime candidates for nano-scale devices. However, the electronic performance of GaAs nanowires has yet to match that of state-of-the-art planar GaAs devices. The present deficiency of GaAs nanowires is typically attributed to the large surface-to- volume ratio and the tendency for non-radiative recombination centres to form at the surface. The favoured solution of this problem is by coating GaAs nanowires with AlGaAs shells, which replaces the GaAs surface with GaAs/AlGaAs interface. This thesis presents a systematic study of GaAs/AlGaAs core-shell nanowires grown by metal organic chemical vapour deposition (MOCVD), including understanding the growth, and characterisation of their structural and optical properties. The structures of the nanowires were mainly studied by scanning electron microscopy and transmis- sion electron microscopy (TEM). A procedure of microtomy was developed to prepare the cross-sectional samples for the TEM studies. The optical properties were charac- terised by photoluminescence (PL) spectroscopy. Carrier lifetimes were measured by time-resolved PL. The growth of AlGaAs shell was optimised to obtain the best optical properties, e.g. the strongest PL emission and the longest minority carrier lifetimes. (Abstract shortened by ProQuest.).

  20. Temperature coefficients for GaInP/GaAs/GaInNAsSb solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Aho, Arto; Isoaho, Riku; Tukiainen, Antti; Polojärvi, Ville; Aho, Timo; Raappana, Marianna; Guina, Mircea [Optoelectronics Research Centre, Tampere University of Technology, P.O. Box 692, FIN-33101 Tampere (Finland)

    2015-09-28

    We report the temperature coefficients for MBE-grown GaInP/GaAs/GaInNAsSb multijunction solar cells and the corresponding single junction sub-cells. Temperature-dependent current-voltage measurements were carried out using a solar simulator equipped with a 1000 W Xenon lamp and a three-band AM1.5D simulator. The triple-junction cell exhibited an efficiency of 31% at AM1.5G illumination and an efficiency of 37–39% at 70x real sun concentration. The external quantum efficiency was also measured at different temperatures. The temperature coefficients up to 80°C, for the open circuit voltage, the short circuit current density, and the conversion efficiency were determined to be −7.5 mV/°C, 0.040 mA/cm{sup 2}/°C, and −0.09%/°C, respectively.

  1. Improving p-type doping efficiency in Al0.83Ga0.17N alloy substituted by nanoscale (AlN)5/(GaN)1 superlattice with MgGa-ON δ-codoping: Role of O-atom in GaN monolayer

    Science.gov (United States)

    Zhong, Hong-xia; Shi, Jun-jie; Zhang, Min; Jiang, Xin-he; Huang, Pu; Ding, Yi-min

    2015-01-01

    We calculate Mg-acceptor activation energy EA and investigate the influence of O-atom, occupied the Mg nearest-neighbor, on EA in nanoscale (AlN)5/(GaN)1 superlattice (SL), a substitution for Al0.83Ga0.17N disorder alloy, using first-principles calculations. We find that the N-atom bonded with Ga-atom is more easily substituted by O-atom and nMgGa-ON (n = 1-3) complexes are favorable and stable in the SL. The O-atom plays a dominant role in reducing EA. The shorter the Mg-O bond is, the smaller the EA is. The Mg-acceptor activation energy can be reduced significantly by nMgGa-ON δ-codoping. Our calculated EA for 2MgGa-ON is 0.21 eV, and can be further reduced to 0.13 eV for 3MgGa-ON, which results in a high hole concentration in the order of 1020 cm-3 at room temperature in (AlN)5/(GaN)1 SL. Our results prove that nMgGa-ON (n = 2,3) δ-codoping in AlN/GaN SL with ultrathin GaN-layer is an effective way to improve p-type doping efficiency in Al-rich AlGaN.

  2. Improving p-type doping efficiency in Al0.83Ga0.17N alloy substituted by nanoscale (AlN5/(GaN1 superlattice with MgGa-ON δ-codoping: Role of O-atom in GaN monolayer

    Directory of Open Access Journals (Sweden)

    Hong-xia Zhong

    2015-01-01

    Full Text Available We calculate Mg-acceptor activation energy EA and investigate the influence of O-atom, occupied the Mg nearest-neighbor, on EA in nanoscale (AlN5/(GaN1 superlattice (SL, a substitution for Al0.83Ga0.17N disorder alloy, using first-principles calculations. We find that the N-atom bonded with Ga-atom is more easily substituted by O-atom and nMgGa-ON (n = 1-3 complexes are favorable and stable in the SL. The O-atom plays a dominant role in reducing EA. The shorter the Mg-O bond is, the smaller the EA is. The Mg-acceptor activation energy can be reduced significantly by nMgGa-ON δ-codoping. Our calculated EA for 2MgGa-ON is 0.21 eV, and can be further reduced to 0.13 eV for 3MgGa-ON, which results in a high hole concentration in the order of 1020 cm−3 at room temperature in (AlN5/(GaN1 SL. Our results prove that nMgGa-ON (n = 2,3 δ-codoping in AlN/GaN SL with ultrathin GaN-layer is an effective way to improve p-type doping efficiency in Al-rich AlGaN.

  3. Real-time studies of In-adlayer during PAMBE of InGaN/GaN MQWs

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Tong-Ho; Yoon, Inho; Brown, April [Department of Electrical and Computer Engineering, Duke University, 27708 Durham, NC (United States); Losurdo, Maria; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Choi, Soojeong [Materials Department, University of California, 93106 Santa Barbara, CA (United States)

    2012-03-15

    The control of In adlayer during plasma-assisted molecular beam epitaxy (PAMBE) of InGaN/GaN multiquantum wells (MQWs) is critical to achieve good structural and optical properties. This contribution focuses on the investigation by real-time spectroscopic ellipsometry, corroborated by reflection high energy electron diffraction (RHEED), of In adlayer during the PAMBE growth of InGaN/GaN MQWs. The ellipsometric data reveal In accumulation during InGaN growth, which results in thicker quantum well than designed. We address the effect of the indium adlayer on the growth of InGaN/GaN with an indium composition between 7% and 13%, and on their optical and structural properties determined by high-resolution X-ray diffraction and photoluminescence. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Double pulse doped InGaAs/AlGaAs/GaAs pseudomorphic high-electron-mobility transistor heterostructures

    International Nuclear Information System (INIS)

    Egorov, A. Yu.; Gladyshev, A. G.; Nikitina, E. V.; Denisov, D. V.; Polyakov, N. K.; Pirogov, E. V.; Gorbazevich, A. A.

    2010-01-01

    Double pulse doped (δ-doped) InGaAs/AlGaAs/GaAs pseudomorphic high-electron-mobility transistor (HEMT) heterostructures were grown by molecular-beam epitaxy using a multiwafer technological system. The room-temperature electron mobility was determined by the Hall method as 6550 and 6000 cm 2 /(V s) at sheet electron densities of 3.00 x 10 12 and 3.36 x 10 12 cm -2 , respectively. HEMT heterostructures fabricated in a single process feature high uniformity of structural and electrical characteristics over the entire area of wafers 76.2 mm in diameter and high reproducibility of characteristics from process to process.

  5. New AlGaN/GaN HEMTs employing both a floating gate and a field plate

    International Nuclear Information System (INIS)

    Lim, Jiyong; Choi, Young-Hwan; Kim, Young-Shil; Han, Min-Koo

    2010-01-01

    We designed and fabricated AlGaN/GaN high-electron-mobility transistors (HEMTs) employing both a floating gate (FG) and a field plate (FP), which increase the breakdown voltage of AlGaN/GaN HEMTs significantly without sacrificing forward electric characteristics. The electric field strength at the gate-drain region of the proposed AlGaN/GaN HEMT was reduced successfully due to an increase in the number of depletion region edges. The breakdown voltage of the proposed AlGaN/GaN HEMT was 1106 V, while those of the conventional devices with only an FP or FG were 688 and 828 V, respectively. The leakage current of the proposed AlGaN/GaN HEMTs was 1.68 μA under a reverse bias of -100 V while those of the conventional devices with only an FP or FG were 3.21 and 1.91 μA, respectively, under the same condition. The forward electric characteristics of the proposed and conventional AlGaN/GaN HEMTs are similar. The maximum drain current of the proposed AlGaN/GaN HEMTs was 344 mA mm -1 while those of the conventional devices with only an FP or FG were 350 and 357 mA mm -1 , respectively. The maximum transconductance of the proposed device was 102.9 mS mm -1 , while those of the conventional devices were 97.8 and 101.9 mS mm -1 . The breakdown voltage and the leakage current of the proposed device were improved considerably without sacrificing the forward electric characteristics. It should be noted that there were no additional processing steps and mask levels compared to the conventional FP process.

  6. Behavior of misfit dislocations in semipolar InGaN/GaN grown by MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Kuwahara, Takaaki [Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Kuwano, Noriyuki [Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Kurisu, Akihiko; Okada, Narihito; Tadatomo, Kazuyuki [Graduate School of Science and Engineering, Yamaguchi University, Ube, Yamaguchi 755-8611 (Japan)

    2012-03-15

    A microstructure in an InGaN/GaN layer grown at the semipolar direction was observed in detail by means of transmission electron microscopy (TEM) in order to analyze the behaviour of dislocations. A (11 anti 22) GaN layer was first deposited on a maskless r (1 anti 102)-plane patterned-substrate, and then an In{sub x} Ga{sub 1-x}N (x =0.10, 0.24) was overgrown to be about 1 {mu}m in thickness. Dislocations near the interface of InGaN/GaN are classified into several types: 1 Threading dislocations lying on (0001). 2. Misfit dislocations lying on the interface of InGaN/GaN. 3. Dislocations along [1 anti 100] at a certain distance from the interface. 4. Dislocations newly formed at the interface and developing along [11 anti 20] on (0001). 5. Partial dislocations accompanied with a stacking fault on (0001). It was found that the misfit dislocations are arrayed in pairs at the direction along [1 anti 100] on the interface of (11 anti 22). Burgers vector of the misfit dislocations was found to be B = <2 anti 1 anti 13>/3. In case of B = [ anti 1 anti 123]/3, they are edge dislocations. The densities of dislocations and stacking faults increase with the In-content in InGaN. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Simulation of zincblende AlGaN/GaN high electron mobility transistors for normally-off operation

    International Nuclear Information System (INIS)

    Grady, R; Bayram, C

    2017-01-01

    In this work we investigate design parameters enabling normally-off operation of zincblende (ZB-) phase Al X Ga (1−X) N/GaN high electron mobility transistors (HEMTs) via Synopsys Sentaurus Technology Computer Aided Design (TCAD). As ZB-phase III-nitrides are polarization-free, the 2D electron gas (2DEG) channel at the Al X Ga (1−X) N/GaN heterojunction is formed through intentional δ -doping part of the Al X Ga (1−X) N barrier layer. The impact of each of the design parameters (i.e. Al-content and thickness of Al X Ga (1−X) N barrier; δ -doping location (within the Al X Ga (1−X) N barrier), δ-doped Al X Ga (1−X) N layer thickness and its doping amount; gate metal) are studied in detail and design trade-offs are reported. We show that work function of the gate metal impacts normally-off behavior and turn-on voltage considerably. Our results suggest that Al-content of 35% or less in the Al X Ga (1−X) N barrier results in a normally-off behavior whereas Al X Ga (1−X) N barrier thickness is effective in controlling the turn-on voltage. Overall, we provide design guidelines in controlling the normally-on/-off operation, threshold voltage, and 2DEG density in ZB-phase AlGaN/GaN HEMT technology. (paper)

  8. Isothermal cross-sections of Hf-Sc-Ga(800 deg C) and Hf-Ti-Ga (750 deg C) phase diagrams

    International Nuclear Information System (INIS)

    Markiv, V.Ya.; Belyavina, N.N.

    1981-01-01

    Isothermal cross sections of Hf-Sc-Ga (800 deg C) and Hf-Ti-Ga (750 deg C) state diagrams are plotted. The existence of two ternary Hfsub(0.1-0.8)Scsub(0.9)-sub(0.2)Ga and Hfsub(0.8)Scsub(0.2)Gasub(3) phases is stated in the Hf-Sc-Ga system. The crystal structure of these compounds investigated by the powder method belongs to the structural α-MoB and ZrAl 3 types respectively. Continuous rows of (Hf, Sc 5 Ga 5 , (Hf, Ti)Ga 3 and (Hf, Ti)Ga 2 solid solutions are formed in the investigated systems. Essential quantity of the third component dissolve binary Sc 5 Ga 4 , Sc 2 Ga 3 (15 and 30 at % Hf respectively), Hf 5 Ga 4 , HfGa 2 (20, 10 at. % Sc), Hf 5 Ga 4 , HfGa, Hf 5 Ga 3 , Hf 2 Ga 3 (48, 30, 46, 20 at. % Ti) gallides [ru

  9. A gate current 1/f noise model for GaN/AlGaN HEMTs

    International Nuclear Information System (INIS)

    Liu Yu'an; Zhuang Yiqi

    2014-01-01

    This work presents a theoretical and experimental study on the gate current 1/f noise in AlGaN/GaN HEMTs. Based on the carrier number fluctuation in the two-dimensional electron gas channel of AlGaN/GaN HEMTs, a gate current 1/f noise model containing a trap-assisted tunneling current and a space charge limited current is built. The simulation results are in good agreement with the experiment. Experiments show that, if V g < V x (critical gate voltage of dielectric relaxation), gate current 1/f noise comes from the superimposition of trap-assisted tunneling RTS (random telegraph noise), while V g > V x , gate current 1/f noise comes from not only the trap-assisted tunneling RTS, but also the space charge limited current RTS. This indicates that the gate current 1/f noise of the GaN-based HEMTs device is sensitive to the interaction of defects and the piezoelectric relaxation. It provides a useful characterization tool for deeper information about the defects and their evolution in AlGaN/GaN HEMTs. (semiconductor devices)

  10. Optical properties of GaS:Ho3+ and GaS:Tm3+ single crystals

    International Nuclear Information System (INIS)

    Jin, Moon-Seog; Kim, Chang-Dae; Kim, Wha-Tek

    2004-01-01

    GaS:Ho 3+ and GaS:Tm 3+ single crystals were grown by using the chemical transport reaction method. We measured the optical absorption, the infra-red absorption, and the photoluminescence spectra of the single crystals. The direct and the indirect energy band gaps of the single crystals at 13 K were identified. Infra-red absorption peaks at 6 K appeared in the single crystals. Broad emission bands at 6 K were observed at 464 nm and 580 nm for GaS:Ho 3+ and 462 nm and 581 nm for GaS:Tm 3+ . These broad emission bands were identified as originating from donor-acceptor pair recombinations. Sharp emission peak groups were observed near 435 nm, 495 nm, and 660 nm for GaS:Ho 3+ and near 672 nm for GaS:Tm 3+ . These sharp emission peak groups were identified as being due to the electron transitions between the energy levels of Ho 3+ and Tm 3+ . Especially, white photoluminescence was obtained in the GaS:Ho 3+ single crystal.

  11. Phase transition induced anelasticity in Fe–Ga alloys with 25 and 27%Ga

    Energy Technology Data Exchange (ETDEWEB)

    Golovin, I.S., E-mail: i.golovin@misis.ru [National University of Science and Technology “MISIS”, Leninsky ave. 4, 119049, Moscow (Russian Federation); Balagurov, A.M., E-mail: bala@nf.jinr.ru [Frank Laboratory of Neutron Physics, Joint Institute for Nuclear Research, 141980, Dubna (Russian Federation); Bobrikov, I.A. [Frank Laboratory of Neutron Physics, Joint Institute for Nuclear Research, 141980, Dubna (Russian Federation); Palacheva, V.V. [National University of Science and Technology “MISIS”, Leninsky ave. 4, 119049, Moscow (Russian Federation); Cifre, J. [Universitat de les Illes Balears, Ctra. De Valldemossa, km.7.5, E-07122, Palma de Mallorca (Spain)

    2016-08-05

    Neutron diffraction and mechanical spectroscopy techniques were applied to study phase transitions in Fe–Ga alloys with 25 and 27 at.% Ga. The following sequences of phase transitions at continuous heating and subsequent cooling in the 20–900 °C temperature range were recorded: D0{sub 3} → L1{sub 2} (limited amount) → A2(B2) was recorded at heating and A2(B2) → D0{sub 3} at cooling for Fe-24.8Ga alloy, and the D0{sub 3} → L1{sub 2} → D0{sub 19} → A2(B2) was recorded at heating and A2(B2) → L1{sub 2} at cooling for Fe-27.4Ga alloy. Thus, the difference in 2.6 at.%Ga between two studied compositions with D0{sub 3} structure leads to their different structures after heating to 900 °C. These transition sequences determine different temperature dependencies of elastic and anelastic properties. The D0{sub 3} → A2(B2) transition (in Fe-25Ga) does not lead to a well-pronounced anelastic effect, in contrast the D0{sub 3} → L1{sub 2} transition (in Fe-27Ga) generates internal stresses due to a different rate of an increase in the lattice parameter with temperature and leads to a well-pronounced transient internal friction effect. - Highlights: • Neutron diffraction technique is used to study in situ phase transitions in Fe-25 and 27 at.% Ga. • D0{sub 3} → L1{sub 2} → D0{sub 19} → A2/B2 transitions were recorded at instant heating in Fe-27 at.% Ga. • D0{sub 3} → L1{sub 2} (limited amount) → A2(B2) was recorded at instant heating in Fe-25 at.% Ga • The D0{sub 3} → L1{sub 2} transition generates internal stresses and leads to elastic and anelastic response.

  12. Strain compensation in InGaN-based multiple quantum wells using AlGaN interlayers

    Directory of Open Access Journals (Sweden)

    Syed Ahmed Al Muyeed

    2017-10-01

    Full Text Available Data are presented on strain compensation in InGaN-based multiple quantum wells (MQW using AlGaN interlayers (ILs. The MQWs consist of five periods of InxGa1-xN/AlyGa1-yN/GaN emitting in the green (λ ∼ 535 nm ± 15 nm, and the AlyGa1-yN IL has an Al composition of y = 0.42. The IL is varied from 0 - 2.1 nm, and the relaxation of the MQW with respect to the GaN template layer varies with IL thickness as determined by reciprocal space mapping about the (202¯5 reflection. The minimum in the relaxation occurs at an interlayer thickness of 1 nm, and the MQW is nearly pseudomorphic to GaN. Both thinner and thicker ILs display increased relaxation. Photoluminescence data shows enhanced spectral intensity and narrower full width at half maximum for the MQW with 1 nm thick ILs, which is a product of pseudomorphic layers with lower defect density and non-radiative recombination.

  13. Unconventional superconductivity in PuRhGa5: Ga NMR/NQR study

    International Nuclear Information System (INIS)

    Sakai, H.; Tokunaga, Y.; Fujimoto, T.; Kambe, S.; Walstedt, R.E.; Yasuoka, H.; Aoki, D.; Homma, Y.; Yamamoto, E.; Nakamura, A.; Shiokawa, Y.; Nakajima, K.; Arai, Y.; Matsuda, T.D.; Haga, Y.; Onuki, Y.

    2006-01-01

    69,71 Ga NMR/NQR studies have been performed on a single crystal of the transuranium superconductor PuRhGa 5 with T c ∼9K. The spin-lattice relaxation rate 1/T 1 reveals that PuRhGa 5 is an unconventional superconductor having an anisotropic superconducting gap. Moreover, Korringa behavior (1/T 1 T=const.) is observed in the normal state below ∼30K. This result suggests that the superconductivity sets in after the formation of a Fermi liquid state in this compound

  14. Simulation of I-V and C-V curves of metal/GaN/AlGaN/GaN heterostructures with trap-assisted tunnelling

    International Nuclear Information System (INIS)

    Racko, J.; Benko, P.; Grmanova, A.; Harmatha, L.; Breza, J.; Granzner, R.; Schwierz, F.

    2013-01-01

    The described trap-assisted tunnelling (TAT) model of the metal/GaN/Al_xGaN_1_-_x/GaN structure allows analyzing the effect of deep traps upon I-V and C-V characteristics. The negative charge with magnitude proportional to the molar concentration of aluminium gives rise to a barrier at the first heterojunction, which is higher than the Schottky barrier at the metal/GaN interface. On increasing the reverse bias Va the barrier at the first heterojunction is getting lower. The drop of this barrier causes an exponential increase of the reverse current. The current saturates, when the first heterojunction barrier is lower than the Schottky barrier. The effect of TAT can be observed also on varying the parameters of the band of traps. The shape of the simulated C-V curve is affected by changes in the charge on the second heterojunction. In principle it reflects the decrease of electron concentration in the quantum well on increasing the reverse bias V_a. The space charge region becomes wider and the electron concentration at the second heterojunction falls below the concentration of ionized deep trapping centres, which manifests itself as a noticeable drop in the capacitance of the metal/GaN/Al_xGaN_1_-_x/GaN structure. (authors)

  15. Investigation on Surface Polarization of Al2O3-capped GaN/AlGaN/GaN Heterostructure by Angle-Resolved X-ray Photoelectron Spectroscopy.

    Science.gov (United States)

    Duan, Tian Li; Pan, Ji Sheng; Wang, Ning; Cheng, Kai; Yu, Hong Yu

    2017-08-17

    The surface polarization of Ga-face gallium nitride (GaN) (2 nm)/AlGaN (22 nm)/GaN channel (150 nm)/buffer/Si with Al 2 O 3 capping layer is investigated by angle-resolved X-ray photoelectron spectroscopy (ARXPS). It is found that the energy band varies from upward bending to downward bending in the interface region, which is believed to be corresponding to the polarization variation. An interfacial layer is formed between top GaN and Al 2 O 3 due to the occurrence of Ga-N bond break and Ga-O bond forming during Al 2 O 3 deposition via the atomic layer deposition (ALD). This interfacial layer is believed to eliminate the GaN polarization, thus reducing the polarization-induced negative charges. Furthermore, this interfacial layer plays a key role for the introduction of the positive charges which lead the energy band downward. Finally, a N 2 annealing at 400 °C is observed to enhance the interfacial layer growth thus increasing the density of positive charges.

  16. Photoelectrochemical studies of InGaN/GaN MQW photoanodes

    Science.gov (United States)

    Butson, Joshua; Reddy Narangari, Parvathala; Krishna Karuturi, Siva; Yew, Rowena; Lysevych, Mykhaylo; Tan, Hark Hoe; Jagadish, Chennupati

    2018-01-01

    The research interest in photoelectrochemical (PEC) water splitting is ever growing due to its potential to contribute towards clean and portable energy. However, the lack of low energy band gap materials with high photocorrosion resistance is the primary setback inhibiting this technology from commercialisation. The ternary alloy InGaN shows promise to meet the photoelectrode material requirements due to its high chemical stability and band gap tunability. The band gap of InGaN can be modulated from the UV to IR regions by adjusting the In concentration so as to absorb the maximum portion of the solar spectrum. This paper reports on the influence of In concentration on the PEC properties of planar and nanopillar (NP) InGaN/GaN multi-quantum well (MQW) photoanodes, where NPs were fabricated using a top-down approach. Results show that changing the In concentration, while having a minor effect on the PEC performance of planar MQWs, has an enormous impact on the PEC performance of NP MQWs, with large variations in the photocurrent density observed. Planar photoanodes containing MQWs generate marginally lower photocurrents compared to photoanodes without MQWs when illuminated with sunlight. NP MQWs with 30% In generated the highest photocurrent density of 1.6 mA cm-2, 4 times greater than that of its planar counterpart and 1.8 times greater than that of the NP photoanode with no MQWs. The InGaN/GaN MQWs also slightly influenced the onset potential of both the planar and NP photoanodes. Micro-photoluminescence, diffuse reflectance spectroscopy and IPCE measurements are used to explain these results.

  17. Efeito de ácido giberélico, GA3, e GA4 + GA7 em pós-colheita de crisântemo e solidago.

    Directory of Open Access Journals (Sweden)

    Denise Laschii

    1999-05-01

    Full Text Available O experimento foi instalado no laboratório do Departamento de Horticultura da FCA/ UNESP, no período de 14 de novembro a 3 de dezembro de 1998. As hastes de crisântemo (Dendranthema grandiflora Tzvelev e solidago (Solidago canadensis L. foram selecionadas e colocadas em solução de "pulsing", por 24 horas, visando avaliar as respostas aos seguintes tratamentos: Água (testemunha, GA3 10 mg.L-1 (Pro-Gibb; GA3 20 mg.L-I; GA3 30 mg.L-I; GA4 + GA7 10 mg.L-1 (Pro-Vibe, GA4 + GA7 20 mg.L-1 e GA4 + GA7 30 mg.L-1. Após os tratamentos de "pulsing", as hastes foram colocadas em recipientes contendo 1.000 mL de água, que foi trocada a cada dois dias. Avaliou-se o número de dias até o descarte, tanto para hastes de solidago quanto para crisântemo, para cada tratamento testado. Avaliou--se também a qualidade das folhas de solidago conforme o seguinte critério: índice de qualidade (IQ = 3 (folhas verdes, IQ = 2 (folhas em início de amarelecimento e IQ = 1 (folhas amarelas ou queimadas. Pelos resultados concluiu-se que: hastes cortadas de solidago e crisântemo diferiram quanto às respostas aos tratamentos pós-colheita, em relação ao tipo de giberelina utilizada; GA4 + GA7 10 mg.L-1 foi eficiente na manutenção da qualidade de hastes cortadas de solidago, e GA3 nas concentrações utilizadas no experimento apresentaram efeito deletério nas folhas de solidago; GA3 10 e 20 mg.L-1 foram mais eficientes na manutenção da qualidade pós-colheita de hastes de crisântemo.

  18. Fabrication of MnGa/GaAs contacts for optoelectronics and spintronics applications

    Energy Technology Data Exchange (ETDEWEB)

    Dorokhin, M. V., E-mail: dorokhin@nifti.unn.ru [Lobachevsky State University, Physical–Technical Research Institute (Russian Federation); Pavlov, D. A.; Bobrov, A. I. [Lobachevsky State University, Physical Department (Russian Federation); Danilov, Yu. A.; Lesnikov, V. P.; Zvonkov, B. N.; Zdoroveyshchev, A. V. [Lobachevsky State University, Physical–Technical Research Institute (Russian Federation); Kudrin, A. V. [Lobachevsky State University, Physical Department (Russian Federation); Demina, P. B. [Lobachevsky State University, Physical–Technical Research Institute (Russian Federation); Usov, Yu. V.; Nikolichev, D. E.; Kryukov, R. N.; Zubkov, S. Yu. [Lobachevsky State University, Physical Department (Russian Federation)

    2016-11-15

    The crystal structure, composition, and magnetic, and electric-transport properties of Mn{sub x}Ga{sub y} layers deposited onto a GaAs surface by pulsed laser deposition in a hydrogen atmosphere, pulsed laser deposition in vacuum, and electron-beam evaporation in vacuum are investigated. It is shown that the features of each technique affect the composition and crystal structure of the formed layers, and the degree of abruptness and crystalline quality of the heterointerface. Apparently, the composition and crystal structure are responsible for modification of the ferromagnetic properties. The defects in the heterointerface affect the properties of the Mn{sub x}Ga{sub y}/GaAs diode structure, in particular, the height of the Schottky diode potential barrier.

  19. Determination of Temperature-Dependent Stress State in Thin AlGaN Layer of AlGaN/GaN HEMT Heterostructures by Near-Resonant Raman Scattering

    OpenAIRE

    Yanli Liu; Xifeng Yang; Dunjun Chen; Hai Lu; Rong Zhang; Youdou Zheng

    2015-01-01

    The temperature-dependent stress state in the AlGaN barrier layer of AlGaN/GaN heterostructure grown on sapphire substrate was investigated by ultraviolet (UV) near-resonant Raman scattering. Strong scattering peak resulting from the A1(LO) phonon mode of AlGaN is observed under near-resonance condition, which allows for the accurate measurement of Raman shifts with temperature. The temperature-dependent stress in the AlGaN layer determined by the resonance Raman spectra is consistent with th...

  20. High-capacity, high-strength trailer designs for the GA-4/GA-9 Casks

    International Nuclear Information System (INIS)

    Kissinger, J.A.; Rickard, N.D.; Taylor, C.; Zimmer, A.

    1991-01-01

    General Atomics (GA) is developing final designs for two dedicated legal-weight trailers to transport the GA-4 and GA-9 Spent-Fuel Casks. The basic designs for these high-capacity, high-strength trailers are essentially identical except for small modifications to account for the differences in cask geometry. We are designing both trailers to carry a 55,000 lb (24,900 kg) payload and to withstand a 2.5 g vertical design load. The GA-4 and GA-9 trailers are designed for significantly higher loads than are typical commercial semitrailers, which are designed to loads in the range of 1.7 to 2.0 g. To meet the federal gross vehicle weight limit for legal-weight trucks, GA has set a target design weight for the trailers of 9000 lb (4080 kg). This weight includes the personnel barrier, cask tiedowns, and impact limiter removal and storage system. Based on the preliminary trailer designs, the final design weight is expected to be very close to this target weight. 3 refs., 3 figs

  1. High-capacity, high-strength trailer designs for the GA-4/GA-9 casks

    International Nuclear Information System (INIS)

    Rickard, N.D.; Kissinger, J.A.; Taylor, C.; Zimmer, A.

    1991-01-01

    General Atomics (GA) is developing final designs for two dedicated legal-weight trailers to transport the GA-4 and GA-9 Spent-Fuel Casks. The basic designs for these high-capacity, high-strength trailers are essentially identical except for small modifications to account for the differences in cask geometry. The authors are designing both trailers to carry a 55,000 lb (24,900 kg) payload and to withstand a 2.5 g vertical design load. The GA-4 and GA-9 trailers are designed for significantly higher loads than are typical commercial semitrailers, which are designed to loads in the range of 1.7 to 2.0 g. To meet the federal gross vehicle weight limit for legal-weight trucks, GA has set a target design weight for the trailers of 9000 lb (4080 kg). This weight includes the personnel barrier, cask tiedowns, and impact limiter removal and storage system. Based on the preliminary trailer designs, the final design weight will to be very close to this target weight

  2. Magnetic domain pattern asymmetry in (Ga, Mn)As/(Ga,In)As with in-plane anisotropy

    Science.gov (United States)

    Herrera Diez, L.; Rapp, C.; Schoch, W.; Limmer, W.; Gourdon, C.; Jeudy, V.; Honolka, J.; Kern, K.

    2012-04-01

    Appropriate adjustment of the tensile strain in (Ga, Mn)As/(Ga,In)As films allows for the coexistence of in-plane magnetic anisotropy, typical of compressively strained (Ga, Mn)As/GaAs films, and the so-called cross-hatch dislocation pattern seeded at the (Ga,In)As/GaAs interface. Kerr microscopy reveals a close correlation between the in-plane magnetic domain and dislocation patterns, absent in compressively strained materials. Moreover, the magnetic domain pattern presents a strong asymmetry in the size and number of domains for applied fields along the easy [11¯0] and hard [110] directions which is attributed to different domain wall nucleation/propagation energies. This strong influence of the dislocation lines in the domain wall propagation/nucleation provides a lithography-free route to the effective trapping of domain walls in magneto-transport devices based on (Ga, Mn)As with in-plane anisotropy.

  3. Formation, atomic structure, and electronic properties of GaSb quantum dots in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Timm, R.

    2007-12-14

    In this work, cross-sectional scanning tunneling microscopy and spectroscopy are used for the first time to study the shape, size, strain, chemical composition, and electronic properties of capped GaSb/GaAs QDs at the atomic scale. By evaluating such structural results on a variety of nanostructures built using different epitaxy methods and growth conditions, details on the underlying QD formation processes can be revealed. A cross-over from flat quantum wells (QWs) to optically active QDs can be observed in samples grown by metalorganic chemical vapor deposition (MOCVD) with increasing amount of GaSb, including self-assembled Sb accumulations within a still two-dimensional layer and tiny three-dimensional GaSb islands probably acting as precursor structures. The QWs consist of significantly intermixed material with stoichiometries of maximally 50% GaSb, additionally exhibiting small gaps filled with GaAs. A higher GaSb content up to nearly pure material is found in the QDs, being characterized by small sizes of up to 8 nm baselength and about 2 nm height. In spite of the intermixing, all nanostructures have rather abrupt interfaces, and no significant Sb segregation in growth direction is observed. This changes completely when molecular beam epitaxy (MBE) is used as growth method, in which case individual Sb atoms are found to be distributed over several nm above the nanostructures. Massive group-V atomic exchange processes are causing this strong inter-mixing and Sb segregation during GaAs overgrowth. In combination with the large strain inherent to GaSb/GaAs QDs, this segregation upon overgrowth is assumed to be the reason for a unique structural phenomenon: All MBE-grown QDs, independent of the amount of deposited GaSb, exhibit a ring structure, consisting of a ring body of high GaSb content and a more or less extended central gap filled with GaAs. These rings have formed in a self-assembled way even when the initial GaSb layer was overgrown considerably fast

  4. Experimental evidences for reducing Mg activation energy in high Al-content AlGaN alloy by MgGa δ doping in (AlN)m/(GaN)n superlattice

    Science.gov (United States)

    Wang, Xiao; Wang, Wei; Wang, Jingli; Wu, Hao; Liu, Chang

    2017-03-01

    P-type doping in high Al-content AlGaN alloys is a main challenge for realizing AlGaN-based deep ultraviolet optoelectronics devices. According to the first-principles calculations, Mg activation energy may be reduced so that a high hole concentration can be obtained by introducing nanoscale (AlN)5/(GaN)1 superlattice (SL) in Al0.83Ga0.17N disorder alloy. In this work, experimental evidences were achieved by analyzing Mg doped high Al-content AlGaN alloys and Mg doped AlGaN SLs as well as MgGa δ doped AlGaN SLs. Mg acceptor activation energy was significantly reduced from 0.378 to 0.331 eV by using MgGa δ doping in SLs instead of traditional doping in alloys. This new process was confirmed to be able to realize high p-type doping in high Al-content AlGaN.

  5. Optical properties of GaInNAsSb/GaAs/GaAs1-xNx (x ∼ 10%) saturable absorber quantum wells

    International Nuclear Information System (INIS)

    Ben Bouzid, S.; Zaghdoudi, W.; Hamdouni, A.; Ben Sedrine, N.; Bousbih, F.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    We study the effect of the GaAsN narrow QWs on the optical properties of the GaInNAsSb/GaAs QWs using photoluminescence spectroscopy. A drastic effect of the N-rich layers on the QW photoluminesecnec (PL) intensity was observed with a strong influence of the spacer thickness. In the PL spectra a broad band caused by excitonic transitions related with N-related clusters in GaAs barriers is found. Based on calculations from experimental data, we have identified the low QW peak energy to the E 1 -H 1 transition using the shear deformation potentials report Δp/p = 0.24

  6. Adsorption and diffusion of Ga and N adatoms on GaN surfaces: Comparing the effects of Ga coverage and electronic excitation

    Science.gov (United States)

    Takeuchi, Noboru; Selloni, Annabella; Myers, T. H.; Doolittle, A.

    2005-09-01

    We present density-functional-theory calculations of the binding and diffusion of Ga and N adatoms on GaN (0001) and (000-1) surfaces under different conditions, including stoichiometric and Ga-rich surfaces, as well as in the presence of electron-hole (e-h) pairs induced by light- or electron-beam irradiation. We find that both Ga-rich conditions and electronic excitations cause a significant reduction of the adatom diffusion barriers, as required to improve the quality of the material. However, the two effects are nonadditive, as the influence of e-h pairs are found to be less important for the more metallic situations.

  7. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    International Nuclear Information System (INIS)

    Ravikiran, L.; Radhakrishnan, K.; Ng, G. I.; Munawar Basha, S.; Dharmarasu, N.; Agrawal, M.; Manoj kumar, C. M.; Arulkumaran, S.

    2015-01-01

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr 4 beam equivalent pressure of 1.86 × 10 −7 mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffers also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics

  8. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Ravikiran, L.; Radhakrishnan, K., E-mail: ERADHA@e.ntu.edu.sg; Ng, G. I. [NOVITAS-Nanoelectronics, Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Munawar Basha, S.; Dharmarasu, N.; Agrawal, M.; Manoj kumar, C. M.; Arulkumaran, S. [Temasek Laboratories@NTU, Nanyang Technological University, Singapore 637553 (Singapore)

    2015-06-28

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr{sub 4} beam equivalent pressure of 1.86 × 10{sup −7} mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffers also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics.

  9. Structural dependences of localization and recombination of photogenerated carriers in the top GaInP Subcells of GaInP/GaAs double-junction tandem solar cells.

    Science.gov (United States)

    Deng, Zhuo; Ning, Jiqiang; Su, Zhicheng; Xu, Shijie; Xing, Zheng; Wang, Rongxin; Lu, Shulong; Dong, Jianrong; Zhang, Baoshun; Yang, Hui

    2015-01-14

    In high-efficiency GaInP/GaAs double-junction tandem solar cells, GaInP layers play a central role in determining the performance of the solar cells. Therefore, gaining a deeper understanding of the optoelectronic processes in GaInP layers is crucial for improving the energy conversion efficiency of GaInP-based photovoltaic devices. In this work, we firmly show strong dependences of localization and recombination of photogenerated carriers in the top GaInP subcells in the GaInP/GaAs double-junction tandem solar cells on the substrate misorientation angle with excitation intensity- and temperature-dependent photoluminescence (PL). The entire solar cell structures including GaInP layers were grown with metalorganic chemical vapor deposition on GaAs substrates with misorientation angles of 2° (denoted as Sample 2°) and 7° (Sample 7°) off (100) toward (111)B. The PL spectral features of the two top GaInP subcells, as well as their excitation-power and temperature dependences exhibit remarkable variation on the misorientation angle. In Sample 2°, the dominant localization mechanism and luminescence channels are due to the energy potential minima caused by highly ordered atomic domains; In Sample 7°, the main localization and radiative recombination of photogenerated carriers occur in the atomically disordered regions. Our results reveal a more precise picture on the localization and recombination mechanisms of photogenerated carriers in the top GaInP subcells, which could be the crucial factors in controlling the optoelectronic efficiency of the GaInP-based multijunction photovoltaic devices.

  10. Durability testing of the high-capacity GA-4/GA-9 trailer

    International Nuclear Information System (INIS)

    Zimmer, A.

    1993-01-01

    General Atomics (GA) is under contract to the US Department of Energy (DOE), Idaho Field Office, to develop two legal-weight truck from-reactor spent-fuel shipping casks with trailers. GA is developing these high capacity transport systems to support the Office of Civilian Radioactive Waste Management's (OCRWM) mission to transport spent fuel from reactors to a permanent disposal site. GA's goal is to maximize the number of fuel assemblies that the transport system can safely carry. The GA-4 Cask is being designed to transport four pressurized-water-reactor (PWR) spent-fuel assemblies, and the GA-9 Cask is being designed to transport nine boiling-water-reactor (BWR) spent-fuel assemblies. The use of these high-capacity transport systems will have a large benefit to-public safety since the number of legal-weight truck shipments will be reduced by at least a factor of four over existing spent-fuel shipping cask systems. Achieving these capacities requires that the weight of each component of the transport system. i.e., cask, trailer and tractor, be minimized. The weight of the trailer is of particular importance. With a high load-to-weight ratio, the durability and reliability of the trailer become significant factors in the success of the transport system. In order to verify that the trailer design will meet the durability and performance requirements to safely transport spent-fuel, GA has planned an extensive testing program. The testing program includes non-destructive examination (NDE) of the trailer welds, operational testing, a static load test, an over-the-road performance test, and a test to verify the durability of the trailer up to its 1,000,000-mile design life. Since a prototype cask will not be available for the testing, GA designed and built a dummy payload that simulates the correct weight distribution and approximates the dynamic response of the prototype cask

  11. Materials and device characteristics of pseudomorphic AlGaAs-InGaAs-GaAs and AlInAs-InGaAs-InP high electron mobility transistors

    International Nuclear Information System (INIS)

    Ballingall, J.M.; Ho, P.; Tessmer, G.J.; Martin, P.A.; Yu, T.H.; Choa, P.C.; Smith, P.M.; Duh, K.H.G.

    1990-01-01

    High electron mobility transistors (HEMTs) with single quantum well active layers composed of pseudomorphic InGaAs grown on GaAs and InP are establishing new standards of performance for microwave and millimeter wave applications. This is due to recent progress in the molecular beam epitaxial growth of strained InGaAs heterostructures coupled with developments in short gate length (sub-0.2 μm) device fabrication technology. This paper reviews this progress and the current state-of-the-art for materials and devices

  12. Influence of AlGaN Buffer Growth Temperature on GaN Epilayer based on Si(lll) Substrate

    International Nuclear Information System (INIS)

    Wei Meng; Wang Xiaoliang; Pan Xu; Xiao Hongling; Wang Cuimei; Zhang Minglan; Wang Zhanguo

    2011-01-01

    This paper investigated the influence of AlGaN buffer growth temperature on strain status and crystal quality of the GaN film on Si(111) sbustrates by metal organic chemical vapor deposition. It was demonstrated by the optical microscopy that AlGaN buffer gorwth temperature had a remarkable effect on compensating tensil stress in top GaN layer and preventing the formation of cracks. X-ray diffraction and atomic force microscopy analysis showed crystal quality and surface morphology of the GaN epilayer could be improved through increasing AlGaN buffer growth temperature. 1μm crack-free GaN epilayer on Si (111) substrates was obtained with graded AlGaN buffer layer at optimized temperature of 1050 deg. C. Transmission electron microscopy analysis revealed that a significant reduction in threading dislocations was achieved in GaN epilayer.

  13. Schottky barrier diode embedded AlGaN/GaN switching transistor

    International Nuclear Information System (INIS)

    Park, Bong-Ryeol; Lee, Jung-Yeon; Lee, Jae-Gil; Lee, Dong-Myung; Cha, Ho-Young; Kim, Moon-Kyung

    2013-01-01

    We developed a Schottky barrier diode (SBD) embedded AlGaN/GaN switching transistor to allow negative current flow during off-state condition. An SBD was embedded in a recessed normally-off AlGaN/GaN-on-Si metal-oxide-semiconductor heterostructure field-effect transistor (MOSHFET). The fabricated device exhibited normally-off characteristics with a gate threshold voltage of 2.8 V, a diode turn-on voltage of 1.2 V, and a breakdown voltage of 849 V for the anode-to-drain distance of 8 µm. An on-resistance of 2.66 mΩcm 2 was achieved at a gate voltage of 16 V in the forward transistor mode. Eliminating the need for an external diode, the SBD embedded switching transistor has advantages of significant reduction in parasitic inductance and chip area. (paper)

  14. Elimination of trench defects and V-pits from InGaN/GaN structures

    International Nuclear Information System (INIS)

    Smalc-Koziorowska, Julita; Grzanka, Ewa; Czernecki, Robert; Schiavon, Dario; Leszczyński, Mike

    2015-01-01

    The microstructural evolution of InGaN/GaN multiple quantum wells grown by metalorganic chemical vapor phase epitaxy was studied as a function of the growth temperature of the GaN quantum barriers (QBs). We observed the formation of basal stacking faults (BSFs) in GaN QBs grown at low temperature. The presence of BSFs terminated by stacking mismatch boundaries (SMBs) leads to the opening of the structure at the surface into a V-shaped trench loop. This trench may form above an SMB, thereby terminating the BSF, or above a junction between the SMB and a subsequent BSF. Fewer BSFs and thus fewer trench defects were observed in GaN QBs grown at temperatures higher than 830 °C. Further increase in the growth temperature of the GaN QBs led to the suppression of the threading dislocation opening into V-pits

  15. Collective effects of interface roughness and alloy disorder in InxGa1-xN/GaN multiple quantum wells

    International Nuclear Information System (INIS)

    Zeng, K.C.; Smith, M.; Lin, J.Y.; Jiang, H.X.

    1998-01-01

    The collective effects of alloy disorder and interface roughness on optical properties of In x Ga 1-x N/GaN multiple quantum wells (MQWs) have been studied. The results are compared with those of GaN/AlGaN MQWs and InGaN epilayers. In x Ga 1-x N/GaN MQWs emit a broad and asymmetrical photoluminescence (PL) band, while GaN/AlGaN MQWs and InGaN epilayers emit narrower and Gaussian-shaped PL bands. Furthermore, the decay of excitons at low temperatures in In x Ga 1-x N/GaN MQWs follows a nonexponential function even at the lower-energy side of the PL spectral peak, while those in GaN/AlGaN MQWs and in InGaN epilayers follow a single exponential function. Both alloy disorder and interface roughness have to be included in order to interpret the PL emission spectrum and the decay dynamics in In x Ga 1-x N/GaN MQWs. Important parameters of the In x Ga 1-x N/GaN MQWs, σ x ,σ L , and dτ/dL, denoting the alloy disorder, the interface roughness, and the rate of changing of the exciton decay lifetime with well width, respectively, have been deduced. The method developed here can be used to determine σ x ,σ L , and dτ/dL in any MQW systems with wells being alloy materials. copyright 1998 American Institute of Physics

  16. Mercury(II) selective sensors based on AlGaN/GaN transistors

    International Nuclear Information System (INIS)

    Asadnia, Mohsen; Myers, Matthew; Akhavan, N.D.; O'Donnell, Kane; Umana-Membreno, Gilberto A.; Mishra, U.K.; Nener, Brett; Baker, Murray; Parish, Giacinta

    2016-01-01

    This work presents the first polymer approach to detect metal ions using AlGaN/GaN transistor-based sensor. The sensor utilised an AlGaN/GaN high electron mobility transistor-type structure by functionalising the gate area with a polyvinyl chloride (PVC) based ion selective membrane. Sensors based on this technology are portable, robust and typically highly sensitive to the target analyte; in this case Hg 2+ . This sensor showed a rapid and stable response when it was introduced to solutions of varying Hg 2+ concentrations. At pH 2.8 in a 10 −2  M KNO 3 ion buffer, a detection limit below 10 −8  M and a linear response range between 10 −8  M-10 −4  M were achieved. This detection limit is an order of magnitude lower than the reported detection limit of 10 −7  M for thioglycolic acid monolayer functionalised AlGaN/GaN HEMT devices. Detection limits of approximately 10 −7  M and 10 −6  M in 10 −2  M Cd(NO 3 ) 2 and 10 −2  M Pb(NO 3 ) 2 ion buffers were also achieved, respectively. Furthermore, we show that the apparent gate response was near-Nernstian under various conditions. X-ray photoelectron spectroscopy (XPS) experiments confirmed that the sensing membrane is reversible after being exposed to Hg 2+ solution and rinsed with deionised water. The success of this study precedes the development of this technology in selectively sensing multiple ions in water with use of the appropriate polymer based membranes on arrays of devices. - Highlights: • This work is the first polymer approach to detect metal ions using AlGaN/GaN transistor-based sensor. • The sensor utilised an AlGaN/GaN transistor by functionalising the gate area with a polyvinyl chloride (PVC) based membrane. • The sensor showed a rapid and linear response between 10 −8 M-10 −4 M for Hg 2+ detection at pH 2.8 in a 10 −2 M KNO 3 ion buffer. • Detection limits of approximately 10 −7 M and 10 −6 M in 10 −2 M Cd(NO 3 ) 2 and 10 −2 M Pb(NO 3 ) 2 ion buffers

  17. Integration of InGaAs MOSFETs and GaAs/ AlGaAs lasers on Si Substrate for advanced opto-electronic integrated circuits (OEICs).

    Science.gov (United States)

    Kumar, Annie; Lee, Shuh-Ying; Yadav, Sachin; Tan, Kian Hua; Loke, Wan Khai; Dong, Yuan; Lee, Kwang Hong; Wicaksono, Satrio; Liang, Gengchiau; Yoon, Soon-Fatt; Antoniadis, Dimitri; Yeo, Yee-Chia; Gong, Xiao

    2017-12-11

    Lasers monolithically integrated with high speed MOSFETs on the silicon (Si) substrate could be a key to realize low cost, low power, and high speed opto-electronic integrated circuits (OEICs). In this paper, we report the monolithic integration of InGaAs channel transistors with electrically pumped GaAs/AlGaAs lasers on the Si substrate for future advanced OEICs. The laser and transistor layers were grown on the Si substrate by molecular beam epitaxy (MBE) using direct epitaxial growth. InGaAs n-FETs with an I ON /I OFF ratio of more than 10 6 with very low off-state leakage and a low subthreshold swing with a minimum of 82 mV/decade were realized. Electrically pumped GaAs/AlGaAs quantum well (QW) lasers with a lasing wavelength of 795 nm at room temperature were demonstrated. The overall fabrication process has a low thermal budget of no more than 400 °C.

  18. Significant performance enhancement in AlGaN/GaN high electron mobility transistor by high-κ organic dielectric

    International Nuclear Information System (INIS)

    Ze-Gao, Wang; Yuan-Fu, Chen; Cao, Chen; Ben-Lang, Tian; Fu-Tong, Chu; Xing-Zhao, Liu; Yan-Rong, Li

    2010-01-01

    The electrical properties of AlGaN/GaN high electron mobility transistor (HEMT) with and without high-κ organic dielectrics are investigated. The maximum drain current I D max and the maximum transconductance g m max of the organic dielectric/AlGaN/GaN structure can be enhanced by 74.5%, and 73.7% compared with those of the bare AlGaN/GaN HEMT, respectively. Both the threshold voltage V T and g m max of the dielectric/AlGaN/GaN HEMT are strongly dielectric-constant-dependent. Our results suggest that it is promising to significantly improve the performance of the AlGaN/GaN HEMT by introducing the high-κ organic dielectric. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  19. Characteristics in AlN/AlGaN/GaN Multilayer-Structured High-Electron-Mobility Transistors

    International Nuclear Information System (INIS)

    Gui-Zhou, Hu; Ling, Yang; Li-Yuan, Yang; Si, Quan; Shou-Gao, Jiang; Ji-Gang, Ma; Xiao-Hua, Ma; Yue, Hao

    2010-01-01

    A new multilayer-structured AlN/AlGaN/GaN heterostructure high-electron-mobility transistor (HEMT) is demonstrated. The AlN/AlGaN/GaN HEMT exhibits the maximum drain current density of 800 mA/mm and the maximum extrinsic transconductance of 170 mS/mm. Due to the increase of the distance between the gate and the two-dimensional electron-gas channel, the threshold voltage shifts slightly to the negative. The reduced drain current collapse and higher breakdown voltage are observed on this AlN/AlGaN/GaN HEMT. The current gain cut-off frequency and the maximum frequency of oscillation are 18.5 GHz and 29.0 GHz, respectively. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  20. 60Co gamma radiation effect on AlGaN//AlN/GaN HEMT devices

    International Nuclear Information System (INIS)

    Wang Yanping; Luo Yinhong; Wang Wei; Zhang Keying; Guo Hongxia; Guo Xiaoqiang; Wang Yuanming

    2013-01-01

    The testing techniques and experimental methods of the 60 Co gamma irradiation effect on AlGaN/AlN/GaN high electron mobility transistors (HEMTs) are established. The degradation of the electrical properties of the device under the actual radiation environment are analyzed theoretically, and studies of the total dose effects of gamma radiation on AlGaN/AlN/GaN HEMTs at three different radiation bias conditions are carried out. The degradation patterns of the main parameters of the AlGaN/AlN/GaN HEMTs at different doses are then investigated, and the device parameters that were sensitive to the gamma radiation induced damage and the total dose level induced device damage are obtained. (authors)

  1. Investigation of efficiency enhancement in InGaN MQW LED with compositionally step graded GaN/InAlN/GaN multi-layer barrier

    Science.gov (United States)

    Prajoon, P.; Anuja Menokey, M.; Charles Pravin, J.; Ajayan, J.; Rajesh, S.; Nirmal, D.

    2018-04-01

    The advantage of InGaN multiple Quantum well (MQW) Light emitting diode (LED) on a SiC substrate with compositionally step graded GaN/InAlN/GaN multi-layer barrier (MLB) is studied. The Internal quantum efficiency, Optical power, current-voltage characteristics, spontaneous emission rate and carrier distribution profile in the active region are investigated using Sentaurus TCAD simulation. An analytical model is also developed to describe the QW carrier injection efficiency, by including carrier leakage mechanisms like carrier overflow, thermionic emission and tunnelling. The enhanced electron confinement, reduced carrier asymmetry, and suppressed carrier overflow in the active region of the MLB MQW LED leads to render a superior performance than the conventional GaN barrier MQW LED. The simulation result also elucidates the efficiency droop behaviour in the MLB MQW LED, it suggests that the efficiency droop effect is remarkably improved when the GaN barrier is replaced with GaN/InAlN/GaN MLB barrier. The analysis shows a dominating behaviour of carrier escape mechanism due to tunnelling. Moreover, the lower lattice mismatching of SiC substrate with GaN epitaxial layer is attributed with good crystal quality and reduced polarization effect, ultimately enhances the optical performance of the LEDs.

  2. Luminescence of highly excited nonpolar a-plane GaN and AlGaN/GaN multiple quantum wells

    International Nuclear Information System (INIS)

    Jursenas, S.; Kuokstis, E.; Miasojedovas, S.; Kurilcik, G.; Zukauskas, A.; Chen, C.Q.; Yang, J.W.; Adivarahan, V.; Asif Khan, M.

    2004-01-01

    Carrier recombination dynamics in polar and nonpolar GaN epilayers and GaN/AlGaN multiple quantum wells grown over sapphire substrates with a various crystallographic orientation were studied under high photoexcitation by 20 ps laser pulses. The transient luminescence featured a significant enhancement on nonradiative recombination of free carriers for nonpolar a-plane GaN epilayers compared to conventional c-plane samples. The epitaxial layer overgrowth technique was demonstrated to significantly improve the quality of nonpolar a-plane films. This was proved by more than 40-fold increase in luminescence decay time (430 ps compared to ≤ 10 ps in the ordinary a-plane epilayer). Under high-excitation regime, a complete screening of built-in electric field by free carriers in multiple quantum wells grown on c-plane and r-plane sapphire substrates was achieved. Under such high excitation, luminescence efficiency and carrier lifetime of multiple quantum wells were shown to be determined by the substrate quality. (author)

  3. Comparison of 68Ga-OPS202 (68Ga-NODAGA-JR11) and 68Ga-DOTATOC (68Ga-Edotreotide) PET/CT in Patients with Gastroenteropancreatic Neuroendocrine Tumors: Evaluation of Sensitivity in a Prospective Phase II Imaging Study.

    Science.gov (United States)

    Nicolas, Guillaume P; Schreiter, Nils; Kaul, Felix; Uiters, John; Bouterfa, Hakim; Kaufmann, Jens; Erlanger, Tobias E; Cathomas, Richard; Christ, Emanuel; Fani, Melpomeni; Wild, Damian

    2017-11-30

    Radiolabeled somatostatin receptor (sst) agonists are integral to the diagnosis of gastroenteropancreatic neuroendocrine tumors (GEP-NETs), but detection rates, especially of liver metastases, remain disappointing even with PET/CT. 68 Ga-OPS202 ( 68 Ga-NODAGA-JR11), a novel radiolabeled sst antagonist with a high affinity for sst 2 , has the potential to perform better than sst agonists. Here we present the results of the Phase II component of a Phase I/II study, which evaluated the sensitivity of 68 Ga-OPS202 PET/CT compared with the reference compound, 68 Ga-DOTATOC (sst agonist). Methods: Patients received a single intravenous administration of 68 Ga-DOTATOC (15 µg peptide) and 68 Ga-OPS202 (15 µg peptide at visit 1; 50 µg peptide at visit 2) with an activity of 150 MBq. Whole-body PET/CT acquisitions were performed 1 h post injection on the same calibrated PET/CT scanner. Diagnostic efficacy measures were compared against contrast medium-enhanced CT or MRI as gold standard. Two independent blinded experts read the scans and both outcomes were combined for analysis. Results: Twelve consecutive patients with G1 or G2 GEP-NETs took part in this prospective study. Image contrast for matched malignant liver lesions was significantly higher for 68 Ga-OPS202 scans than for the 68 Ga-DOTATOC scan: median of the mean [interquartile] tumor-to-normal-liver SUV max ratios for 15 µg and 50 µg 68 Ga-OPS202 (5.3 [2.9 - 5.7] and 4.3 [3.4 - 6.3], respectively) were significantly higher than for 68 Ga-DOTATOC (1.9 [1.4 - 2.9]; P = 0.004 and P = 0.008, respectively). The higher tumor-to-background ratio of 68 Ga-OPS202 resulted not only in a higher detection rate of liver metastases, but also in a significantly higher lesion-based overall sensitivity with the antagonist than with 68 Ga-DOTATOC PET/CT: 94% and 88% for 50 µg and 15 µg 68 Ga-OPS202 and 59% for 15 µg 68 Ga-DOTATOC, respectively (pPET/CT and 68 Ga DOTATOC PET/CT were similar (approximately 98%). There were no

  4. Design analysis of phosphor-free monolithic white light-emitting-diodes with InGaN/ InGaN multiple quantum wells on ternary InGaN substrates

    Directory of Open Access Journals (Sweden)

    Yu Kee Ooi

    2015-05-01

    Full Text Available Phosphor-free monolithic white light emitting diodes (LEDs based on InGaN/ InGaN multiple quantum wells (MQWs on ternary InGaN substrates are proposed and analyzed in this study. Simulation studies show that LED devices composed of multi-color-emitting InGaN/ InGaN quantum wells (QWs employing ternary InGaN substrate with engineered active region exhibit stable white color illumination with large output power (∼ 170 mW and high external quantum efficiency (EQE (∼ 50%. The chromaticity coordinate for the investigated monolithic white LED devices are located at (0.30, 0.28 with correlated color temperature (CCT of ∼ 8200 K at J = 50 A/cm2. A reference LED device without any nanostructure engineering exhibits green color emission shows that proper engineered structure is essential to achieve white color illumination. This proof-of-concept study demonstrates that high-efficiency and cost-effective phosphor-free monolithic white LED is feasible by the use of InGaN/ InGaN MQWs on ternary InGaN substrate combined with nanostructure engineering, which would be of great impact for solid state lighting.

  5. Design analysis of phosphor-free monolithic white light-emitting-diodes with InGaN/ InGaN multiple quantum wells on ternary InGaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ooi, Yu Kee, E-mail: Yu.Kee.Ooi@rit.edu; Zhang, Jing, E-mail: Jing.Zhang@rit.edu [Department of Electrical and Microelectronics Engineering, Rochester Institute of Technology, Rochester, New York 14623 (United States)

    2015-05-15

    Phosphor-free monolithic white light emitting diodes (LEDs) based on InGaN/ InGaN multiple quantum wells (MQWs) on ternary InGaN substrates are proposed and analyzed in this study. Simulation studies show that LED devices composed of multi-color-emitting InGaN/ InGaN quantum wells (QWs) employing ternary InGaN substrate with engineered active region exhibit stable white color illumination with large output power (∼ 170 mW) and high external quantum efficiency (EQE) (∼ 50%). The chromaticity coordinate for the investigated monolithic white LED devices are located at (0.30, 0.28) with correlated color temperature (CCT) of ∼ 8200 K at J = 50 A/cm{sup 2}. A reference LED device without any nanostructure engineering exhibits green color emission shows that proper engineered structure is essential to achieve white color illumination. This proof-of-concept study demonstrates that high-efficiency and cost-effective phosphor-free monolithic white LED is feasible by the use of InGaN/ InGaN MQWs on ternary InGaN substrate combined with nanostructure engineering, which would be of great impact for solid state lighting.

  6. Influence of strain relaxation on the optical properties of InGaN/GaN multiple quantum well nanorods

    International Nuclear Information System (INIS)

    Wang, Q; Bai, J; Gong, Y P; Wang, T

    2011-01-01

    Optical investigation has been carried out on InGaN/GaN nanorod structures with different indium compositions, fabricated from InGaN/GaN multiple quantum well (MQW) epitaxial wafers using a self-organized nickel nano-mask and subsequent dry etching techniques. In comparison with the as-grown InGaN/GaN MQWs, the internal quantum efficiencies of the nanorods are significantly improved, in particular, for the green InGaN/GaN nanorods with a high indium composition, the internal quantum efficiency is enhanced by a factor of 8, much larger than the enhancement factor of 3.4 for the blue InGaN/GaN nanorods. X-ray reciprocal space mapping (RSM) measurements have been performed in order to quantitatively evaluate the stain relaxation in the nanorods, demonstrating that the majority of strain in InGaN/GaN MQWs can be relaxed as a result of fabrication into nanorods. The excitation-power-dependent photoluminescence measurements have also clearly shown that a significant reduction in the strain-induced quantum confined stark effect has occurred to the nanorod structures.

  7. Gate less-FET pH Sensor Fabricated on Undoped AlGaN/ GaN HEMT Structure

    International Nuclear Information System (INIS)

    Maneea Eizadi Sharifabad; Mastura Shafinaz Zainal Abidin; Shaharin Fadzli Abd Rahman; Abdul Manaf Hashim; Abdul Rahim Abdul Rahman

    2011-01-01

    Gallium nitride with wurtzite crystal structure is a chemically stable semiconductor with high internal spontaneous and piezoelectric polarization, which make it highly suitable materials to create very sensitive and robust sensors for the detection of ions, gases and liquids. Sensing characteristics of an open-gate liquid-phase sensor fabricated on undoped-AlGaN/ GaN high-electron-mobility-transistor (HEMT) structure in aqueous solution was investigated. In ambient atmosphere, the open-gate undoped AlGaN/ GaN HEMT clearly showed only the presence of linear region of currents while Si-doped AlGaN/ GaN showed the linear and saturation regions of currents, very similar to those of gated devices. This seems to show that very low Fermi level pinning by surface states exists in undoped AlGaN/ GaN sample. In aqueous solution, the typical current-voltage (I-V) characteristics of HEMTs with good gate controllability were observed. The potential of the AlGaN surface at the open-gate area is effectively controlled via aqueous solution by Ag/ AgCl reference gate electrode. The open-gate undoped AlGaN/ GaN HEMT structure is capable of stable operation in aqueous electrolytes and exhibit linear sensitivity, and high sensitivity of 1.9 mA/ pH or 3.88 mA/ mm/ pH at drain-source voltage, VDS = 5 V was obtained. Due to large leakage current where it increases with the negative reference gate voltage, the Nernstians like sensitivity cannot be determined. Suppression of current leakage is likely to improve the device performance. The open-gate undoped-AlGaN/ GaN structure is expected to be suitable for pH sensing application. (author)

  8. Strain-dependent magnetic anisotropy in GaMnAs on InGaAs templates

    Energy Technology Data Exchange (ETDEWEB)

    Daeubler, Joachim; Glunk, Michael; Schwaiger, Stephan; Dreher, Lukas; Schoch, Wladimir; Sauer, Rolf; Limmer, Wolfgang [Institut fuer Halbleiterphysik, Universitaet Ulm, 89069 Ulm (Germany)

    2008-07-01

    We have systematically studied the influence of strain on the magnetic anisotropy of GaMnAs by means of HRXRD reciprocal space mapping and angle-dependent magnetotransport. For this purpose, a series of GaMnAs layers with Mn contents of {proportional_to}5% was grown by low-temperature MBE on relaxed InGaAs/GaAs templates with different In concentrations, enabling us to vary the strain in the GaMnAs layers continuously from tensile to compressive, including the unstrained state. Considering both, as-grown and annealed samples, the anisotropy parameter describing the uniaxial out-of-plane magnetic anisotropy has been found to vary linearly with hole density and strain. As a consequence, the out-of-plane direction gradually undergoes a transition from a magnetic hard axis to a magnetic easy axis from compressive to tensile strain.

  9. Carrier dynamics in submonolayer InGaAs/GaAs quantum dots

    DEFF Research Database (Denmark)

    Xu, Zhangcheng; Zhang, Yating; Hvam, Jørn Märcher

    2006-01-01

    Carrier dynamics of submonolayer InGaAs/GaAs quantum dots (QDs) were studied by microphotoluminecence (MPL), selectively excited photoluminescence (SEPL), and time-resolved photoluminescence (TRPL). MPL and SEPL show the coexistence of localized and delocalized states, and different local phonon...

  10. The effect of the In concentration on the surface morphology of InGaAs-GaAs heterostructures grown by MBE on GaAs substrate

    International Nuclear Information System (INIS)

    Gómez-Barojas, E; Serrano-Rojas, R M; Rodríguez-Moreno, M A; Santamaría-Juárez, G; Silva-González, R; a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" data-affiliation=" (Instituto de Investigación en Comunicación Óptica. Universidad Autónoma de San Luis Potosí, Av. Karakorum 1470, Col. Lomas Altas 4a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" >Vidal-Borbolla, M A

    2014-01-01

    A set of 3 heterostructures were formed by 10 periods of InGaAs-GaAs epitaxially grown on GaAs substrate by means of a molecular beam epitaxial system. Scanning electron microscopy (SEM) cross section images at high magnification show that the heterostructures present good periodicity. SEM micrographs of the surface morphology chemically etched show the coalescence effect of In due to an unequal etching rate of In and GaAs. Auger electron spectroscopy (AES) depth profiles show that the first GaAs layers in the 3 samples are off-stoichiometric and that the alloy layers present In square and triangular depth profiles

  11. The Effect of the number of InGaN/GaN pairs on the photoelectrochemical properties of InGaN/GaN multi quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    Bae, Hyojung; Park, Jun-Beom [Optoelectronics Convergence Research Center, Chonnam National University, 77 Yongbong-ro, Buk-gu, Gwangju 61186 (Korea, Republic of); Fujii, Katsushi [Institute of Environmental Science and Technology, The University of Kitakyushu, Kitakyushu, Fukuoka (Japan); Lee, Hyo-Jong [Materials Science and Engineering, Dong-A University, Busan 49315 (Korea, Republic of); Lee, Sang-Hyun [Institute of Advanced Composite Materials, Korea Institute of Science and Technology, Joellabuk-do 55324 (Korea, Republic of); Ryu, Sang-Wan; Lee, June Key [Optoelectronics Convergence Research Center, Chonnam National University, 77 Yongbong-ro, Buk-gu, Gwangju 61186 (Korea, Republic of); Ha, Jun-Seok, E-mail: jsha@jnu.ac.kr [Optoelectronics Convergence Research Center, Chonnam National University, 77 Yongbong-ro, Buk-gu, Gwangju 61186 (Korea, Republic of)

    2017-04-15

    Highlights: • The 1–5 period InGaN/GaN quantum well (QW) structures were grown on sapphire. • The photoelectrochemical properties of these structures were investigated. • The saturated photocurrent density increased with increasing number of QW pairs. • But, it was different in the stability of the photoanode. • We reported the reason for this difference. - Abstract: In this study, the effects of the number of quantum well (QW) pairs on the photoelectrochemical (PEC) properties of InGaN/GaN multi-QW structures (MQWs) were investigated. MQW samples were grown using metal-organic chemical vapor deposition, and their structural characteristics were confirmed by X-ray diffraction measurements. The photoluminescence measurements revealed that the optical properties of MQWs may be related to the PEC properties. The cyclic voltammetry data revealed that the saturated photocurrent density increased with increasing number of QW pairs; the photocurrent density of MQW5 was twice that of an nGaN reference. However, in the chronoamperometry measurement of the photoanode stability, MQWs with 3 QWs displayed the highest photocurrent stability, although the saturated photocurrent density was highest for MQW5. This was also confirmed by field-emission scanning electron microscopy of the surface morphology after PEC measurements. The stability and photocurrent density may be attributed to the quality of crystallinity of the MQWs.

  12. Two-dimensional electron and hole gases in GaN/AlGaN heterostructures; Zweidimensionale Elektronen- und Loechergase in GaN/AlGaN-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Link, A.

    2004-12-01

    The aim of this PhD thesis is to investigate the electronic properties of electron and hole gases in GaN/AlGaN heterostructures. Particularly, a deeper and broadened understanding of scattering mechanisms and transport properties is in the focus of this work. The main experimental techniques used for this purpose are the study of Shubnikov-de Haas (SdH) effect and Hall measurements at low temperatures. By means of these magnetotransport measurements, a series of GaN/AlGaN heterostructures with different Al content of the AlGaN barrier were investigated. Since the sheet carrier density of the 2DEG in these semiconductor structures is strongly dependent on the Al content (n{sub s}=2 x 10{sup 12}-10{sup 13} cm{sup -2}), the variation of transport parameters was determined as a function of sheet carrier concentration. First, from the temperature dependence of the SdH oscillations the effective transport mass was calculated. A Hall bar structure with an additional gate contact was used as an alternative to tune the carrier density of a 2DEG system independent of varying structural parametes such as Al content. Thus, the scattering mechanisms were investigated in the carrier density region between 3 x 10{sup 12} and 9.5 x 10{sup 12} cm{sup -2}. The transport properties of subband electrons were studied for a 2DEG system with two occupied subbands. (orig.)

  13. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2¯01).

    Science.gov (United States)

    Kollmannsberger, Sebastian L; Walenta, Constantin A; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-28

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α-H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga 2 O 3 (2¯01) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  14. Effect of Al substitution for Ga on the mechanical properties of directional solidified Fe-Ga alloys

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yangyang; Li, Jiheng; Gao, Xuexu, E-mail: gaox@skl.ustb.edu.cn

    2017-02-01

    Alloys of Fe{sub 82}Ga{sub 18−x}Al{sub x} (x=0, 4.5, 6, 9, 12, 13.5) were prepared by directional solidification technique and exhibited a <001> preferred orientation along the axis of alloy rods. The saturation magnetostriction value of the Fe{sub 82}Ga{sub 13.5}Al{sub 4.5} alloy was 247 ppm under no pre-stress. The tensile properties of alloys of Fe{sub 82}Ga{sub 18−x}Al{sub x} at room temperature were investigated. The results showed that tensile ductility of binary Fe-Ga alloy was significantly improved with Al addition. The fracture elongation of the Fe{sub 82}Ga{sub 18} alloy was only 1.3%, while that of the Fe{sub 82}Ga{sub 9}Al{sub 9} alloy increased up to 16.5%. Addition of Al increased the strength of grain boundary and cleavage, resulting in the enhancement of tensile ductility of the Fe-Ga-Al alloys. Analysis of deformation microstructure showed that a great number of deformation twins formed in the Fe-Ga-Al alloys, which were thought to be the source of serrated yielding in the stress-strain curves. The effect of Al content in the Fe-Ga-Al alloys on tensile ductility was also studied by the analysis of deformation twins. It indicated that the joint effect of slip and twinning was beneficial to obtain the best ductility in the Fe{sub 82}Ga{sub 9}Al{sub 9} alloy. - Highlights: • Tensile ductility of directional solidified Fe-Ga alloys was significantly improved with Al addition. • The fracture elongation of binary Fe{sub 82}Ga{sub 18} alloy was only 1.3% at room temperature. • The fracture elongation of Fe{sub 82}Ga{sub 9}Al{sub 9} alloy was 16.5% at room temperature. • A great number of deformation twins formed in the Fe-Ga-Al alloys during tensile tests at room temperature.

  15. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    Energy Technology Data Exchange (ETDEWEB)

    Lavrova, Olga [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials; Balakrishnan, Ganesh [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials

    2017-02-24

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).

  16. Digital growth of thick N-polar InGaN films on relaxed InGaN pseudosubstrates

    Science.gov (United States)

    Lund, Cory; Hestroffer, Karine; Hatui, Nirupam; Nakamura, Shuji; DenBaars, Steven P.; Mishra, Umesh K.; Keller, Stacia

    2017-11-01

    Smooth relaxed N-polar InGaN films were grown by metal-organic CVD (MOCVD) on N-polar InGaN pseudosubstrates (PSs) using a novel digital approach consisting of a constant In precursor flow with the pulsed injection of H2 carrier gas. InGaN layers grown on PSs exhibited an In composition of about 50% higher than those of the layers grown on N-polar GaN templates, assuming the in-plane lattice constant of the relaxed PSs, corresponding to In0.11Ga0.89N. Additionally, the luminescence recorded from InGaN layers grown on PSs at 490 nm was twice as intense as that obtained from the layers deposited on coloaded GaN-on-sapphire templates, which emitted at 430 nm.

  17. Investigation of localization effect in GaN-rich InGaN alloys and ...

    Indian Academy of Sciences (India)

    Abstract. The temperature-dependent PL properties of GaN-rich InxGa1−xN alloys is investigated and S-shaped temperature dependence is observed in all InGaN samples. It is found that the origin of localization effect in samples. A and B are different from that in sample C. For samples A and B, In content fluctuations ...

  18. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    Science.gov (United States)

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  19. Spin-polarized electron tunneling across a Si delta-doped GaMnAs/n-GaAs interface

    DEFF Research Database (Denmark)

    Andresen, S.E.; Sørensen, B.S.; Lindelof, P.E.

    2003-01-01

    Spin-polarized electron coupling across a Si delta-doped GaMnAs/n-GaAs interface was investigated. The injection of spin-polarized electrons was detected as circular polarized emission from a GaInAs/GaAs quantum well light emitting diode. The angular momentum selection rules were simplified...

  20. Continuation of comprehensive quality control of the itG 68Ge/68Ga generator and production of 68Ga-DOTATOC and 68Ga-PSMA-HBED-CC for clinical research studies.

    Science.gov (United States)

    Amor-Coarasa, Alejandro; Kelly, James M; Gruca, Monika; Nikolopoulou, Anastasia; Vallabhajosula, Shankar; Babich, John W

    2017-10-01

    Performance of a second itG 68 Ge/ 68 Ga generator system and production of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC were tested over one year as an accompaniment to a previously published study (J Nucl Med. 2016;57:1402-1405). Performance of a 1951MBq 68 Ge/ 68 Ga generator was characterized and the eluate used for preparation of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC. Weekly elution profiles of 68 Ga elution yield and 68 Ge breakthrough were determined. 68 Ga elution yields averaged 82% (61.8-98.4%) and 68 Ge breakthrough averaged 0.002% (0.0007% to 0.004%). The radiochemical purities of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC were determined by HPLC analysis to be >98% and specific activity was 12.6 and 42GBq/μmol, respectively. 68 Ge contamination in the product was under the detection limit (0.00001%). Final sterile, pyrogen-free formulation of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC in physiologic saline with 5%-7% ethanol was achieved. Performance of a 68 Ge/ 68 Ga generator was studied over one year with satisfactory results. The generator eluate was used to synthesize 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC on a routine basis in high purity. Copyright © 2017. Published by Elsevier Inc.

  1. Non-destructive determination of ultra-thin GaN cap layer thickness in AlGaN/GaN HEMT structure by angle resolved x-ray photoelectron spectroscopy (ARXPS)

    Science.gov (United States)

    Goyal, Anshu; Yadav, Brajesh S.; Raman, R.; Kapoor, Ashok K.

    2018-02-01

    Angle resolved X-ray photoelectron spectroscopy (ARXPS) and secondary ion mass spectrometry (SIMS) investigations have been carried out to characterize the GaN cap layer in AlGaN/GaN HEMT structure. The paper discusses the qualitative (presence or absence of a cap layer) and quantitative (cap layer thickness) characterization of cap layer in HEMT structure non-destructively using ARXPS measurements in conjunction with the theoretical modeling. Further the relative sensitive factor (RSF=σ/Ga σAl ) for Ga to Al ratio was estimated to be 0.963 and was used in the quantification of GaN cap layer thickness. Our results show that Al/Ga intensity ratio varies with the emission angle in the presence of GaN cap layer and otherwise remains constant. Also, the modeling of this intensity ratio gives its thickness. The finding of ARXPS was also substantiated by SIMS depth profiling studies.

  2. Non-destructive determination of ultra-thin GaN cap layer thickness in AlGaN/GaN HEMT structure by angle resolved x-ray photoelectron spectroscopy (ARXPS

    Directory of Open Access Journals (Sweden)

    Anshu Goyal

    2018-02-01

    Full Text Available Angle resolved X-ray photoelectron spectroscopy (ARXPS and secondary ion mass spectrometry (SIMS investigations have been carried out to characterize the GaN cap layer in AlGaN/GaN HEMT structure. The paper discusses the qualitative (presence or absence of a cap layer and quantitative (cap layer thickness characterization of cap layer in HEMT structure non-destructively using ARXPS measurements in conjunction with the theoretical modeling. Further the relative sensitive factor (RSF=σGaσAl for Ga to Al ratio was estimated to be 0.963 and was used in the quantification of GaN cap layer thickness. Our results show that Al/Ga intensity ratio varies with the emission angle in the presence of GaN cap layer and otherwise remains constant. Also, the modeling of this intensity ratio gives its thickness. The finding of ARXPS was also substantiated by SIMS depth profiling studies.

  3. GaN epilayers on nanopatterned GaN/Si(1 1 1) templates: Structural and optical characterization

    International Nuclear Information System (INIS)

    Wang, L.S.; Tripathy, S.; Wang, B.Z.; Chua, S.J.

    2006-01-01

    Template-based nanoscale epitaxy has been explored to realize high-quality GaN on Si(1 1 1) substrates. We have employed polystyrene-based nanosphere lithography to form the nano-hole array patterns on GaN/Si(1 1 1) template and then, subsequent regrowth of GaN is carried out by metalorganic chemical vapor deposition (MOCVD). During the initial growth stage of GaN on such nanopatterned substrates, we have observed formation of nanoislands with hexagonal pyramid shape due to selective area epitaxy. With further epitaxial regrowth, these nanoislands coalesce and form continuous GaN film. The overgrown GaN on patterned and non-patterned regions is characterized by high-resolution X-ray diffraction (HRXRD) and high-spatial resolution optical spectroscopic methods. Micro-photoluminescence (PL), micro-Raman scattering and scanning electron microscopy (SEM) have been used to assess the microstructural and optical properties of GaN. Combined PL and Raman data analyses show improved optical quality when compared to GaN simultaneously grown on non-patterned bulk Si(1 1 1). Such thicker GaN templates would be useful to achieve III-nitride-based opto- and electronic devices integrated on Si substrates

  4. Degradation of 2DEG transport properties in GaN-capped AlGaN/GaN heterostructures at 600 °C in oxidizing and inert environments

    Science.gov (United States)

    Hou, Minmin; Jain, Sambhav R.; So, Hongyun; Heuser, Thomas A.; Xu, Xiaoqing; Suria, Ateeq J.; Senesky, Debbie G.

    2017-11-01

    In this paper, the electron mobility and sheet density of the two-dimensional electron gas (2DEG) in both air and argon environments at 600 °C were measured intermittently over a 5 h duration using unpassivated and Al2O3-passivated AlGaN/GaN (with 3 nm GaN cap) van der Pauw test structures. The unpassivated AlGaN/GaN heterostructures annealed in air showed the smallest decrease (˜8%) in 2DEG electron mobility while Al2O3-passivated samples annealed in argon displayed the largest drop (˜70%) based on the Hall measurements. Photoluminescence and atomic force microscopy showed that minimal strain relaxation and surface roughness changes have occurred in the unpassivated samples annealed in air, while those with Al2O3 passivation annealed in argon showed significant microstructural degradations. This suggests that cracks developed in the samples annealed in air were healed by oxidation reactions. To further confirm this, Auger electron spectroscopy was conducted on the unpassivated samples after the anneal in air and results showed that extra surface oxides have been generated, which could act as a dislocation pinning layer to suppress the strain relaxation in AlGaN. On the other hand, similar 2DEG sheet densities were observed in passivated and unpassivated AlGaN/GaN samples at the end of the 5-h anneal in air or argon due to the combined impact of strain relaxation and changes in the ionized electronic states. The results support the use of unpassivated GaN-capped AlGaN/GaN heterostructures as the material platform for high-temperature electronics and sensors used in oxidizing environmental conditions.

  5. Development of methods for the purification of 67Ga and 68Ga for biomolecules labeling

    International Nuclear Information System (INIS)

    Costa, Renata Ferreira

    2012-01-01

    For more than fifty years, the long-lived 68 Ge/ 68 Ga generators have been in development, obtaining 68 Ga without the need of having in house cyclotron, which is a considerable convenience for PET centers that have no nearby cyclotrons. 68 Ga decays 89% by positron emission and low photon emission (1077 keV) and the physical half life of 67.7 minutes is compatible with the pharmacokinetics of low biomolecular weight substances like peptides and antibody fragments. Moreover, its established metallic chemistry allows it to be stably bound to the carrier peptide sequence via a suitable bifunctional chelator, such as DOTA. All these reasons together with the technology of PET/CT allowed advances in molecular imaging, in particular in the diagnosis of neuroendocrine diseases. However, the eluate from the commercial 68 Ge/ 68 Ga generators still contains high levels of long lived 68 Ge, besides other metallic impurities, which competes with 68 Ga with a consequent reduction of the labeling yield of biomolecules, such as Fe 3+ and Zn 2+ . Thus, the lower the amount of impurities in the eluate, the competition between the radiolabeled and unlabeled peptide by the receptor will be smaller and the quality of imaging will be better, a subsequent purification step is needed after the generator elution. The aim of this work is to evaluate different purifications methods of 68 Ga to label biomolecules, with emphasis on the study of the chemical impurities contained in the eluate and to develop a new purification method. Several purification methods were studied. Many cationic resin were tested simulating the commercial process. 68 Ga is adsorbed in cationic resin, which is not commercial available and eluted in acid/acetone solution. The use of minor particles of cationic resin AG50W-X4 (200-400 mesh) showed the best results. An innovate method was the extraction chromatography, which is based on the absorption of diisopropyl ether in XAD 16 and 68 Ga recovery in deionized

  6. Red to near-infrared emission from InGaN/GaN quantum-disks-in-nanowires LED

    KAUST Repository

    Ng, Tien Khee; Zhao, Chao; Shen, Chao; Jahangir, Shafat; Janjua, Bilal; Ben Slimane, Ahmed; Kang, Chun Hong; Syed, Ahad A.; Li, Jingqi; Alyamani, Ahmed Y.; El-Desouki, Munir M.; Bhattacharya, Pallab K.; Ooi, Boon S.

    2014-01-01

    The InGaN/GaN quantum-disks-in-nanowire light-emitting diode (LED) with emission centered at ~830nm, the longest emission wavelength ever reported in the InGaN/GaN system, and spectral linewidth of 290nm, has been fabricated with p-side-down on a Cu substrate.

  7. Near-field microscopy of waveguide architectures of InGaN/GaN diode lasers

    Science.gov (United States)

    Friede, Sebastian; Tomm, Jens W.; Kühn, Sergei; Hoffmann, Veit; Wenzel, Hans; Weyers, Markus

    2016-11-01

    Waveguide (WG) architectures of 420 nm emitting InGaN/GaN diode lasers are analyzed by photoluminescence and photocurrent spectroscopy using a nearfield scanning optical microscope that scans along their front facets. The components of the ‘optical active cavity’, quantum wells, WGs, and cladding layers are individually inspected with a spatial resolution of ∼100 nm. Separate analysis of the p- and n-sections of the WG was achieved, and reveals defect levels in the p-part. Moreover, it is demonstrated that the homogeneity of the n-WG section directly affects the quantum wells that are grown on top of this layer. Substantially increased carrier capture efficiencies into InGaN/GaN-WGs compared to GaN-WGs are demonstrated.

  8. Angular dependent XPS study of surface band bending on Ga-polar n-GaN

    Science.gov (United States)

    Huang, Rong; Liu, Tong; Zhao, Yanfei; Zhu, Yafeng; Huang, Zengli; Li, Fangsen; Liu, Jianping; Zhang, Liqun; Zhang, Shuming; Dingsun, An; Yang, Hui

    2018-05-01

    Surface band bending and composition of Ga-polar n-GaN with different surface treatments were characterized by using angular dependent X-ray photoelectron spectroscopy. Upward surface band bending of varying degree was observed distinctly upon to the treatment methods. Besides the nitrogen vacancies, we found that surface states of oxygen-containing absorbates (O-H component) also contribute to the surface band bending, which lead the Fermi level pined at a level further closer to the conduction band edge on n-GaN surface. The n-GaN surface with lower surface band bending exhibits better linear electrical properties for Ti/GaN Ohmic contacts. Moreover, the density of positively charged surface states could be derived from the values of surface band bending.

  9. Monolithically integrated enhancement/depletion-mode AlGaN/GaN HEMT D flip-flop using fluorine plasma treatment

    International Nuclear Information System (INIS)

    Xie Yuanbin; Quan Si; Ma Xiaohua; Zhang Jincheng; Li Qingmin; Hao Yue

    2011-01-01

    Depletion-mode and enhancement-mode AlGaN/GaN HEMTs using fluorine plasma treatment were integrated on one wafer. Direct-coupled FET logic circuits, such as an E/D HEMT inverter, NAND gate and D flip-flop, were fabricated on an AlGaN/GaN heterostructure. The D flip-flop and NAND gate are demonstrated in a GaN system for the first time. The dual-gate AlGaN/GaN E-HEMT substitutes two single-gate E-HEMTs for simplifying the NAND gate and shrinking the area, integrating with a conventional AlGaN/GaN D-HEMT and demonstrating a NAND gate. E/D-mode D flip-flop was fabricated by integrating the inverters and the NAND gate on the AlGaN/GaN heterostructure. At a supply voltage of 2 V, the E/D inverter shows an output logic swing of 1.7 V, a logic-low noise margin of 0.49 V and a logic-high noise margin of 0.83 V. The NAND gate and D flip-flop showed correct logic function demonstrating promising potential for GaN-based digital ICs. (semiconductor integrated circuits)

  10. Noise performance in AlGaN/GaN HEMTs under high drain bias

    International Nuclear Information System (INIS)

    Pang Lei; Pu Yan; Lin Xinyu; Wang Liang; Liu Jian

    2009-01-01

    The advent of fully integrated GaN PA-LNA circuits makes it meaningful to investigate the noise performance under high drain bias. However, noise performance of AlGaN/GaN HEMTs under high bias has not received worldwide attention in theoretical studies due to its complicated mechanisms. The noise value is moderately higher and its rate of increase is fast with increasing high voltage. In this paper, several possible mechanisms are proposed to be responsible for it. Impact ionization under high electric field incurs great fluctuation of carrier density, which increases the drain diffusion noise. Besides, higher gate leakage current related shot noise and a more severe self-heating effect are also contributors to the noise increase at high bias. Analysis from macroscopic and microscopic perspectives can help us to design new device structures to improve noise performance of AlGaN/GaN HEMTs under high bias. (semiconductor devices)

  11. 71Ga Chemical Shielding and Quadrupole Coupling Tensors of the Garnet Y(3)Ga(5)O(12) from Single-Crystal (71)Ga NMR

    DEFF Research Database (Denmark)

    Vosegaard, Thomas; Massiot, Dominique; Gautier, Nathalie

    1997-01-01

    A single-crystal (71)Ga NMR study of the garnet Y(3)Ga(5)O(12) (YGG) has resulted in the determination of the first chemical shielding tensors reported for the (71)Ga quadrupole. The single-crystal spectra are analyzed in terms of the combined effect of quadrupole coupling and chemical shielding ...

  12. Raman scattering from In0.2Ga0.8N/GaN superlattices

    International Nuclear Information System (INIS)

    Kisoda, Kenji; Hirakura, Kohji; Harima, Hiroshi

    2006-01-01

    We have performed Raman scattering experiments on high quality In 0.2 Ga 0.8 N/GaN superlattices(SLs). The A 1 LO phonon mode from the In 0.2 Ga 0.8 N layer was observed in the Mg doped SL. This was attributable to manifestation of a resonance enhancement via acceptor levels formed by magnesium doping. The peak frequency of the A 1 LO mode shifted to high frequency side with the excitation energy. The frequency shift suggested that the composition of indium was fluctuated along the growth direction in the InGaN layer. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Effects of a highly Si-doped GaN current spreading layer at the n+-GaN/multi-quantum-well interface on InGaN/GaN blue-light-emitting diodes

    International Nuclear Information System (INIS)

    Kim, C. S.; Cho, H. K.; Choi, R. J.; Hahn, Y. B.; Lee, H. J.; Hong, C. H.

    2004-01-01

    Highly Si-doped GaN thin current spreading layer (CSL) with various carrier concentrations were inserted before the n + -GaN/multi-quantum-well (MQW) interface controlled by the growth rate and the modulated Si-doping in InGaN/GaN blue light-emitting diodes (LEDs), and their effects were investigated by using capacitance-voltage (C-V), current-voltage (I-V), and output power measurements. The LEDs with a highly Si-doped CSL show enhanced I-V characteristics and increased output power with increasing carrier concentration up to some critical point in the CSL. This means that proper high Si-doping in some limited area before the interface may enhance the device performance through the current spreading effect.

  14. Theoretical investigation of GaAsBi/GaAsN tunneling field-effect transistors with type-II staggered tunneling junction

    Science.gov (United States)

    Wang, Yibo; Liu, Yan; Han, Genquan; Wang, Hongjuan; Zhang, Chunfu; Zhang, Jincheng; Hao, Yue

    2017-06-01

    We investigate GaAsBi/GaAsN system for the design of type-II staggered hetero tunneling field-effect transistor (hetero-TFET). Strain-symmetrized GaAsBi/GaAsN with effective lattice match to GaAs exhibits a type-II band lineup, and the effective bandgap EG,eff at interface is significantly reduced with the incorporation of Bi and N elements. The band-to-band tunneling (BTBT) rate and drive current of GaAsBi/GaAsN hetero-TFETs are boosted due to the utilizing of the type-II staggered tunneling junction with the reduced EG,eff. Numerical simulation shows that the drive current and subthreshold swing (SS) characteristics of GaAsBi/GaAsN hetero-TFETs are remarkably improved by increasing Bi and N compositions. The dilute content GaAs0.85Bi0.15/GaAs0.92N0.08 staggered hetero-nTFET achieves 7.8 and 550 times higher ION compared to InAs and In0.53Ga0.47As homo-TFETs, respectively, at the supply voltage of 0.3 V. GaAsBi/GaAsN heterostructure is a potential candidate for high performance TFET.

  15. Nucleation and Growth of GaN on GaAs (001) Substrates

    International Nuclear Information System (INIS)

    Drummond, Timothy J.; Hafich, Michael J.; Heller, Edwin J.; Lee, Stephen R.; Liliental-Weber, Zuzanna; Ruvimov, Sergei; Sullivan, John P.

    1999-01-01

    The nucleation of GaN thin films on GaAs is investigated for growth at 620 ''C. An rf plasma cell is used to generate chemically active nitrogen from N 2 . An arsenic flux is used in the first eight monolayer of nitride growth to enhance nucleation of the cubic phase. Subsequent growth does not require an As flux to preserve the cubic phase. The nucleation of smooth interfaces and GaN films with low stacking fault densities is dependent upon relative concentrations of active nitrogen species in the plasma and on the nitrogen to gallium flux ratio

  16. Magnetotransport measurements on AFM structured two-dimensional electron gases on cleaved edges of GaAs/AlGaAs; Magnetotransportmessungen an AFM-strukturierten zweidimensionalen Elektronengasen auf GaAs/AlGaAs-Spaltkanten

    Energy Technology Data Exchange (ETDEWEB)

    Reinwald, Elisabeth

    2009-06-25

    In this thesis a two dimensional electron gas (2DEG) on a (110) cleavage plane of a GaAs/AlGaAs(001) heterostructure was produced by means of cleaved edge overgrowth (CEO) and modulated in two dimensions. The 2DEG was modulated in one direction by a superlattice of the subjacent GaAs/AlGaAs(001) heterostructure. A second modulation, perpendicular to the first was realized by local anodic oxidation (LAO) with an atomic force microscope (AFM). For the process of LAO an electric voltage is applied between the tip of the AFM and the surface of the GaAs. The natural water film on the surface acts as electrolyte so that the GaAs surface is locally oxidized underneath the AFM tip. This oxide leads to a band bending so that the 2DEG underneath the oxide is locally depleted. On these systems magnetotransport measurements revealed that it is actually possible to modulate 2DEGs on a sufficient large area by local anodic oxidation. On the cleaved surfaces the influence of the two dimensional modulation on the electron gas has been demonstrated. (orig.)

  17. Energy band structure and electrical properties of Ga-oxide/GaN interface formed by remote oxygen plasma

    Science.gov (United States)

    Yamamoto, Taishi; Taoka, Noriyuki; Ohta, Akio; Truyen, Nguyen Xuan; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Makihara, Katsunori; Nakatsuka, Osamu; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-06-01

    The energy band structure of a Ga-oxide/GaN structure formed by remote oxygen plasma exposure and the electrical interface properties of the GaN metal–oxide–semiconductor (MOS) capacitors with the SiO2/Ga-oxide/GaN structures with postdeposition annealing (PDA) at various temperatures have been investigated. Reflection high-energy electron diffraction and X-ray photoelectron spectroscopy clarified that the formed Ga-oxide layer is neither a single nor polycrystalline phase with high crystallinity. We found that the energy band offsets at the conduction band minimum and at the valence band maximum between the Ga-oxide layer and the GaN surface were 0.4 and 1.2 ± 0.2 eV, respectively. Furthermore, capacitance–voltage (C–V) characteristics revealed that the interface trap density (D it) is lower than the evaluation limit of Terman method without depending on the PDA temperatures, and that the SiO2/Ga-oxide stack can work as a protection layer to maintain the low D it, avoiding the significant decomposition of GaN at the high PDA temperature of 800 °C.

  18. Emission Characteristics of InGaN/GaN Core-Shell Nanorods Embedded in a 3D Light-Emitting Diode.

    Science.gov (United States)

    Jung, Byung Oh; Bae, Si-Young; Lee, Seunga; Kim, Sang Yun; Lee, Jeong Yong; Honda, Yoshio; Amano, Hiroshi

    2016-12-01

    We report the selective-area growth of a gallium nitride (GaN)-nanorod-based InGaN/GaN multiple-quantum-well (MQW) core-shell structure embedded in a three-dimensional (3D) light-emitting diode (LED) grown by metalorganic chemical vapor deposition (MOCVD) and its optical analysis. High-resolution transmission electron microscopy (HR-TEM) observation revealed the high quality of the GaN nanorods and the position dependence of the structural properties of the InGaN/GaN MQWs on multiple facets. The excitation and temperature dependences of photoluminescence (PL) revealed the m-plane emission behaviors of the InGaN/GaN core-shell nanorods. The electroluminescence (EL) of the InGaN/GaN core-shell-nanorod-embedded 3D LED changed color from green to blue with increasing injection current. This phenomenon was mainly due to the energy gradient and deep localization of the indium in the selectively grown InGaN/GaN core-shell MQWs on the 3D architecture.

  19. Isoelectronic Ln doping in p-GaN and its effects on InGaN light-emitting diodes

    International Nuclear Information System (INIS)

    Kim, C. S.; Cheong, H. S.; Kang, D. S.; Kim, J. Y.; Hong, C. H.; Suh, E. K.; Lee, H. J.; Cho, H. K.; Adesida, I.

    2004-01-01

    The effects of isoelectronic In doping in a Mg-doped p-GaN layer on device performance of InGaN light-emitting diodes (LED) were investigated. It was found that there was a decrease of Hall resistivity and contact resistivity in p-GaN with In doping, compared to typical Mg-doped p-GaN. Isoelectronic In doping in p-GaN seems to cause a kind of surfactant effect and/or purification effect similar to the In-doped GaN case, which exhibits a decrease of non-radiative recombination centers and an enhancement of carrier concentration. Light output power and operating voltage were improved by applying an In-doped p-GaN contact layer to the LED.

  20. Mercury(II) selective sensors based on AlGaN/GaN transistors

    Energy Technology Data Exchange (ETDEWEB)

    Asadnia, Mohsen, E-mail: mohsen.asadnia@mq.edu.au [School of Electrical, Electronic and Computer Engineering, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); Department of Engineering, Macquarie University, NSW 2109 (Australia); Myers, Matthew [School of Chemistry and Biochemistry, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); CSIRO Energy Flagship, Kensington, Western Australia 6151 (Australia); Akhavan, N.D. [School of Electrical, Electronic and Computer Engineering, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); O' Donnell, Kane [Department of Imaging and Applied Physics, Curtin University, Bentley, Western Australia 6102 (Australia); Umana-Membreno, Gilberto A. [School of Electrical, Electronic and Computer Engineering, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); Mishra, U.K. [Electrical and Computer Engineering Department, University of California, Santa Barbara, CA 93106 (United States); Nener, Brett [School of Electrical, Electronic and Computer Engineering, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); Baker, Murray [School of Chemistry and Biochemistry, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); Parish, Giacinta [School of Electrical, Electronic and Computer Engineering, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia)

    2016-11-02

    This work presents the first polymer approach to detect metal ions using AlGaN/GaN transistor-based sensor. The sensor utilised an AlGaN/GaN high electron mobility transistor-type structure by functionalising the gate area with a polyvinyl chloride (PVC) based ion selective membrane. Sensors based on this technology are portable, robust and typically highly sensitive to the target analyte; in this case Hg{sup 2+}. This sensor showed a rapid and stable response when it was introduced to solutions of varying Hg{sup 2+} concentrations. At pH 2.8 in a 10{sup −2} M KNO{sub 3} ion buffer, a detection limit below 10{sup −8} M and a linear response range between 10{sup −8} M-10{sup −4} M were achieved. This detection limit is an order of magnitude lower than the reported detection limit of 10{sup −7} M for thioglycolic acid monolayer functionalised AlGaN/GaN HEMT devices. Detection limits of approximately 10{sup −7} M and 10{sup −6} M in 10{sup −2} M Cd(NO{sub 3}){sub 2} and 10{sup −2} M Pb(NO{sub 3}){sub 2} ion buffers were also achieved, respectively. Furthermore, we show that the apparent gate response was near-Nernstian under various conditions. X-ray photoelectron spectroscopy (XPS) experiments confirmed that the sensing membrane is reversible after being exposed to Hg{sup 2+} solution and rinsed with deionised water. The success of this study precedes the development of this technology in selectively sensing multiple ions in water with use of the appropriate polymer based membranes on arrays of devices. - Highlights: • This work is the first polymer approach to detect metal ions using AlGaN/GaN transistor-based sensor. • The sensor utilised an AlGaN/GaN transistor by functionalising the gate area with a polyvinyl chloride (PVC) based membrane. • The sensor showed a rapid and linear response between 10{sup −8} M-10{sup −4} M for Hg{sup 2+} detection at pH 2.8 in a 10{sup −2} M KNO{sub 3} ion buffer. • Detection limits of

  1. Properties of InGaAs/GaAs metal-oxide-semiconductor heterostructure field-effect transistors modified by surface treatment

    Energy Technology Data Exchange (ETDEWEB)

    Gregušová, D., E-mail: Dagmar.Gregusova@savba.sk [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Gucmann, F.; Kúdela, R. [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Mičušík, M. [Polymer Institute of Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84541 (Slovakia); Stoklas, R.; Válik, L. [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Greguš, J. [Faculty of Mathematics, Physics and Informatics, Comenius University, Mlynská dolina, Bratislava SK-84248 (Slovakia); Blaho, M. [Institute of Electrical Engineering, Slovak Academy of Sciences, Dúbravská cesta 9, Bratislava SK-84104 (Slovakia); Kordoš, P. [Institute of Electronics and Photonics, Faculty of Electrical Engineering and Information Technology STU, Ilkovičova 3, Bratislava SK-81219 (Slovakia)

    2017-02-15

    Highlights: • AlGaAs/InGaAs/GaAs-based metal oxide semiconductor transistors-MOSHFET. • Thin Al-layer deposited in-situ and oxidize in air – gate insulator. • MOSHFET vs HFET transistor properties, density of traps evaluated. - Abstract: GaAs-based heterostructures exhibit excellent carrier transport properties, mainly the high carrier velocity. An AlGaAs-GaAs heterostructure field-effect transistor (HFET) with an InGaAs channel was prepared using metal-organic chemical vapor deposition (MOVPE). An AlOx layer was formed on the AlGaAs barrier layer by the air-assisted oxidation of a thin Al layer deposited in-situ in an MOVPE reactor immediately after AlGaAs/InGaAs growth. The HFETs and MOSHFETs exhibited a very low trap state density in the order of 10{sup 11} cm{sup −2} eV{sup −1}. Capacitance measurement yielded no significant difference between the HFET and MOSHFET structures. The formation of an AlOx layer modified the surface by partially eliminating surface states that arise from Ga-and As-based native oxides. The presence of an AlOx layer reflected in a reduced gate leakage current, which was evidenced by the two-terminal transistor measurement. Presented preparation procedure and device properties show great potential of AlGaAs/InGaAs-based MOSHFETs.

  2. Thickness-dependent magneto-optical effects in hole-doped GaS and GaSe multilayers: a first-principles study

    Science.gov (United States)

    Li, Fei; Zhou, Xiaodong; Feng, Wanxiang; Fu, Botao; Yao, Yugui

    2018-04-01

    Recently, two-dimensional (2D) GaS and GaSe nanosheets were successfully fabricated and the measured electronic, mechanical, and optoelectronic properties are excellent. Here, using the first-principles density functional theory, we investigate the magnetic, optical, and magneto-optical (MO) Kerr and Faraday effects in hole-doped GaS and GaSe multilayers. GaS and GaSe monolayers (MLs) manifest ferromagnetic ground states by introducing even a small amount of hole doping, whereas the magnetism in GaS and GaSe multilayers are significantly different under hole doping. Our results show that ferromagnetic states can be easily established in GaS bilayers and trilayers under proper hole doping, however, most of GaSe multilayers are more favorable to nonmagnetic states. The magnetic moments in GaS multilayers are weakened remarkably with the increasing of thin film thickness and are negligible more than three MLs. This leads to the thickness dependence of MO Kerr and Faraday effects. Furthermore, the MO effects strongly depend on the doping concentration and therefore are electrically controllable by adjusting the number of holes via gate voltage. The substrate effects on the MO properties are also discussed. Combining the unique MO and other interesting physical properties make GaS and GaSe a superior 2D material platform for semiconductor MO and spintronic nanodevices.

  3. Combined vertically correlated InAs and GaAsSb quantum dots separated by triangular GaAsSb barrier

    Czech Academy of Sciences Publication Activity Database

    Hospodková, Alice; Oswald, Jiří; Pangrác, Jiří; Zíková, Markéta; Kubištová, Jana; Komninou, Ph.; Kioseoglou, J.; Kuldová, Karla; Hulicius, Eduard

    2013-01-01

    Roč. 114, č. 17 (2013), "174305-1"-"174305-5" ISSN 0021-8979 R&D Projects: GA ČR GA13-15286S; GA MŠk 7AMB12GR034; GA MŠk(CZ) LM2011026 Institutional support: RVO:68378271 Keywords : quantum dots * metal-organic vapor phase epitaxy * InAs * GaAs * GaAsSb Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.185, year: 2013

  4. Protein Profiling Reveals Novel Proteins in Pollen and Pistil of W22 (ga1; Ga1 in Maize

    Directory of Open Access Journals (Sweden)

    Jin Yu

    2014-05-01

    Full Text Available Gametophytic factors mediate pollen-pistil interactions in maize (Zea mays L. and play active roles in limiting gene flow among maize populations and between maize and teosinte. This study was carried out to identify proteins and investigate the mechanism of gametophytic factors using protein analysis. W22 (ga1; which did not carry a gametophytic factor and W22 (Ga1, a near iso-genic line, were used for the proteome investigation. SDS-PAGE was executed to investigate proteins in the pollen and pistil of W22 (ga1 and W22 (Ga1. A total of 44 differentially expressed proteins were identified in the pollen and pistil on SDS-PAGE using LTQ-FTICR MS. Among the 44 proteins, a total of 24 proteins were identified in the pollen of W22 (ga1 and W22 (Ga1 whereas 20 differentially expressed proteins were identified from the pistil of W22 (ga1 and W22 (Ga1. However, in pollen, 2 proteins were identified only in the W22 (ga1 and 12 proteins only in the W22 (Ga1 whereas 10 proteins were confirmed from the both of W22 (ga1 and W22 (Ga1. In contrary, 10 proteins were appeared only in the pistil of W22 (ga1 and 7 proteins from W22 (Ga1 while 3 proteins confirmed in the both of W22 (ga1 and W22 (Ga1. Moreover, the identified proteins were generally involved in hydrolase activity, nucleic acid binding and nucleotide binding. These results help to reveal the mechanism of gametophytic factors and provide a valuable clue for the pollen and pistil research in maize.

  5. Enhancement of the 2DEG density in AlGaAs/InGaAs/GaAs P-HEMTs structures grown by MBE on (311)A and (111)A GaAs substrates

    International Nuclear Information System (INIS)

    Rekaya, S.; Sfaxi, L.; Bouzaiene, L.; Maaref, H.; Bru-Chevallier, C.

    2008-01-01

    The pseudomorphic high electron mobility transistor (P-HEMT) structure materials Al 0.33 Ga 0.7 As/In 0.1 Ga 0.9 As/GaAs have been grown by molecular beam epitaxy (MBE) on (311)A and (111)A GaAs substrates. The epitaxy of strain heterostructure on high index GaAs substrate has led to new growth phenomena, material properties and device applications. The photoluminescence (PL) spectra of the structures have been measured at low temperature. The dominant emission in the PL spectra is due to the recombination from the first electron (e1) subband to the first heavy-hole (hh1) subband (E 11 : e1-hh1). This feature (E 11 ) is a relatively broad peak and has a typical asymmetric line shape. The transformation of the PL spectra in the close vicinity of the Fermi edge (E F ) under different excitation densities gives strong evidence for the Fermi Edge Singularity (FES) existence. The density of the quasi-two-dimensional electron gas (2DEG) determined by PL study (n s PL ), is in sufficient agreement with the values found from Hall measurements n s Hall at 77 K. The results prove an increase of the electron density in sample grown on GaAs (111)A and (311)A rather than in equivalent sample grown on (001) GaAs substrate. This effect is in good agreement with our theoretical prediction, which is based on a self-consistent solution of the coupled Schroedinger and Poisson equations

  6. On the AlxGa1-xN/AlyGa1-yN/AlxGa1-xN (x>y) p-electron blocking layer to improve the hole injection for AlGaN based deep ultraviolet light-emitting diodes

    Science.gov (United States)

    Chu, Chunshuang; Tian, Kangkai; Fang, Mengqian; Zhang, Yonghui; Li, Luping; Bi, Wengang; Zhang, Zi-Hui

    2018-01-01

    This work proposes the [0001] oriented AlGaN-based deep ultraviolet (DUV) light-emitting diode (LED) possessing a specifically designed p-electron blocking layer (p-EBL) to achieve the high internal quantum efficiency. Both electrons and holes can be efficiently injected into the active region by adopting the Al0.60Ga0.40N/Al0.50Ga0.50N/Al0.60Ga0.40N structured p-EBL, in which a p-Al0.50Ga0.50N layer is embedded into the p-EBL. Moreover, the impact of different thicknesses for the p-Al0.50Ga0.50N insertion layer on the hole and electron injections has also been investigated. Compared with the DUV LED with the bulk p-Al0.60Ga0.40N as the EBL, the proposed LED architectures improve the light output power if the thickness of the p-Al0.50Ga0.50N insertion layer is properly designed.

  7. Effects of NH3 Flow Rate During AlGaN Barrier Layer Growth on the Material Properties of AlGaN/GaN HEMT Heterostructure

    Science.gov (United States)

    Lumbantoruan, Franky J.; Wong, Yuen-Yee; Huang, Wei-Ching; Yu, Hung-Wei; Chang, Edward-Yi

    2017-10-01

    NH3 flow rate during AlGaN barrier layer growth not only affects the growth efficiency and surface morphology as a result of parasitic reactions but also influences the concentration of carbon impurity in the AlGaN barrier. Carbon, which decomposes from metal precursors, plays a role in electron compensation for AlGaN/GaN HEMT. No 2-dimensional electron gas (2-DEG) was detected in the AlGaN/GaN structure if grown with 0.5 slm of NH3 due to the presence of higher carbon impurity (2.6 × 1019 cm-2). When the NH3 flow rate increased to 6.0 slm, the carbon impurity reduced to 2.10 × 1018 atom cm-3 and the 2 DEG electron density recovered to 9.57 × 1012 cm-2.

  8. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  9. Suppression of alloy fluctuations in GaAs-AlGaAs core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Loitsch, Bernhard; Winnerl, Julia; Parzinger, Eric; Matich, Sonja; Wurstbauer, Ursula; Riedl, Hubert; Abstreiter, Gerhard; Finley, Jonathan J.; Koblmüller, Gregor [Walter Schottky Institut and Physik Department, Technische Universität München, 85748 Garching (Germany); Jeon, Nari; Lauhon, Lincoln J. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Döblinger, Markus [Department of Chemistry, Ludwig-Maximilians-Universität Munich, 81377 München (Germany)

    2016-08-29

    Probing localized alloy fluctuations and controlling them by growth kinetics have been relatively limited so far in nanoscale structures such as semiconductor nanowires (NWs). Here, we demonstrate the tuning of alloy fluctuations in molecular beam epitaxially grown GaAs-AlGaAs core-shell NWs by modifications of shell growth temperature, as investigated by correlated micro-photoluminescence, scanning transmission electron microscopy, and atom probe tomography. By reducing the shell growth temperature from T > 600 °C to below 400 °C, we find a strong reduction in alloy fluctuation mediated sharp-line luminescence, concurrent with a decrease in the non-randomness of the alloy distribution in the AlGaAs shell. This trend is further characterized by a change in the alloy compositional structure from unintentional quasi-superlattices of Ga- and Al-rich AlGaAs layers at high T to a nearly homogeneous random alloy distribution at low T.

  10. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2 \\xAF 01 )

    Science.gov (United States)

    Kollmannsberger, Sebastian L.; Walenta, Constantin A.; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N.; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-01

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α -H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga2O3(2 ¯ 01 ) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  11. Detection of prostate-specific antigen with biomolecule-gated AlGaN/GaN high electron mobility transistors

    Science.gov (United States)

    Li, Jia-dong; Cheng, Jun-jie; Miao, Bin; Wei, Xiao-wei; Xie, Jie; Zhang, Jin-cheng; Zhang, Zhi-qiang; Wu, Dong-min

    2014-07-01

    In order to improve the sensitivity of AlGaN/GaN high electron mobility transistor (HEMT) biosensors, a simple biomolecule-gated AlGaN/GaN HEMT structure was designed and successfully fabricated for prostate specific antigen (PSA) detection. UV/ozone was used to oxidize the GaN surface and then a 3-aminopropyl trimethoxysilane (APTES) self-assembled monolayer was bound to the sensing region. This monolayer serves as a binding layer for attachment of the prostate specific antibody (anti-PSA). The biomolecule-gated AlGaN/GaN HEMT sensor shows a rapid and sensitive response when the target prostate-specific antigen in buffer solution was added to the antibody-immobilized sensing area. The current change showed a logarithm relationship against the PSA concentration from 0.1 pg/ml to 0.993 ng/ml. The sensitivity of 0.215% is determined for 0.1 pg/ml PSA solution. The above experimental result of the biomolecule-gated AlGaN/GaN HEMT biosensor suggested that this biosensor might be a useful tool for prostate cancer screening.

  12. Detection of prostate-specific antigen with biomolecule-gated AlGaN/GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Li, Jia-dong; Miao, Bin; Wei, Xiao-wei; Xie, Jie; Wu, Dong-min; Cheng, Jun-jie; Zhang, Jin-cheng; Zhang, Zhi-qiang

    2014-01-01

    In order to improve the sensitivity of AlGaN/GaN high electron mobility transistor (HEMT) biosensors, a simple biomolecule-gated AlGaN/GaN HEMT structure was designed and successfully fabricated for prostate specific antigen (PSA) detection. UV/ozone was used to oxidize the GaN surface and then a 3-aminopropyl trimethoxysilane (APTES) self-assembled monolayer was bound to the sensing region. This monolayer serves as a binding layer for attachment of the prostate specific antibody (anti-PSA). The biomolecule-gated AlGaN/GaN HEMT sensor shows a rapid and sensitive response when the target prostate-specific antigen in buffer solution was added to the antibody-immobilized sensing area. The current change showed a logarithm relationship against the PSA concentration from 0.1 pg/ml to 0.993 ng/ml. The sensitivity of 0.215% is determined for 0.1 pg/ml PSA solution. The above experimental result of the biomolecule-gated AlGaN/GaN HEMT biosensor suggested that this biosensor might be a useful tool for prostate cancer screening. (paper)

  13. Assessment of Ga2O3 technology

    Science.gov (United States)

    2016-09-15

    this article has given the emerging technology of GaN a valuable push in term of encouragement to stay with it while the painful technology development...Ga2O3 α-Ga2O3 β-Ga2O3 β-Ga2O3 β-Ga2O3 poly - Ga2O3 β-Ga2O3 Epi-layer Growth Method MBE (ozone) MBE (ozone) MBE (ozone) Mist-CVD MBE (ozone... pains to treat the wafer surface with BCl3 RIE to create charges at the interface. The gate contact was also barely a Schottky contact evidenced by

  14. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  15. AlxGa1--xN/GaN band offsets determined by deep-level emission

    International Nuclear Information System (INIS)

    Hang, D. R.; Chen, C. H.; Chen, Y. F.; Jiang, H. X.; Lin, J. Y.

    2001-01-01

    We present studies of the compositional dependence of the optical properties of Al x Ga 1-x N(0 x Ga 1-x N. As aluminum concentration increases, the color of the band changes from yellow (2.2 eV) to blue (2.6 eV). The shift was less than that of the band gap. Together with previously published studies, it implies that the deep acceptor level is pinned to a common reference level to both materials, thus the deep level responsible for the yellow emission is used as a common reference level to determine the band alignment in Al x Ga 1-x N/GaN heterojunctions. Combining with the near-band-edge modulation spectra, the estimated ratio of conduction-to-valence band discontinuity is 65:35. Our results are close to the values obtained from PL measurements on Al 0.14 Ga 0.86 N/GaN quantum wells and those calculated by linear muffin-tin orbital method and linearized augmented plane wave method. copyright 2001 American Institute of Physics

  16. Carrier extraction behaviour in type II GaSb/GaAs quantum ring solar cells

    International Nuclear Information System (INIS)

    Fujita, Hiromi; James, Juanita; Carrington, Peter J; Marshall, Andrew R J; Krier, Anthony; Wagener, Magnus C; Botha, Johannes R

    2014-01-01

    The introduction of quantum dot (QD) or quantum ring (QR) nanostructures into GaAs single-junction solar cells has shown enhanced photo-response above the GaAs absorption edge, because of sub-bandgap photon absorption. However, to further improve solar cell performance a better understanding of the mechanisms of photogenerated carrier extraction from QDs and QRs is needed. In this work we have used a direct excitation technique to study type II GaSb/GaAs quantum ring solar cells using a 1064 nm infrared laser, which enables us to excite electron–hole pairs directly within the GaSb QRs without exciting the GaAs host material. Temperature and laser intensity dependence of the current–voltage characteristics revealed that the thermionic emission process produced the dominant contribution to the photocurrent and accounts for 98.9% of total photocurrent at 0 V and 300 K. Although the tunnelling process gives only a low contribution to the photocurrent, an enhancement of the tunnelling current was clearly observed when an external electric field was applied. (paper)

  17. A one-dimensional Fickian model to predict the Ga depth profiles in three-stage Cu(In,Ga)Se2

    International Nuclear Information System (INIS)

    Rodriguez-Alvarez, H.; Mainz, R.; Sadewasser, S.

    2014-01-01

    We present a one-dimensional Fickian model that predicts the formation of a double Ga gradient during the fabrication of Cu(In,Ga)Se 2 thin films by three-stage thermal co-evaporation. The model is based on chemical reaction equations, structural data, and effective Ga diffusivities. In the model, the Cu(In,Ga)Se 2 surface is depleted from Ga during the deposition of Cu-Se in the second deposition stage, leading to an accumulation of Ga near the back contact. During the third deposition stage, where In-Ga-Se is deposited at the surface, the atomic fluxes within the growing layer are inverted. This results in the formation of a double Ga gradient within the Cu(In,Ga)Se 2 layer and reproduces experimentally observed Ga distributions. The final shape of the Ga depth profile strongly depends on the temperatures, times and deposition rates used. The model is used to evaluate possible paths to flatten the marked Ga depth profile that is obtained when depositing at low substrate temperatures. We conclude that inserting Ga during the second deposition stage is an effective way to achieve this.

  18. Optimum Design of ARC-less InGaP/GaAs DJ Solar Cell with Hetero Tunnel Junction

    Science.gov (United States)

    Abbasian, Sobhan; Sabbaghi-Nadooshan, Reza

    2018-03-01

    The operation of hetero In0.49Ga0.51P-Al0.7Ga0.3As tunnel diodes has been evaluated, and an approach for optimizing the back surface field (BSF) layer of a InGaP/GaAs dual-junction (DJ) solar cell developed. The results show that the hetero In0.49Ga0.51P-Al0.7Ga0.3As tunnel diode transferred more electrons and holes and showed less recombination between the top and bottom cells with increased efficiency (η) in the InGaP/GaAs DJ solar cell. To achieve higher open-circuit voltage (V oc), GaAs semiconductor was investigated to match with Al0.52In0.48P with bandgap of 2.4 eV, and replacement of the bottom cell in the InGaP/GaAs DJ solar cell with such an Al0.52In0.48P-GaAs heterojunction increased the photogeneration in this region. In the next step, addition of a BSF layer to the top cell required two BSF layers in the bottom cell to optimize the short-circuit current (J sc) and η. The thickness and doping of the BSF layers were increased to obtain the highest η for the cell. The proposed structure was then compared with previous works. The proposed structure yielded V oc = 2.46 V, J sc = 30 mA/cm2, fill factor (FF) = 88.61%, and η = 65.51% under AM1.5 (1 sun) illumination.

  19. Robust X-band LNAs in AlGaN/GaN technology

    NARCIS (Netherlands)

    Janssen, J.P.B.; Heijningen, M. van; Visser, G.C.; Rodenburg, M.; Johnson, H.K.; Uren, M.J.; Morvan, E.; Vliet, F.E. van

    2009-01-01

    Gallium-Nitride technology is known for its high power density and power amplifier designs, but is also very well suited to realise robust receiver components. This paper presents the design, realisation and measurement of two robust AlGaN/GaN low noise amplifiers. The two versions have been

  20. Robust X-band LNAs in AlGaN/GaN technology

    NARCIS (Netherlands)

    Janssen, J.P.B.; van Heiningen, M.; Visser, G.C.; Rodenburg, M.; Johnson, H.K.; Uren, M.J.; Morvan, E.; van Vliet, Frank Edward

    2009-01-01

    Abstract Gallium-Nitride technology is known for its high power density and power amplifier designs, but is also very well suited to realise robust receiver components. This paper presents the design, realisation and measurement of two robust AlGaN/GaN low noise amplifiers. The two versions have

  1. Precise thickness control in recess etching of AlGaN/GaN hetero-structure using photocarrier-regulated electrochemical process

    Science.gov (United States)

    Kumazaki, Yusuke; Uemura, Keisuke; Sato, Taketomo; Hashizume, Tamotsu

    2017-05-01

    The photocarrier-regulated electrochemical (PREC) process was developed for fabricating recessed-gate AlGaN/GaN high-electron-mobility transistors (HEMTs) for normally off operation. The PREC process is based on photo-assisted electrochemical etching using low-energy chemical reactions. The fundamental photo-electrochemical measurements on AlGaN/GaN heterostructures revealed that the photo-carriers generated in the top AlGaN layer caused homogeneous etching of AlGaN with a smooth surface, but those generated in the GaN layer underneath caused inhomogeneous etching that roughens the surface. The concept of the PREC process is to supply the photo-carriers generated only in the AlGaN layer by selecting proper conditions on light wavelength and voltage. The phenomenon of self-termination etching has been observed during the PREC process, where the etching depth was controlled by light intensity. The recessed-gate AlGaN/GaN HEMT fabricated with the PREC process showed positive threshold voltage and improvement in transconductance compared to planar-gate AlGaN/GaN HEMTs.

  2. Development of methods for the purification of {sup 67}Ga and {sup 68}Ga for biomolecules labeling; Desenvolvimento de metodos de purificacao do {sup 67}Ga e {sup 68}Ga para a marcacao de biomoleculas

    Energy Technology Data Exchange (ETDEWEB)

    Costa, Renata Ferreira

    2012-07-01

    For more than fifty years, the long-lived {sup 68}Ge/{sup 68}Ga generators have been in development, obtaining {sup 68}Ga without the need of having in house cyclotron, which is a considerable convenience for PET centers that have no nearby cyclotrons. {sup 68}Ga decays 89% by positron emission and low photon emission (1077 keV) and the physical half life of 67.7 minutes is compatible with the pharmacokinetics of low biomolecular weight substances like peptides and antibody fragments. Moreover, its established metallic chemistry allows it to be stably bound to the carrier peptide sequence via a suitable bifunctional chelator, such as DOTA. All these reasons together with the technology of PET/CT allowed advances in molecular imaging, in particular in the diagnosis of neuroendocrine diseases. However, the eluate from the commercial {sup 68}Ge/{sup 68}Ga generators still contains high levels of long lived {sup 68}Ge, besides other metallic impurities, which competes with {sup 68}Ga with a consequent reduction of the labeling yield of biomolecules, such as Fe{sup 3+} and Zn{sup 2+}. Thus, the lower the amount of impurities in the eluate, the competition between the radiolabeled and unlabeled peptide by the receptor will be smaller and the quality of imaging will be better, a subsequent purification step is needed after the generator elution. The aim of this work is to evaluate different purifications methods of {sup 68}Ga to label biomolecules, with emphasis on the study of the chemical impurities contained in the eluate and to develop a new purification method. Several purification methods were studied. Many cationic resin were tested simulating the commercial process. {sup 68}Ga is adsorbed in cationic resin, which is not commercial available and eluted in acid/acetone solution. The use of minor particles of cationic resin AG50W-X4 (200-400 mesh) showed the best results. An innovate method was the extraction chromatography, which is based on the absorption of

  3. Endogenous gibberellins in Arabidopsis thaliana and possible steps blocked in the biosynthetic pathways of the semidwarf ga4 and ga5 mutants

    International Nuclear Information System (INIS)

    Talon, M.; Zeevaart, J.A.D.; Koornneef, M.

    1990-01-01

    Twenty gibberellins (GAs) have been identified in extracts from shoots of the Landsberg erecta line of Arabidopsis thaliana by full-scan gas chromatography-mass spectrometry and Kovats retention indices. Eight of them are members of the early-13-hydroxylation pathway (GA 53 , GA 44 , GA 19 , GA 17 , GA 20 , GA 1 , GA 29 , and GA 8 ), six are members of the early-3-hydroxylation pathway (GA 37 , GA 27 , GA 36 , GA 13 , GA 4 , and GA 34 ), and the remaining six are members of the non-3,13-hydroxylation pathway (GA 12 , GA 15 , GA 24 , GA 25 , GA 9 , and GFA 51 ). Seven of these GAs were quantified in the Landsberg erecta line of Arabidopsis and in the semidwarf ga4 and ga5 mutants by gas chromatography-selected ion monitoring (SIM) using internal standards. The relative levels of the remaining 13 GAs were compared by the use of ion intensities only. The growth-response data, as well as the accumulation of GA 9 in the ga4 mutant, indicate that GA 9 is not active in Arabidopsis, but it must be 3β-hydroxytlated to GA 4 to become bioactive. It is concluded that the reduced levels of the 3β-hydroxy-GAs, GA 1 and GA 4 , are the cause of the semidwarf growth habit of both mutants

  4. Ab initio phonon thermal transport in monolayer InSe, GaSe, GaS, and alloys

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, Tribhuwan; Parker, David S.; Lindsay, Lucas

    2017-10-17

    We compare vibrational properties and phonon thermal conductivities (κ) of monolayer InSe, GaSe and GaS systems using density functional theory and Peierls-Boltzmann transport methods. In going from InSe to GaSe to GaS, system mass decreases giving both increasing acoustic phonon velocities and decreasing scattering of these heat-carrying modes with optic phonons, ultimately giving κInSe< κGaSe< κGaS. This behavior is demonstrated by correlating the scattering phase space limited by fundamental conservation conditions with mode scattering rates and phonon dispersions for each material. We also show that, unlike flat monolayer systems such as graphene, thermal transport is governed by in-plane vibrations in InSe, GaSe and GaS, similar to buckled monolayer materials such as silicene. Alloying of InSe, GaSe and GaS systems provides an effective method for modulating their κ through intrinsic vibrational modifications and phonon scattering from mass disorder giving reductions ~2-3.5 times. This disorder also suppresses phonon mean free paths in the alloy systems compared to those in their crystalline counterparts. This work provides fundamental insights of lattice thermal transport from basic vibrational properties for an interesting set of two-dimensional materials.

  5. Magnetic anisotropy in GaMnAs; Magnetische Anisotropie in GaMnAs

    Energy Technology Data Exchange (ETDEWEB)

    Daeubler, Joachim

    2009-07-02

    The goal of the present work was the detailed investigation of the impact of parameters like vertical strain, hole concentration, substrate orientation and patterning on the MA in GaMnAs. At first a method is introduced enabling us to determine the MA from angle-dependent magnetotransport measurements. This method was used to analyze the impact of vertical strain {epsilon}{sub zz} on the MA in a series of GaMnAs layers with a Mn content of 5% grown on relaxed InGaAs-templates. While hole concentration and Curie temperature were found to be unaffected by vertical strain, a significant dependence of the MA on {epsilon}{sub zz} was found. The most pronounced dependence was observed for the anisotropy parameter B{sub 2} {sub perpendicular} {sub to}, representing the intrinsic contribution to the MA perpendicular to the layer plane. For this parameter a linear dependence on {epsilon}{sub zz} was found, resulting in a strain-induced transition of the magnetic easy axis with increasing strain from in-plane to out-of-plane at {epsilon}{sub zz} {approx} -0.13%. Post-growth annealing of the samples leads to an outdiffusion and/or regrouping of the highly mobile Mn interstitial donor defects, resulting in an increase in both p and T{sub C}. For the annealed samples, the transition from in-plane to out-of-plane easy axis takes place at {epsilon}{sub zz} {approx} -0.07%. From a comparison of as-grown and annealed samples, B{sub 2} {sub perpendicular} {sub to} was found to be proportional to both p and {epsilon}{sub zz}, B{sub 2} {sub perpendicular} {sub to} {proportional_to} p .{epsilon}{sub zz}. To study the influence of substrate orientation on the magnetic properties of GaMnAs, a series of GaMnAs layers with Mn contents up to 5% was grown on (001)- and (113)A-oriented GaAs substrates. The hole densities and Curie temperatures, determined from magnetotransport measurements, are drastically reduced in the (113)A layers. The differences in the magnetic properties of (113)A- and

  6. In situ synthesis and characterization of GaN nanorods through thermal decomposition of pre-grown GaN films

    International Nuclear Information System (INIS)

    Yan, P; Qin, D; An, Y K; Li, G Z; Xing, J; Liu, J J

    2008-01-01

    Herein we describe a thermal treatment route to synthesize gallium nitride (GaN) nanorods. In this method, GaN nanorods were synthesized by thermal treatment of GaN films at a temperature of 800 deg. C. The morphology and structure of GaN nanorods were characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The results show that GaN nanorods have a hexagonal wurtzite structure with diameters ranging from 30 to 50 nm. Additionally, GaN nanoplates are also founded in the products. The growth process of GaN nanostructures was investigated and a thermal decomposition mechanism was proposed. Our method provides a cost-effective route to fabricate GaN nanorods, which will benefit the fabrication of one-dimensional nanomaterials and device applications

  7. Study of GaN nanowires converted from β-Ga2O3 and photoconduction in a single nanowire

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Sudheer; Chauhan, Neha; Sakthi Kumar, D.; Kumar, Vikram; Singh, R.

    2017-08-01

    The formation of GaN nanowires from β-Ga2O3 nanowires and photoconduction in a fabricated single GaN nanowire device has been studied. Wurtzite phase GaN were formed from monoclinic β-Ga2O3 nanowires with or without catalyst particles at their tips. The formation of faceted nanostructures from catalyst droplets presented on a nanowire tip has been discussed. The nucleation of GaN phases in β-Ga2O3 nanowires and their subsequent growth due to interfacial strain energy has been examined using a high resolution transmission electron microscope. The high quality of the converted GaN nanowire is confirmed by fabricating single nanowire photoconducting devices which showed ultra high responsivity under ultra-violet illumination.

  8. Experimental evidences for reducing Mg activation energy in high Al-content AlGaN alloy by MgGa δ doping in (AlN)m/(GaN)n superlattice

    OpenAIRE

    Xiao Wang; Wei Wang; Jingli Wang; Hao Wu; Chang Liu

    2017-01-01

    P-type doping in high Al-content AlGaN alloys is a main challenge for realizing AlGaN-based deep ultraviolet optoelectronics devices. According to the first-principles calculations, Mg activation energy may be reduced so that a high hole concentration can be obtained by introducing nanoscale (AlN)5/(GaN)1 superlattice (SL) in Al0.83Ga0.17N disorder alloy. In this work, experimental evidences were achieved by analyzing Mg doped high Al-content AlGaN alloys and Mg doped AlGaN SLs as well as MgG...

  9. Radiation defects in GaP and solid solution of GaAssub(1-x)Psub(x)

    International Nuclear Information System (INIS)

    Brailovsky, E.Y.; Grigoryan, N.E.; Marchouk, N.D.; Pambuhchyan, N.H.; Tartachnik, V.P.

    1979-01-01

    The introduction and annealing behaviour of radiation defects in GaP and GaAssub(1-x)Psub(x) at 1 to 50 MeV electron irradiation was investigated by the Hall effect, thermal stimulated current (TSC) and optical absorption. The recovery of electrical properties of irradiated GaAssub(1-x)Psub(x) was dependent on x. From TSC measurement it has been shown that the predominant radiation defects in GaP are electron traps Esub(c) - (1.2 +- 0.1)eV and hole traps Esub(v) + (1.5 +- 0.15)eV which are the cause of n and p decreasing in GaP crystals. The formation of density state 'tails' during irradiation was investigated. (author)

  10. Spin injection from epitaxial Heusler alloy thin films into InGaAs/GaAs quantum wells

    DEFF Research Database (Denmark)

    Damsgaard, Christian Danvad

    2006-01-01

    -stoichiometric crystals and crystals with site swapping defects. Significant decrease in the spin polarization has been predicted for disorder defects involving especially Co on Mn or Ga sites. From an estimate based on the calculated defect formation energies it is found that Mn on Co-sites are likely to exist...... no anisotropy is seen for near stoichiometry thin films on an ordinary GaAs surface. Typically thin films grown on GaAs show lower saturation magnetization than expected from bulk properties. The electrical characterizations have revealed resistivities around ρ = 350μΩcm at 300 K. Generally, the near...... to typically 0.02-0.1 Ωmm2 for Fe and Co contacts but two orders of magnitude higher for the Co2MnGa contacts. Point contact Andreev reflection measurements on an off-stoichiometric thin film (Co2.4Mn1.6Ga) show a spin polarization of P ≈ 50 %. Furthermore spin injection into a InGaAs/GaAs quantum well have...

  11. Low-leakage-current AlGaN/GaN HEMTs on Si substrates with partially Mg-doped GaN buffer layer by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Ming; Wang Yong; Wong Kai-Ming; Lau Kei-May

    2014-01-01

    High-performance low-leakage-current AlGaN/GaN high electron mobility transistors (HEMTs) on silicon (111) substrates grown by metal organic chemical vapor deposition (MOCVD) with a novel partially Magnesium (Mg)-doped GaN buffer scheme have been fabricated successfully. The growth and DC results were compared between Mg-doped GaN buffer layer and a unintentionally one. A 1-μm gate-length transistor with Mg-doped buffer layer exhibited an OFF-state drain leakage current of 8.3 × 10 −8 A/mm, to our best knowledge, which is the lowest value reported for MOCVD-grown AlGaN/GaN HEMTs on Si featuring the same dimension and structure. The RF characteristics of 0.25-μm gate length T-shaped gate HEMTs were also investigated

  12. Ga induced superstructures as templates for lattice matched hetroepitaxial growth of GaN on Si(111) substrate

    International Nuclear Information System (INIS)

    Kumar, Praveen; Kuyyalil, Jithesh; Shivaprasad, S. M.

    2010-01-01

    High quality GaN is grown by plasma assisted molecular beam epitaxy on Ga induced superstructural phases of Si(111)7x7. Three stable surface phases induced by Ga adsorption, viz., (1x1), (6.3x6.3), and (√3x√3)R30 deg., are employed as templates to grow epitaxial (0001) GaN thin films. GaN grown on Si(√3x√3)R30 deg. -Ga is found to be highly crystalline with intense (0002) x-ray diffraction and photoluminescence peaks with low full width at half maximum, low surface roughness, and stoichiometric surface composition. The high quality of these GaN films formed at a low temperature of 400 deg. C is explained by the integral (x2) lattice matching between the unit cell of GaN and the (√3x√3) phase. The experiments demonstrate a plausible approach of adsorbate induced surface modifications as templates for III-V hetroepitaxy on Si surfaces.

  13. Thermoelectric properties of In-rich InGaN and InN/InGaN superlattices

    Directory of Open Access Journals (Sweden)

    James (Zi-Jian Ju

    2016-04-01

    Full Text Available The thermoelectric properties of n-type InGaN alloys with high In-content and InN/InGaN thin film superlattices (SL grown by molecular beam epitaxy are investigated. Room-temperature measurements of the thermoelectric properties reveal that an increasing Ga-content in ternary InGaN alloys (0 < x(Ga < 0.2 yields a more than 10-fold reduction in thermal conductivity (κ without deteriorating electrical conductivity (σ, while the Seebeck coefficient (S increases slightly due to a widening band gap compared to binary InN. Employing InN/InGaN SLs (x(Ga = 0.1 with different periods, we demonstrate that confinement effects strongly enhance electron mobility with values as high as ∼820 cm2/V s at an electron density ne of ∼5×1019 cm−3, leading to an exceptionally high σ of ∼5400 (Ωcm−1. Simultaneously, in very short-period SL structures S becomes decoupled from ne, κ is further reduced below the alloy limit (κ < 9 W/m-K, and the power factor increases to 2.5×10−4 W/m-K2 by more than a factor of 5 as compared to In-rich InGaN alloys. These findings demonstrate that quantum confinement in group-III nitride-based superlattices facilitates improvements of thermoelectric properties over bulk-like ternary nitride alloys.

  14. Growth of cubic GaN on a nitrided AlGaAs (001) substrate by using hydried vapor phase epitaxy

    International Nuclear Information System (INIS)

    Lee, H. J.; Yang, M.; Ahn, H. S.; Kim, K. H.; Yi, J. Y.; Jang, K. S.; Chang, J. H.; Kim, H. S.; Cho, C. R.; Kim, S. W.

    2006-01-01

    GaN layers were grown on AlGaAs (001) substrates by using hydride vapor phase epitaxy (HVPE). Growth parameters such as the nitridation temperature of the AlGaAs substrate and the growth rate of the GaN layer were found to be critical determinants for the growth of cubic GaN layer. Nitridation of the AlGaAs surface was performed in a NH 3 atmosphere at a temperature range of 550 - 700 .deg. C. GaN layers were grown at different growth rates on the nitrided AlGaAs substrates. The surface morphologies and the chemical constituents of the nitrided AlGaAs layers were characterized with scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). For the optical and the crystalline characterization of the GaN films, cathodoluminescence (CL) and X-ray diffraction (XRD) were carried out.

  15. H irradiation effects on the GaAs-like Raman modes in GaAs1-xNx/GaAs1-xNx:H planar heterostructures

    International Nuclear Information System (INIS)

    Giulotto, E.; Geddo, M.; Patrini, M.; Guizzetti, G.; Felici, M.; Capizzi, M.; Polimeni, A.; Martelli, F.; Rubini, S.

    2014-01-01

    The GaAs-like longitudinal optical phonon frequency in two hydrogenated GaAs 1-x N x /GaAs 1-x N x :H microwire heterostructures—with similar N concentration, but different H dose and implantation conditions—has been investigated by micro-Raman mapping. In the case of GaAs 0.991 N 0.009 wires embedded in barriers where GaAs-like properties are recovered through H irradiation, the phonon frequency in the barriers undergoes a blue shift with respect to the wires. In GaAs 0.992 N 0.008 wires embedded in less hydrogenated barriers, the phonon frequency exhibits an opposite behavior (red shift). Strain, disorder, phonon localization effects induced by H-irradiation on the GaAs-like phonon frequency are discussed and related to different types of N-H complexes formed in the hydrogenated barriers. It is shown that the red (blue) character of the frequency shift is related to the dominant N-2H (N-3H) type of complexes. Moreover, for specific experimental conditions, an all-optical determination of the uniaxial strain field is obtained. This may improve the design of recently presented devices that exploit the correlation between uniaxial stress and the degree of polarization of photoluminescence

  16. H irradiation effects on the GaAs-like Raman modes in GaAs1-xNx/GaAs1-xNx:H planar heterostructures

    Science.gov (United States)

    Giulotto, E.; Geddo, M.; Patrini, M.; Guizzetti, G.; Felici, M.; Capizzi, M.; Polimeni, A.; Martelli, F.; Rubini, S.

    2014-12-01

    The GaAs-like longitudinal optical phonon frequency in two hydrogenated GaAs1-xNx/GaAs1-xNx:H microwire heterostructures—with similar N concentration, but different H dose and implantation conditions—has been investigated by micro-Raman mapping. In the case of GaAs0.991N0.009 wires embedded in barriers where GaAs-like properties are recovered through H irradiation, the phonon frequency in the barriers undergoes a blue shift with respect to the wires. In GaAs0.992N0.008 wires embedded in less hydrogenated barriers, the phonon frequency exhibits an opposite behavior (red shift). Strain, disorder, phonon localization effects induced by H-irradiation on the GaAs-like phonon frequency are discussed and related to different types of N-H complexes formed in the hydrogenated barriers. It is shown that the red (blue) character of the frequency shift is related to the dominant N-2H (N-3H) type of complexes. Moreover, for specific experimental conditions, an all-optical determination of the uniaxial strain field is obtained. This may improve the design of recently presented devices that exploit the correlation between uniaxial stress and the degree of polarization of photoluminescence.

  17. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Yongwei; Zhang, Miao [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Deng, Chuang; Men, Chuanling [School of Energy and Power Engineering, University of Shanghai for Science and Technology, Shanghai 200093 (China); Chen, Da [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Zhu, Lei; Yu, Wenjie; Wei, Xing [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2015-08-15

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10{sup 17} cm{sup −2}, the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10{sup 17} cm{sup −2}. • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10{sup 17} cm{sup −2}, the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10{sup 17} cm{sup −2} H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF{sub 6} plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era.

  18. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    International Nuclear Information System (INIS)

    Chang, Yongwei; Zhang, Miao; Deng, Chuang; Men, Chuanling; Chen, Da; Zhu, Lei; Yu, Wenjie; Wei, Xing; Di, Zengfeng; Wang, Xi

    2015-01-01

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10 17 cm −2 , the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10 17 cm −2 . • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10 17 cm −2 , the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10 17 cm −2 H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF 6 plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era

  19. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  20. A correlation between the defect states and yellow luminescence in AlGaN/GaN heterostructures

    Science.gov (United States)

    Jana, Dipankar; Sharma, T. K.

    2017-07-01

    AlGaN/GaN heterostructures are investigated by performing complementary spectroscopic measurements under novel experimental configurations. Distinct features related to the band edge of AlGaN and GaN layers are clearly observed in surface photovoltage spectroscopy (SPS) spectra. A few more SPS features, which are associated with defects in GaN, are also identified by performing the pump-probe SPS measurements. SPS results are strongly corroborated by the complementary photoluminescence and photoluminescence excitation (PLE) measurements. A correlation between the defect assisted SPS features and yellow luminescence (YL) peak is established by performing pump-probe SPS and PLE measurements. It is found that CN-ON donor complex is responsible for the generation of YL peak in our sample. Further, the deep trap states are found to be present throughout the entire GaN epilayer. It is also noticed that the deep trap states lying at the GaN/Fe-GaN interface make a strong contribution to the YL feature. A phenomenological model is proposed to explain the intensity dependence of the YL feature and the corresponding SPS features in a pump-probe configuration, where a reasonable agreement between the numerical simulations and experimental results is achieved.

  1. Reactive ion etching of GaSb, (Al,Ga)Sb, and InAs for novel device applications

    International Nuclear Information System (INIS)

    LaTulipe, D.C.; Frank, D.J.; Munekata, H.

    1991-01-01

    Although a variety of novel device proposals for GaSb/(Al,Ga)Sb/InAs heterostructures have been made, relatively little is known about processing these materials. The authors of this paper have studied the reactive ion etching characteristics of GaSb, (Al,Ga)Sb, and InAs in both methane/hydrogen and chlorine gas chemistries. At conditions similar to those reported elsewhere for RIE of InP and GaAs in CH 4 /H 2 , the etch rate of (Al,Ga)Sb was found to be near zero, while GaSb and InAs etched at 200 Angstrom/minute. Under conditions where the etch mechanism is primarily physical sputtering, the three compounds etch at similar rates. Etching in Cl 2 was found to yield anistropic profiles, with the etch rate of (Al,Ga)Sb increasing with Al mole fraction, while InAs remains unetched. Damage to the InAs stop layer was investigated by sheet resistance and mobility measurements. These etching techniques were used to fabricate a novel InAs- channel FET composed of these materials. Several scanning electron micrographs of etching results are shown along with preliminary electrical characteristics

  2. Reduction of the Mg acceptor activation energy in GaN, AlN, Al0.83Ga0.17N and MgGa δ-doping (AlN)5/(GaN)1: the strain effect

    Science.gov (United States)

    Jiang, Xin-He; Shi, Jun-Jie; Zhang, Min; Zhong, Hong-Xia; Huang, Pu; Ding, Yi-Min; He, Ying-Ping; Cao, Xiong

    2015-12-01

    To resolve the p-type doping problem of Al-rich AlGaN alloys, we investigate the influence of biaxial and hydrostatic strains on the activation energy, formation energy and band gap of Mg-doped GaN, AlN, Al0.83Ga0.17N disorder alloy and (AlN)5/(GaN)1 superlattice based on first-principles calculations by combining the standard DFT and hybrid functional. We find that the Mg acceptor activation energy {{E}\\text{A}} , the formation energy {{E}\\text{f}} and the band gap {{E}\\text{g}} decrease with increasing the strain ɛ. The hydrostatic strain has a more remarkable impact on {{E}\\text{g}} and {{E}\\text{A}} than the biaxial strain. Both {{E}\\text{A}} and {{E}\\text{g}} have a linear dependence on the hydrostatic strain. For the biaxial strain, {{E}\\text{g}} shows a parabolic dependence on ɛ if \\varepsilon ≤slant 0 while it becomes linear if \\varepsilon ≥slant 0 . In GaN and (AlN)5/(GaN)1, {{E}\\text{A}} parabolically depends on the biaxial compressive strain and linearly depends on the biaxial tensible strain. However, the dependence is approximately linear over the whole biaxial strain range in AlN and Al0.83Ga0.17N. The Mg acceptor activation energy in (AlN)5/(GaN)1 can be reduced from 0.26 eV without strain to 0.16 (0.22) eV with the hydrostatic (biaxial) tensible strain 3%.

  3. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila; Peres, M.; Yamashita, Y.; Morishima, Y.; Sato, S.; Franco, N.; Lorenz, K.; Kuramata, A.; Roqan, Iman S.

    2014-01-01

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  4. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila

    2014-07-28

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  5. Optimization of the GaAs et GaAs/Si annealing using halogen lamp flashes

    International Nuclear Information System (INIS)

    Blanck, H.

    1989-01-01

    The aim of the work is to check whether the flash annealing of GaAs and GaAs/Si, using halogen lamps, allows an improvement in the results obtained by usual methods. The electrical activation, defects behavior and results uniformity are studied. The results on the activation and diffusion of implanted impurities are shown to be equivalent to those obtained with classical annealing methods. However, residual impurities (or defects) diffusion phenomena are restrained by the flash annealing technique. The Hall effect cartographic measurements showed an improvement of the uniformity of the implanted coating surface resistance. Flash annealing is a suitable method for the Si activation in GaAs. It allows an improvement of the GaAs results obtained with standard techniques, as well as the formation, by means of ion implantation, of active zones in the GaAs/Si layers [fr

  6. Type I band alignment in GaAs{sub 81}Sb{sub 19}/GaAs core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Xu, T. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Wei, M. J. [Key Laboratory of Advanced Display and System Application, Shanghai University, 149 Yanchang Road, Shanghai 200072 (China); Capiod, P.; Díaz Álvarez, A.; Han, X. L.; Troadec, D.; Nys, J. P.; Berthe, M.; Lefebvre, I.; Grandidier, B., E-mail: bruno.grandidier@isen.iemn.univ-lille1.fr [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Patriarche, G. [CNRS-Laboratoire de Photonique et de Nanostructures (LPN), Route de Nozay, 91460 Marcoussis (France); Plissard, S. R. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); CNRS-Laboratoire d' Analyse et d' Architecture des Systèmes (LAAS), Univ. de Toulouse, 7 Avenue du Colonel Roche, F-31400 Toulouse (France); Caroff, P. [Institut d' Electronique, de Microélectronique et de Nanotechnologies (IEMN), CNRS, UMR 8520, Département ISEN, 41 bd Vauban, 59046 Lille Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, Australian Capital Territory 0200 (Australia); and others

    2015-09-14

    The composition and band gap of the shell that formed during the growth of axial GaAs/GaAs{sub 81}Sb{sub 19}/ GaAs heterostructure nanowires have been investigated by transmission electron microscopy combined with energy dispersion spectroscopy, scanning tunneling spectroscopy, and density functional theory calculations. On the GaAs{sub 81}Sb{sub 19} intermediate segment, the shell is found to be free of Sb (pure GaAs shell) and transparent to the tunneling electrons, despite the (110) biaxial strain that affects its band gap. As a result, a direct measurement of the core band gap allows the quantitative determination of the band offset between the GaAs{sub 81}Sb{sub 19} core and the GaAs shell and identifies it as a type I band alignment.

  7. Theoretical studies of optical gain tuning by hydrostatic pressure in GaInNAs/GaAs quantum wells

    International Nuclear Information System (INIS)

    Gladysiewicz, M.; Wartak, M. S.; Kudrawiec, R.

    2014-01-01

    In order to describe theoretically the tuning of the optical gain by hydrostatic pressure in GaInNAs/GaAs quantum wells (QWs), the optical gain calculations within kp approach were developed and applied for N-containing and N-free QWs. The electronic band structure and the optical gain for GaInNAs/GaAs QW were calculated within the 10-band kp model which takes into account the interaction of electron levels in the QW with the nitrogen resonant level in GaInNAs. It has been shown that this interaction increases with the hydrostatic pressure and as a result the optical gain for GaInNAs/GaAs QW decreases by about 40% and 80% for transverse electric and transverse magnetic modes, respectively, for the hydrostatic pressure change from 0 to 40 kilobars. Such an effect is not observed for N-free QWs where the dispersion of electron and hole energies remains unchanged with the hydrostatic pressure. This is due to the fact that the conduction and valence band potentials in GaInAs/GaAs QW scale linearly with the hydrostatic pressure

  8. TMR- and TAMR-effects of (Ga,Mn)As and GaAs tunnel junctions; TMR- und TAMR-Effekt an (Ga,Mn)As und GaAs Tunnelstrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Brinkmeier, Eva

    2009-07-30

    This thesis is concerned with the experimental investigation of the tunnel magnetoresistance (TMR) and tunnel anistropic magnetoresistance (TAMR) in GaAs and (Ga,Mn)As tunnel junction. A special emphasis was put on the study of the newly discovered TAMR effect, which consists in the variation of the TMR with the magnetization's angle. The tunnel junctions were fabricated by means of optical lithography and wet chemical etching. The dependence of the TAMR effect on the layer system, the barrier thickness, the bias voltage, the temperature and the applied magnetic field magnitude was subsequently examined. The conducted measurements on (Ga,Mn)As junctions showed a TMR effect as well as various anisotropic effects which are in good agreement with the experimental reports published so far. The observed dependences of the TAMR effect on the aforementioned parameters were discussed within the framework of two distinct preexisting theoretical models and the experimental data could be explained by the superimposition of two effects stemming in one case from the spin orbit coupling in the (Ga,Mn)As layer and in the other from the concurrent action of the Rashba and Dresselhaus spin orbit interaction within the barrier. (orig.)

  9. Surface plasmon coupling dynamics in InGaN/GaN quantum-well structures and radiative efficiency improvement

    DEFF Research Database (Denmark)

    Fadil, Ahmed; Iida, Daisuke; Chen, Yuntian

    2014-01-01

    for InGaN/GaN quantum-well structures. By using a thin SiN dielectric layer between Ag and GaN we manage to modify and improve surface plasmon coupling effects, and we attribute this to the improved scattering of the nanoparticles at the quantum-well emission wavelength. The results are interpreted using...... numerical simulations, where absorption and scattering cross-sections are studied for different sized particles on GaN and GaN/SiN substrates....

  10. Characterization and technology of AlGaAs/GaAs phototransistor with double delta-doped base

    International Nuclear Information System (INIS)

    Radziewicz, D.; Sciana, B.; Pucicki, D.; Zborowska-Lindert, I.; Kovac, J.; Skriniarova, J.; Vincze, A.

    2011-01-01

    This work describes the fabrication and measurements of n-p-n AlGaAs/GaAs heterojunction phototransistor with double Zn-delta-doped 50 nm - thick GaAs base region. Parameters of the particular transistor epilayers were optimized by computer simulations using Silvaco Atlas program. (authors)

  11. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  12. Characterization of recessed Ohmic contacts to AlGaN/GaN

    NARCIS (Netherlands)

    Hajlasz, M.; Donkers, J.J.T.M.; Sque, S.J.; Heil, S.B.S.; Gravesteijn, Dirk J; Rietveld, F.J.R.; Schmitz, Jurriaan

    2015-01-01

    In this work the choice of appropriate test structures and characterization methods for recessed Ohmic contacts to AlGaN/GaN is discussed. It is shown that, in the worst-case scenario, the prevailing assumption of identical sheet resistance between and under the contacts can lead to errors of up to

  13. Computational analysis of the maximum power point for GaAs sub-cells in InGaP/GaAs/Ge triple-junction space solar cells

    International Nuclear Information System (INIS)

    Cappelletti, M A; Cédola, A P; Peltzer y Blancá, E L

    2014-01-01

    The radiation resistance in InGaP/GaAs/Ge triple-junction solar cells is limited by that of the middle GaAs sub-cell. In this work, the electrical performance degradation of different GaAs sub-cells under 1 MeV electron irradiation at fluences below 4 × 10 15 cm −2 has been analyzed by means of a computer simulation. The numerical simulations have been carried out using the one-dimensional device modeling program PC1D. The effects of the base and emitter carrier concentrations of the p- and n-type GaAs structures on the maximum power point have been researched using a radiative recombination lifetime, a damage constant for the minority carrier lifetime and carrier removal rate models. An analytical model has been proposed, which is useful to either determine the maximum exposure time or select the appropriate device in order to ensure that the electrical parameters of different GaAs sub-cells will have a satisfactory response to radiation since they will be kept above 80% with respect to the non-irradiated values. (paper)

  14. Structural properties of MBE AlInN and AlGaInN barrier layers for GaN-HEMT structures

    International Nuclear Information System (INIS)

    Kirste, Lutz; Lim, Taek; Aidam, Rolf; Mueller, Stefan; Waltereit, Patrick; Ambacher, Oliver

    2010-01-01

    A high-resolution X-ray diffraction and X-ray reflectivity study of the structural properties of AlInN/GaN and AlGaInN/GaN high electron mobility transistor structures deposited by molecular beam epitaxy on metal organic chemical vapor deposition GaN/Al 2 O 3 and GaN/SiC templates is presented. A new AlN/GaN/AlN triple-interlayer is implemented to improve the interface properties between barrier layer and GaN buffer for a higher mobility of the polarization induced two-dimensional electron gas. Layer properties and structural parameters like concentration, interface quality, layer thickness, strain and crystalline perfection are analyzed. Best structural properties are achieved for an AlGaInN layer with AlN/GaN/AlN interlayer deposited on a GaN/4H-SiC (00.1) template. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  15. Formation of Ga2O3 by the oxidation of p-type GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pinnisch, Melanie; Reppin, Daniel; Stehr, Jan; Laufer, Andreas; Hofmann, Detlev M.; Meyer, Bruno K. [1. Physikalisches Institut, Justus-Liebig-University, Giessen (Germany)

    2010-07-01

    Both GaN and Ga{sub 2}O{sub 3} are wide band gap semiconductors with energies of 3.45 eV and 4.9 eV, respectively. While GaN can be achieved p- or n-type conducting by doping, Ga{sub 2}O{sub 3} is n-type or high resistive dependent on the presence of oxygen vacancies. We studied the conversion of p-type Mg doped GaN thin films to Ga{sub 2}O{sub 3} by thermal treatments in the temperature range from 600 C to 1200 C and in different atmospheres. Changes of the film properties were studied by means of X-ray diffraction, photo-electron spectroscopy and atomic force microscopy. Optical and magnetic resonance methods were used to investigate the evolution of the dopands and defects.

  16. Diffraction anomalous fine-structure study of strained Ga1-xInxAs on GaAs(001)

    International Nuclear Information System (INIS)

    Woicik, J.C.; Cross, J.O.; Bouldin, C.E.; Ravel, B.; Pellegrino, J.G.; Steiner, B.; Bompadre, S.G.; Sorensen, L.B.; Miyano, K.E.; Kirkland, J.P.

    1998-01-01

    Diffraction anomalous fine-structure measurements performed at both the Ga and As K edges have determined the Ga-As bond length to be 2.442±0.005thinsp Angstrom in a buried, 213-Angstrom-thick Ga 0.785 In 0.215 As layer grown coherently on GaAs(001). This bond length corresponds to a strain-induced contraction of 0.013±0.005thinsp Angstrom relative to the Ga-As bond length in bulk Ga 1-x In x As of the same composition. Together with recent extended x-ray-absorption fine-structure measurements performed at the In K edge [Woicik et al., Phys. Rev. Lett. 79, 5026 (1997)], excellent agreement is found with the uniform bond-length distortion model for strained-layer semiconductors on (001) substrates. copyright 1998 The American Physical Society

  17. Formation process and superparamagnetic properties of (Mn,Ga)As nanocrystals in GaAs fabricated by annealing of (Ga,Mn)As layers with low Mn content

    DEFF Research Database (Denmark)

    Sadowski, Janusz; Domagala, Jaroslaw Z.; Mathieu, Roland

    2011-01-01

    °C) annealing of (Ga,Mn)As layers with Mn concentrations between 0.1% and 2%, grown by molecular beam epitaxy at 270°C. Decomposition of (Ga,Mn)As is already observed at the lowest annealing temperature of 400°C for layers with initial Mn content of 1% and 2%. Both cubic and hexagonal (Mn......,Ga)As nanocrystals, with similar diameters of 7-10 nm, are observed to coexist in layers with an initial Mn content of 0.5% and 2% after higher-temperature annealing. Measurements of magnetization relaxation in the time span 0.1-10 000 s provide evidence for superparamagnetic properties of the (Mn,Ga)As nanocrystals......X-ray diffraction, transmission electron microscopy, and magnetization measurements are employed to study the structural and magnetic properties of Mn-rich (Mn,Ga)As nanocrystals embedded in GaAs. These nanocomposites are obtained by moderate-temperature (400°C) and high-temperature (560°C and 630...

  18. Electron-hole transition in spherical QD-QW nanoparticles based on GaN∣(In,Ga)N∣GaN under hydrostatic pressure

    International Nuclear Information System (INIS)

    El Ghazi, Haddou; Jorio, Anouar

    2013-01-01

    Within the framework of effective-mass approximation and finite parabolic potential confinement barrier in which two confinement parameters are taking account, the electron (hole) energy and the ground-state electron-hole (e−h) transition in Core∣well∣shell (GaN|In x Ga 1−x N|GaN) spherical QD-QW nanoparticles are investigated as a function of the inner and the outer radii under externally applied hydrostatic pressure. The pressure dependencies of the effective-mass and the QD radius are taking into account. The results we obtained are in quite good agreement with the theoretical and the experimental findings

  19. Electron-hole transition in spherical QD-QW nanoparticles based on GaN∣(In,Ga)N∣GaN under hydrostatic pressure

    Energy Technology Data Exchange (ETDEWEB)

    El Ghazi, Haddou, E-mail: hadghazi@gmail.com [LPS, Faculty of Science, Dhar EL Mehrez, BP 1796 Fes-Atlas (Morocco); Special Mathematics, CPGE Kénitra, Chakib Arsalane Street (Morocco); Jorio, Anouar [LPS, Faculty of Science, Dhar EL Mehrez, BP 1796 Fes-Atlas (Morocco)

    2013-11-15

    Within the framework of effective-mass approximation and finite parabolic potential confinement barrier in which two confinement parameters are taking account, the electron (hole) energy and the ground-state electron-hole (e−h) transition in Core∣well∣shell (GaN|In{sub x}Ga{sub 1−x}N|GaN) spherical QD-QW nanoparticles are investigated as a function of the inner and the outer radii under externally applied hydrostatic pressure. The pressure dependencies of the effective-mass and the QD radius are taking into account. The results we obtained are in quite good agreement with the theoretical and the experimental findings.

  20. Surface donor states distribution post SiN passivation of AlGaN/GaN heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Goyal, Nitin, E-mail: nitin@unik.no [Carinthian Tech Research CTR AG, Europastraße 4/1, Technologiepark Villach, A- 9524 Villach/St. Magdalen (Austria); Department of Electronics and Telecommunication, Norwegian University of Science and Technology, Trondheim NO7034 (Norway); Fjeldly, Tor A. [Department of Electronics and Telecommunication, Norwegian University of Science and Technology, Trondheim NO7034 (Norway)

    2014-07-21

    In this paper, we present a physics based analytical model to describe the effect of SiN passivation on two-dimensional electron gas density and surface barrier height in AlGaN/GaN heterostructures. The model is based on an extraction technique to calculate surface donor density and surface donor level at the SiN/AlGaN interface. The model is in good agreement with the experimental results and promises to become a useful tool in advanced design and characterization of GaN based heterostructures.

  1. GaAsSb/InAs/(In)GaAs type II quantum dots for solar cell applications

    Czech Academy of Sciences Publication Activity Database

    Vyskočil, Jan; Hospodková, Alice; Petříček, Otto; Pangrác, Jiří; Zíková, Markéta; Oswald, Jiří; Vetushka, Aliaksi

    2017-01-01

    Roč. 464, Apr (2017), s. 64-68 ISSN 0022-0248 R&D Projects: GA ČR(CZ) GP14-21285P; GA MŠk LO1603 Institutional support: RVO:68378271 Keywords : InAs * GaAsSb * InGaAs * quantum dot * solar cells Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 1.751, year: 2016

  2. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    International Nuclear Information System (INIS)

    Takeuchi, S.; Asazu, H.; Nakamura, Y.; Sakai, A.; Imanishi, M.; Imade, M.; Mori, Y.

    2015-01-01

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration of the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results

  3. DC Characteristics of AlGaN/GaN HEMTs Using a Dual-Gate Structure.

    Science.gov (United States)

    Hong, Sejun; Rana, Abu ul Hassan Sarwar; Heo, Jun-Woo; Kim, Hyun-Seok

    2015-10-01

    Multiple techniques such as fluoride-based plasma treatment, a p-GaN or p-AlGaN gate contact, and a recessed gate structure have been employed to modulate the threshold voltage of AlGaN/GaN-based high-electron-mobility transistors (HEMTs). In this study, we present dual-gate AlGaN/GaN HEMTs grown on a Si substrate, which effectively shift the threshold voltage in the positive direction. Experimental data show that the threshold voltage is shifted from -4.2 V in a conventional single-gate HEMT to -2.8 V in dual-gate HEMTs. It is evident that a second gate helps improve the threshold voltage by reducing the two-dimensional electron gas density in the channel. Furthermore, the maximum drain current, maximum transconductance, and breakdown voltage values of a single-gate device are not significantly different from those of a dual-gate device. For the fabricated single- and dual-gate devices, the values of the maximum drain current are 430 mA/mm and 428 mA/mm, respectively, whereas the values of the maximum transconductance are 83 mS/mm and 75 mS/mm, respectively.

  4. MOVPE growth of position-controlled InGaN / GaN core-shell nanorods

    Energy Technology Data Exchange (ETDEWEB)

    Mandl, Martin [Osram Opto Semiconductors GmbH, Regensburg (Germany); Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Schimpke, Tilman; Binder, Michael; Galler, Bastian; Lugauer, Hans-Juergen; Strassburg, Martin [Osram Opto Semiconductors GmbH, Regensburg (Germany); Wang, Xue; Ledig, Johannes; Ehrenburg, Milena; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Kong, Xiang; Trampert, Achim [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2013-07-01

    Core-shell group III-nitride nano- and microrods (NAMs) enable a significant increase of the active layer area by exploiting the non-polar side facets (m-planes) and thus can potentially contribute to mitigating the so-called efficiency droop in LEDs. GaN NAMs exhibiting high aspect ratios were grown in a production-type MOVPE system. Low V/III ratio, hydrogen-rich carrier gas mixture and surfactants supported the 3D growth of the pencil-shape n-type GaN core. Desired narrow distributions of shape, diameter and height were achieved. The arrangement of the NAMs was controlled by patterns etched into SiO{sub 2} masks deposited on GaN templates. The active layer (InGaN/GaN SQW and MQWs) and the layer for the p-side were deposited with 2D-like conditions wrapped around the core. The crystalline quality of the NAMs, shell growth rates and the Indium distribution were investigated by high resolution transmission electron microscopy. Furthermore, optical emission was studied using density-dependent photoluminescence spectroscopy.

  5. 71Ga and 73Ga levels as observed in the (t,p) reaction

    International Nuclear Information System (INIS)

    Vergnes, M.N.; Rotbard, G.; Guilbaut, F.; Ardouin, D.; Lebrun, C.

    1978-01-01

    A study of the (t,p) reaction on the two stable Ga isotopes has been performed. The reaction protons were analyzed in a Q3D spectrometer with a resulting energy resolution approximately 18 keV. Levels up to about 3 MeV excitation energy in 71 Ga and 2.75 MeV in 73 Ga were measured with 11 new levels observed in the first case and 18 in the second. The angular distributions have been compared to pure distributions observed in the 72 Ge(t,p) and 74 Ge(t,p) reactions at the same energy and found to correspond mostly to pure angular momentum (L) transfer although mixing of L's is allowed. A number of new spins assignments are made for Ga levels and the results are used to discuss the spin of 73 Znsub(g.s.). The striking splitting of the L=0 strength in three approximately equal components, observed in 73 Ga, strongly supports a transition in nuclear deformation between N=40 and 42

  6. Scanning tunneling microscopy and spectroscopy on GaN and InGaN surfaces

    International Nuclear Information System (INIS)

    Krueger, David

    2009-01-01

    Optelectronic devices based on gallium nitride (GaN) and indium gallium nitride (InGaN) are in the focus of research since more than 20 years and still have great potential for optical applications. In the first part of this work non-polar surfaces of GaN are investigated by scanning electron microscopy (SEM), atomic force microscopy (AFM) and scanning tunneling microscopy (STM). In SEM and AFM, the (1 anti 100)- and especially the (anti 2110)-plane are quite corrugated. For the first time, the (anti 2110)-plane of GaN is atomically resolved in STM. In the second part InGaN quantum dot layers are investigated by X-ray photoelectron spectroscopy (XPS), scanning tunneling spectroscopy (STS) and STM. The STMmeasurements show the dependency of surface morphology on growth conditions in the metalorganic vapour phase epitaxy (MOVPE). Nucleation, a new MOVPE-strategy, is based on phase separations on surfaces. It is shown that locally varying density of states and bandgaps can be detected by STS, that means bandgap histograms and 2D-bandgap-mapping. (orig.)

  7. Nanoscale investigation of AlGaN/GaN-on-Si high electron mobility transistors.

    Science.gov (United States)

    Fontserè, A; Pérez-Tomás, A; Placidi, M; Llobet, J; Baron, N; Chenot, S; Cordier, Y; Moreno, J C; Jennings, M R; Gammon, P M; Fisher, C A; Iglesias, V; Porti, M; Bayerl, A; Lanza, M; Nafría, M

    2012-10-05

    AlGaN/GaN HEMTs are devices which are strongly influenced by surface properties such as donor states, roughness or any kind of inhomogeneity. The electron gas is only a few nanometers away from the surface and the transistor forward and reverse currents are considerably affected by any variation of surface property within the atomic scale. Consequently, we have used the technique known as conductive AFM (CAFM) to perform electrical characterization at the nanoscale. The AlGaN/GaN HEMT ohmic (drain and source) and Schottky (gate) contacts were investigated by the CAFM technique. The estimated area of these highly conductive pillars (each of them of approximately 20-50 nm radius) represents around 5% of the total contact area. Analogously, the reverse leakage of the gate Schottky contact at the nanoscale seems to correlate somehow with the topography of the narrow AlGaN barrier regions producing larger currents.

  8. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR proposal addresses the liquid phase epitaxy (LPE) of gallium nitride (GaN) films using nitrogen-enriched metal solutions. Growth of GaN from solutions...

  9. Homoepitaxial growth of a-plane GaN layers by reaction between Ga2O vapor and NH3 gas

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    Growth of high-quality a-plane GaN layers was performed by reaction between Ga 2 O vapor and NH 3 gas at a high temperature. Smooth a-plane GaN epitaxial layers were obtained on a-plane GaN seed substrates sliced from thick c-plane GaN crystals. Growth rate increased with increasing Ga 2 O partial pressure. An a-plane GaN layer with a growth rate of 48 μm/h was obtained. The X-ray rocking curve (XRC) measurement showed that the full widths at half maximum (FWHMs) of GaN(112-bar0) with the incident beam parallel and perpendicular to the [0001] direction were 29–43 and 29–42 arcsec, respectively. Secondary ion mass spectrometry (SIMS) measurement revealed that oxygen concentration decreased at a high temperature. These results suggest that growth of a-GaN layers using Ga 2 O vapor and NH 3 gas at a high temperature enables the generation of high-quality crystals. (author)

  10. Field isolation for GaN MOSFETs on AlGaN/GaN heterostructure with boron ion implantation

    International Nuclear Information System (INIS)

    Jiang, Y; Wang, Q P; Wang, D J; Tamai, K; Li, L A; Ao, J-P; Ohno, Y; Shinkai, S; Miyashita, T; Motoyama, S-I

    2014-01-01

    We report the investigation of boron ion implantation as a device field isolation process for GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure. In the mesa isolation region of a bar-type MOSFET, a parasitic MOS-channel existed and widened the designed channel width, which would result in an overestimated mobility compared with a ring-type MOSFET. After boron ions implantation in the isolation region, the overestimation of field-effect mobility of bar-type MOSFETs was eliminated. The sub-threshold characteristics and on-state drain current of the bar-type MOSFETs coincide with the ring-type devices. Long-channel ring-type MOSFETs, with and without ion implantation, were fabricated on the recess region to evaluate the sub-threshold characteristics. The MOSFETs with boron ions implanted into the recess region showed a low drain current up to the gate bias of 10V. The result indicated that boron ion implantation prevented the formation of parasitic MOS-channel in the isolation region and achieved field isolation. The current–voltage characteristics of MOSFETs with the normal recess condition demonstrated no degradation of device performance after boron ions implanted into the isolation region. Boron ion implantation by further optimization can be a field isolation method for GaN MOSFETs. (paper)

  11. InGaAs/GaAs quantum-dot-quantum-well heterostructure formed by submonolayer deposition

    DEFF Research Database (Denmark)

    Xu, Zhangcheng; Leosson, K.; Birkedal, Dan

    2003-01-01

    -dot-quantum-well (QDQW) structure, by using high power PL and selective PL with excitation energies below the band gap of the GaAs barriers and temperature dependent PL. As the temperature is increased from 10 to 300 K, a narrowing of the full width at half-maximum at intermediate temperatures and a sigmoidal behaviour......Discrete emission lines from self-assembled InGaAs quantum dots (QDs) grown in the submonolayer (SML) deposition mode have been observed in micro-photoluminescence (PL) spectra at 10 K. For the first time, the SML-grown InGaAs/GaAs QD heterostructure is verified to be a quantum...

  12. Influence of thermal stress on the relative permittivity of the AlGaN barrier layer in an AlGaN/GaN heterostructure Schottky contacts

    International Nuclear Information System (INIS)

    Lü Yuan-Jie; Lin Zhao-Jun; Zhang Yu; Meng Ling-Guo; Cao Zhi-Fang; Luan Chong-Biao; Chen Hong; Wang Zhan-Guo

    2011-01-01

    Ni Schottky contacts on AlGaN/GaN heterostructures were fabricated. Some samples were thermally treated in a furnace with N 2 ambience at 600 °C for different times (0.5 h, 4.5 h, 10.5 h, 18 h, 33 h, 48 h, and 72 h), the others were thermally treated for 0.5 h at different temperatures (500 °C, 600 °C, 700 °C, and 800 °C). With the measured current—voltage (I—V) and capacitance—voltage (C—V) curves and by self-consistently solving Schrodinger's and Poisson's equations, we found that the relative permittivity of the AlGaN barrier layer was related to the piezoelectric and the spontaneous polarization of the AlGaN barrier layer. The relative permittivity was in proportion to the strain of the AlGaN barrier layer. The relative permittivity and the strain reduced with the increased thermal stress time until the AlGaN barrier totally relaxed (after 18 h at 600 °C in the current study), and then the relative permittivity was almost a constant with the increased thermal stress time. When the sample was treated at 800 °C for 0.5 h, the relative permittivity was less than the constant due to the huge diffusion of the contact metal atoms. Considering the relation between the relative permittivity of the AlGaN barrier layer and the converse piezoelectric effect, the conclusion can be made that a moderate thermal stress can restrain the converse piezoelectric effect and can improve the stability of AlGaN/GaN heterostructure devices. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  13. BInGaN alloys nearly lattice-matched to GaN for high-power high-efficiency visible LEDs

    Science.gov (United States)

    Williams, Logan; Kioupakis, Emmanouil

    2017-11-01

    InGaN-based visible light-emitting diodes (LEDs) find commercial applications for solid-state lighting and displays, but lattice mismatch limits the thickness of InGaN quantum wells that can be grown on GaN with high crystalline quality. Since narrower wells operate at a higher carrier density for a given current density, they increase the fraction of carriers lost to Auger recombination and lower the efficiency. The incorporation of boron, a smaller group-III element, into InGaN alloys is a promising method to eliminate the lattice mismatch and realize high-power, high-efficiency visible LEDs with thick active regions. In this work, we apply predictive calculations based on hybrid density functional theory to investigate the thermodynamic, structural, and electronic properties of BInGaN alloys. Our results show that BInGaN alloys with a B:In ratio of 2:3 are better lattice matched to GaN compared to InGaN and, for indium fractions less than 0.2, nearly lattice matched. Deviations from Vegard's law appear as bowing of the in-plane lattice constant with respect to composition. Our thermodynamics calculations demonstrate that the solubility of boron is higher in InGaN than in pure GaN. Varying the Ga mole fraction while keeping the B:In ratio constant enables the adjustment of the (direct) gap in the 1.75-3.39 eV range, which covers the entire visible spectrum. Holes are strongly localized in non-bonded N 2p states caused by local bond planarization near boron atoms. Our results indicate that BInGaN alloys are promising for fabricating nitride heterostructures with thick active regions for high-power, high-efficiency LEDs.

  14. Gamma-gamma angular correlations in the 71 Ga and 69 Ga nuclei

    International Nuclear Information System (INIS)

    Bairrio Nuevo Junior, A.

    1975-01-01

    The directional correlations of v-transitions in 71 Ga and 69 Ga have been measured from the decay of 71 Z n and 69 Ge respectively using a Ge(Li)-NaI (f pound) gamma spectrometer. Spin assignments to the levels in Ga at 390(1/2), 487 (5/2 ) , 512(3/2 ) , 964(5/2 ) , 1107(7/2 ) , 1494(9/2*) and 2247 KeV(7/2 ), and 69 Ga at 318(1/2) , 574(5/2) , 872(3/2), 1106(5/2 , 3/2 ) , 1336(7/2 ) , and 1923 KeV(7/2) confirm the results of previous studies on these nuclei . The multipole mixing ratios 6(E2/M1) for several γ-transitions in both nuclei have been determined from the present angular correlation data. The results are: 6(121) - -0.2 * 6(142) * 0.04 - - 0.04, 6(386) = -0.003 - 0.014, 6(487) = 0.04 - 0.07, 5(512) - -0.14 - 0.10, 6(620) = 1.3 * j j and, 6(753) - 0.00 - 0.01 and 6(964) = 0.6 + Q 9 for transitions i n 71 Ga and 6(234) much greater than 0.28 - 0.04 or 0.08 - 0.02, 6(587) - -1.1 - 0.08, 6(1051) much greater than 0.0 - 0.10 and 6(1349) - 0.13 - 0.03 for transitions in 69 Ga . The experimental results are discussed in terms of various nuclear models which are applicable for the odd-A nuclei in this mass region. (author)

  15. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  16. Room-temperature picosecond high-order stimulated Raman scattering in laser garnet crystal hosts Gd3Ga5O12, Gd3Sc2Ga3O12, and Ca3(Nb,Ga)2Ga3O12

    International Nuclear Information System (INIS)

    Kaminskii, Alexandr A; Eichler, H J; Findeisen, J; Ueda, Ken-ichi; Fernandez, J; Balda, R

    1998-01-01

    High-order Stokes and anti-Stokes generation in the visible and near-infrared in cubic laser crystal hosts Gd 3 Ga 5 O 12 , Gd 3 Sc 2 Ga 3 O 12 , and Ca 3 (Nb,Ga) 2 Ga 3 O 12 was observed for the first time. All scattering-laser components were identified and attributed to the SRS-active vibration modes of these garnet crystals. (letters to the editor)

  17. Modeling and Simulation of Monolithic AlGaAs/InGaAs Tandem Solar Cell

    Directory of Open Access Journals (Sweden)

    Samia SLIMANI

    2015-06-01

    Full Text Available Employing conventional III-V junctions we report a classical calculation of conduction and valence band edge and the electron and hole densities. It is shown that the optimum performance can be achieved by employing AlGaAs /AlGaAs/InGaAs monolithic cascade solar cells, we have established these calculations by solving the Poisson equation within the framework of the Nextnano.

  18. Investigation of different mechanisms of GaN growth induced on AlN and GaN nucleation layers

    International Nuclear Information System (INIS)

    Tasco, V.; Campa, A.; Tarantini, I.; Passaseo, A.; Gonzalez-Posada, F.; Munoz, E.; Redondo-Cubero, A.; Lorenz, K.; Franco, N.

    2009-01-01

    The evolution of GaN growth on AlN and GaN nucleation layers is compared through morphological and structural analyses, including ion beam analysis. By using AlN nucleation layer grown at high temperature, improved crystalline quality is exhibited by 300 nm thin GaN epilayers. GaN (002) x-ray rocking curve as narrow as 168 arc sec and atomic-step surface morphology characterize such a thin GaN film on AlN. Defects are strongly confined into the first 50 nm of growth, whereas a fast laterally coherent growth is observed when increasing thickness, as an effect of high temperature AlN surface morphology and Ga adatom dynamics over this template

  19. Optical Properties of InGaAs/ GaAs Multi Quantum Wells Structure Grown By Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Mohd Sharizal Alias; Mohd Fauzi Maulud; Mohd Razman Yahya; Abdul Fatah Awang Mat; Suomalainen, Soile

    2008-01-01

    Inclusive analysis on the optical characteristics of InGaAs/ GaAs QW structure for 980 nm semiconductor laser operation is presented from experimental and theoretical point of view. The InGaAs/ GaAs quantum well structure is grown by molecular beam epitaxy at different indium composition and quantum well thickness for optical characteristic comparison. Photoluminescence spectra from the measurement show that the spectrum is in good agreement with the simulation results. Detail simulation on the material gain for the InGaAs/ GaAs quantum well as a function of carrier densities and operating temperature is also performed in order to optimize the semiconductor laser design for device fabrication. (author)

  20. Anisotropic magnetoresistance components in (Ga,Mn)As

    Czech Academy of Sciences Publication Activity Database

    Rushforth, A.W.; Výborný, Karel; King, C.S.; Edmonds, K. W.; Campion, R. P.; Foxon, C. T.; Wunderlich, J.; Irvine, A.C.; Vašek, Petr; Novák, Vít; Olejník, Kamil; Sinova, J.; Jungwirth, Tomáš; Gallagher, B. L.

    2007-01-01

    Roč. 99, č. 14 (2007), 147207/1-147207/4 ISSN 0031-9007 R&D Projects: GA ČR GA202/05/0575; GA ČR GA202/04/1519; GA ČR GEFON/06/E002; GA MŠk LC510 Grant - others:UK(GB) GR/S81407/01 Institutional research plan: CEZ:AV0Z10100521 Keywords : ferromagnetic semiconductors * anisotropic magnetoresistence Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 6.944, year: 2007

  1. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  2. Comparative investigation of photoluminescence of In- and Si- doped GaN/AlGaN multi-quantum wells

    International Nuclear Information System (INIS)

    Wang, L.S.; Sun, W.H.; Chua, S.J.; Johnson, Mark

    2003-01-01

    The GaN/AlGaN multi-quantum-wells (MQWs) have been grown via metalorganic chemical vapor deposition (MOCVD). Micro-photoluminescence (PL) measurement has been performed on non-, In- and Si- doped GaN/AlGaN MQW samples in the temperature ranges of 90-300 K. In the non-doped GaN/AlGaN MQWs we observed the free exciton peak at 3.4587 eV at 90 K. Other exciton related peaks are located at 3.4346, 3.4177, 3.394 and 3.3129 eV, which are probably associated with the strongly localized excitons involving the defects. In In-doped GaN/AlGaN MQWs, the free exciton peaks have a slight red-shift from 3.4712 to 3.4629 eV, but the PL intensities become stronger with increasing trimethylindium (TMIn) flow from 10.6 to 42.6 μmol min -1 . With Si-doping in the well layers, PL exhibits an envelope of exciton bands ranged from 3.4796 (free exciton) to 3.43915 eV. The excitonic peaks in the bands vary in intensity and position with sample temperature. In addition, we have also observed the LO phonon replica of AlGaN interacted by the laser line due to the resonance effect

  3. Controllable Growth of Ga Film Electrodeposited from Aqueous Solution and Cu(In,Ga)Se2 Solar Cells.

    Science.gov (United States)

    Bi, Jinlian; Ao, Jianping; Gao, Qing; Zhang, Zhaojing; Sun, Guozhong; He, Qing; Zhou, Zhiqiang; Sun, Yun; Zhang, Yi

    2017-06-07

    Electrodepositon of Ga film is very challenging due to the high standard reduction potential (-0.53 V vs SHE for Ga 3+ ). In this study, Ga film with compact structure was successfully deposited on the Mo/Cu/In substrate by the pulse current electrodeposition (PCE) method using GaCl 3 aqueous solution. A high deposition rate of Ga 3+ and H + can be achieved by applying a large overpotential induced by high pulse current. In the meanwhile, the concentration polarization induced by cation depletion can be minimized by changing the pulse frequency and duty cycle. Uniform and smooth Ga film was fabricated at high deposition rate with pulse current density 125 mA/cm 2 , pulse frequency 5 Hz, and duty cycle 0.25. Ga film was then selenized together with electrodeposited Cu and In films to make a CIGSe absorber film for solar cells. The solar cell based on the Ga film presents conversion efficiency of 11.04%, fill factor of 63.40%, and V oc of 505 mV, which is much better than those based on the inhomogeneous and rough Ga film prepared by the DCE method, indicating the pulse current electrodeposition process is promising for the fabrication of CIGSe solar cell.

  4. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Buriakov, A. M.; Bilyk, V. R.; Mishina, E. D. [Moscow Technological University “MIREA” (Russian Federation); Klimov, E. A. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Vasil’evskii, I. S. [National Research Nuclear University “MEPhI” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation)

    2017-04-15

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity of the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.

  5. AlGaAs/GaAs two-dimensional electron gas structures studied by photo reflectance spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Guillen Cervantes, A; Rivera Alvarez, Z; Hernandez, F; Huerta, J. [Instituto Politecnico Nacional, Mexico, D.F. (Mexico); Mendez Garcia, V. H.; Lastras Martinez, A.; Zamora, L.; Saucedo, N. [Universidad Autonoma de San Luis Potosi, San Luis Potosi (Mexico); Melendez Lira, M; Lopez, M [Instituto Politecnico Nacional, Mexico, D.F. (Mexico)

    2001-12-01

    Al{sub x} Ga{sub 1}-x As/GaAs two-dimensional electron gas (2-DEG) heterostructures were fabricated by molecular beam epitaxy in three different laboratories. The samples were characterized by room temperature Photo reflectance (PR) spectroscopy and measurements at 77 K. Internal electric fields were detected by the presence of Franz-Keldysh (FK) oscillations in the PR spectra. >From a FK analysis we obtained the GaAs band-gap energy and the built-in electric field strength in each sample. On the other hand, in the energy region corresponding to Al{sub x} Ga{sub 1}-x As a broad PR signal was registered typical of a highly doped material. Using the third derivative theory we obtained the Al{sub x} Ga{sub 1}-x As band-gap energy, and from this value the Al concentration in the samples. Results showed that the sample with highest electron mobility exhibited the lowest internal electric field strength. [Spanish] Se fabricaron heteroestructuras del tipo Al{sub x} Ga{sub 1}-x As/GaAs con un gas de electrones en dos dimensiones por medio de epitaxia de haces moleculares en tres laboratorios diferentes. Las muestras se caracterizaron por fotorreflectancia (FR) a temperatura ambiente y por mediciones del efecto mayor a 77 K. Campos electricos internos se detectaron por la presencia de oscilaciones Franz-Leldysh (FK) en los espectros de FR. Del analisis de las oscilaciones FK obtuvimos la energia de la brecha prohibida del GaAs y la intensidad del campo electrico interno en cada muestra. Por otra parte, en la region de energia correspondiente al Al{sub x} Ga{sub 1}-x As observamos una senal de FR ancha, tipica de un material altamente impurificado. Usando la teoria de la tercera derivada, obtuvimos el valor de la brecha de energia del Al{sub x}Ga{sub 1}-xAs, y de este valor la concentracion de Al en las muestras. Los resultados mostraron que la estructura con el valor de movilidad electronica mas alto tiene la intensidad de campo electrico interno mas baja.

  6. A one-dimensional Fickian model to predict the Ga depth profiles in three-stage Cu(In,Ga)Se{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Rodriguez-Alvarez, H., E-mail: humberto.rodriguez@helmholtz-berlin.de [International Iberian Nanotechnology Laboratory, Avenida Mestre Jose Veiga s/n, 4715-330 Braga (Portugal); Helmholtz-Zentrum Berlin, Hahn-Meitner Platz 1, 14109 Berlin (Germany); Mainz, R. [Helmholtz-Zentrum Berlin, Hahn-Meitner Platz 1, 14109 Berlin (Germany); Sadewasser, S. [International Iberian Nanotechnology Laboratory, Avenida Mestre Jose Veiga s/n, 4715-330 Braga (Portugal)

    2014-05-28

    We present a one-dimensional Fickian model that predicts the formation of a double Ga gradient during the fabrication of Cu(In,Ga)Se{sub 2} thin films by three-stage thermal co-evaporation. The model is based on chemical reaction equations, structural data, and effective Ga diffusivities. In the model, the Cu(In,Ga)Se{sub 2} surface is depleted from Ga during the deposition of Cu-Se in the second deposition stage, leading to an accumulation of Ga near the back contact. During the third deposition stage, where In-Ga-Se is deposited at the surface, the atomic fluxes within the growing layer are inverted. This results in the formation of a double Ga gradient within the Cu(In,Ga)Se{sub 2} layer and reproduces experimentally observed Ga distributions. The final shape of the Ga depth profile strongly depends on the temperatures, times and deposition rates used. The model is used to evaluate possible paths to flatten the marked Ga depth profile that is obtained when depositing at low substrate temperatures. We conclude that inserting Ga during the second deposition stage is an effective way to achieve this.

  7. Fabrication and optical characterization of GaN waveguides on (−201)-oriented β-Ga_2O_3

    KAUST Repository

    Awan, Kashif M.; Muhammad, Mufasila M.; Sivan, Madhavi; Bonca, Spencer; Roqan, Iman S.; Dolgaleva, Ksenia

    2017-01-01

    Gallium nitride (GaN), a wide-bandgap III-V semiconductor material with a bandgap wavelength λ = 366 nm (for Wurtzite GaN) and transparency window covering the visible spectrum, has a large number of applications for photonics and optoelectronics. However, the optical quality of this material suffers from growth imperfections due to the lack of a suitable substrate. Recent studies have shown that GaN grown on (-201) β - GaO (gallium oxide) has better lattice matching and hence superior optical quality as compared to GaN grown traditionally on AlO (sapphire). In this work, we report on the fabrication of GaN waveguides on GaO substrate, followed by a wet-etch process aimed at the reduction of waveguide surface roughness and improvement of side-wall verticality in these waveguides. The propagation loss in the resulting waveguides has been experimentally determined to be 7.5 dB/cm.

  8. Fabrication and optical characterization of GaN waveguides on (−201)-oriented β-Ga_2O_3

    KAUST Repository

    Awan, Kashif M.

    2017-12-19

    Gallium nitride (GaN), a wide-bandgap III-V semiconductor material with a bandgap wavelength λ = 366 nm (for Wurtzite GaN) and transparency window covering the visible spectrum, has a large number of applications for photonics and optoelectronics. However, the optical quality of this material suffers from growth imperfections due to the lack of a suitable substrate. Recent studies have shown that GaN grown on (-201) β - GaO (gallium oxide) has better lattice matching and hence superior optical quality as compared to GaN grown traditionally on AlO (sapphire). In this work, we report on the fabrication of GaN waveguides on GaO substrate, followed by a wet-etch process aimed at the reduction of waveguide surface roughness and improvement of side-wall verticality in these waveguides. The propagation loss in the resulting waveguides has been experimentally determined to be 7.5 dB/cm.

  9. Electronic structures of GaAs/AlxGa1-xAs quantum double rings

    Directory of Open Access Journals (Sweden)

    Li Shu-Shen

    2006-01-01

    Full Text Available AbstractIn the framework of effective mass envelope function theory, the electronic structures of GaAs/AlxGa1-xAs quantum double rings (QDRs are studied. Our model can be used to calculate the electronic structures of quantum wells, wires, dots, and the single ring. In calculations, the effects due to the different effective masses of electrons and holes in GaAs and AlxGa1-xAs and the valence band mixing are considered. The energy levels of electrons and holes are calculated for different shapes of QDRs. The calculated results are useful in designing and fabricating the interrelated photoelectric devices. The single electron states presented here are useful for the study of the electron correlations and the effects of magnetic fields in QDRs.

  10. Ultrafast carrier dynamics in InGaN/GaN multiple quantum wells

    DEFF Research Database (Denmark)

    Porte, Henrik; Turchinovich, Dmitry; Cooke, David

    We studied the THz conductivity of InGaN/GaN multiple quantum wells (MQWs)by time-resolved terahertz spectroscopy. A nonexponential carrier density decay is observed due to the restoration of a built-in piezoelectric field. Terahertz conductivity spectra show a nonmetallic behavior of the carriers....

  11. GaSb solar cells grown on GaAs via interfacial misfit arrays for use in the III-Sb multi-junction cell

    Science.gov (United States)

    Nelson, George T.; Juang, Bor-Chau; Slocum, Michael A.; Bittner, Zachary S.; Laghumavarapu, Ramesh B.; Huffaker, Diana L.; Hubbard, Seth M.

    2017-12-01

    Growth of GaSb with low threading dislocation density directly on GaAs may be possible with the strategic strain relaxation of interfacial misfit arrays. This creates an opportunity for a multi-junction solar cell with access to a wide range of well-developed direct bandgap materials. Multi-junction cells with a single layer of GaSb/GaAs interfacial misfit arrays could achieve higher efficiency than state-of-the-art inverted metamorphic multi-junction cells while forgoing the need for costly compositionally graded buffer layers. To develop this technology, GaSb single junction cells were grown via molecular beam epitaxy on both GaSb and GaAs substrates to compare homoepitaxial and heteroepitaxial GaSb device results. The GaSb-on-GaSb cell had an AM1.5g efficiency of 5.5% and a 44-sun AM1.5d efficiency of 8.9%. The GaSb-on-GaAs cell was 1.0% efficient under AM1.5g and 4.5% at 44 suns. The lower performance of the heteroepitaxial cell was due to low minority carrier Shockley-Read-Hall lifetimes and bulk shunting caused by defects related to the mismatched growth. A physics-based device simulator was used to create an inverted triple-junction GaInP/GaAs/GaSb model. The model predicted that, with current GaSb-on-GaAs material quality, the not-current-matched, proof-of-concept cell would provide 0.5% absolute efficiency gain over a tandem GaInP/GaAs cell at 1 sun and 2.5% gain at 44 suns, indicating that the effectiveness of the GaSb junction was a function of concentration.

  12. P-type doping of GaN

    International Nuclear Information System (INIS)

    Wong, R.K.

    2000-01-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover

  13. P-type doping of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Raechelle Kimberly [Univ. of California, Berkeley, CA (United States)

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.

  14. 67Ga lung scan

    International Nuclear Information System (INIS)

    Niden, A.H.; Mishkin, F.S.; Khurana, M.M.L.; Pick, R.

    1977-01-01

    Twenty-three patients with clinical signs of pulmonary embolic disease and lung infiltrates were studied to determine the value of gallium citrate 67 Ga lung scan in differentiating embolic from inflammatory lung disease. In 11 patients without angiographically proved embolism, only seven had corresponding ventilation-perfusion defects compatible with inflammatory disease. In seven of these 11 patients, the 67 Ga concentration indicated inflammatory disease. In the 12 patients with angiographically proved embolic disease, six had corresponding ventilation-perfusion defects compatible with inflammatory disease. None had an accumulation of 67 Ga in the area of pulmonary infiltrate. Thus, ventilation-perfusion lung scans are of limited value when lung infiltrates are present. In contrast, the accumulation of 67 Ga in the lung indicates an inflammatory process. Gallium imaging can help select those patients with lung infiltrates who need angiography

  15. Analysis of field-plate effects on buffer-related lag phenomena and current collapse in GaN MESFETs and AlGaN/GaN HEMTs

    International Nuclear Information System (INIS)

    Horio, Kazushige; Nakajima, Atsushi; Itagaki, Keiichi

    2009-01-01

    A two-dimensional transient analysis of field-plate GaN MESFETs and AlGaN/GaN HEMTs is performed in which a deep donor and a deep acceptor are considered in a semi-insulating buffer layer, and quasi-pulsed current–voltage curves are derived from them. How the existence of a field plate affects buffer-related drain lag, gate lag and current collapse is studied. It is shown that in both MESFET and HEMT, the drain lag is reduced by introducing a field plate because electron injection into the buffer layer is weakened by it, and the buffer-trapping effects are reduced. It is also shown that the field plate could reduce buffer-related current collapse and gate lag in the FETs. The dependence of lag phenomena and current collapse on the field-plate length and on the SiN passivation layer thickness is also studied. The work suggests that in the field-plate structures, there is an optimum thickness of the SiN layer to minimize the buffer-related current collapse and drain lag in GaN MESFETs and AlGaN/GaN HEMTs

  16. A new wire fabrication processing using high Ga content Cu-Ga compound in V3Ga compound superconducting wire

    International Nuclear Information System (INIS)

    Hishinuma, Yoshimitsu; Nishimura, Arata; Kikuchi, Akihiro; Iijima, Yasuo; Takeuchi, Takao

    2007-01-01

    A superconducting magnet system is also one of the important components in an advanced magnetic confinement fusion reactor. Then it is required to have a higher magnetic field property to confine and maintain steady-sate burning deuterium (D)-tritium (T) fusion plasma in the large interspace during the long term operation. Burning plasma is sure to generate 14 MeV fusion neutrons during deuterium-tritium reaction, and fusion neutrons will be streamed and penetrated to superconducting magnet through large ports with damping neutron energy. Therefore, it is necessary to consider carefully not only superconducting property but also neutron irradiation property in superconducting materials for use in a future fusion reactor, and a 'low activation and high field superconducting magnet' will be required to realize the fusion power plant beyond International Thermonuclear Experimental Reactor (ITER). V-based superconducting material has a much shorter decay time of induced radioactivity compared with the Nb-based materials. We thought that the V 3 Ga compound was one of the most promising materials for the 'low activation and higher field superconductors' for an advanced fusion reactor. However, the present critical current density (J c ) property of V 3 Ga compound wire is insufficient for apply to fusion magnet applications. We investigated a new route PIT process using a high Ga content Cu-Ga compound in order to improve the superconducting property of the V 3 Ga compound wire. (author)

  17. Structural transformations in quenched Fe-Ga alloys

    International Nuclear Information System (INIS)

    Lograsso, T.A.; Ross, A.R.; Schlagel, D.L.; Clark, A.E.; Wun-Fogle, M.

    2003-01-01

    It has been speculated that the large increase in magnetostriction in Fe-Ga alloys results from local short-range ordering of the Ga atoms along specific crystallographic directions in the disordered Fe structure. The structural transitions associated with different cooling rates from the high temperature disordered state were investigated with X-ray diffraction of oriented single crystals of Fe-19 at% Ga. Results are presented for long-range ordering during slow cooling and indirect evidence of local short-range ordering of Ga atoms in the disordered state when the alloys are quenched is also presented. In the latter case, the short-range ordering of Ga atoms leads to a tetragonal distortion of the lattice. The dependence of the magnetostrictive response of Fe-Ga alloys on thermal history has been found to be directly related to these structural transformations in Fe-19 at% Ga alloys and experimental support for the proposed magnetostriction model based on Ga-Ga pairing along [100] crystallographic directions is presented

  18. Tunable High efficiency Resonant Tunneling GaN/AlGaN MQW UV Detectors

    National Research Council Canada - National Science Library

    Wang, W. B; Zhang, S. K; Alfano, R. R

    2005-01-01

    ...)-based UV photodetectors. During the grant period, more than twenty six GaN/AlGaN MQW phoptodetectors with different types of device structures and control samples have been fabricated and investigated to achieve the proposed...

  19. 68Ga-triacetylfusarinine C and 68Ga-ferrioxamine E for Aspergillus infection imaging: uptake specificity in various microorganisms

    NARCIS (Netherlands)

    Petrik, M.; Haas, H. de; Laverman, P.; Schrettl, M.; Franssen, G.M.; Blatzer, M.; Decristoforo, C.

    2014-01-01

    (68)Ga-triacetylfusarinine C ((68)Ga-TAFC) and (68)Ga-ferrioxamine E ((68)Ga-FOXE) showed excellent targeting properties in Aspergillus fumigatus rat infection model. Here, we report on the comparison of specificity towards different microorganisms and human lung cancer cells (H1299).The in vitro

  20. Absorption from Neutral Acceptors in GaAs and GaP

    DEFF Research Database (Denmark)

    Christensen, Ove

    1973-01-01

    We present a new calculation of the absorption due to transitions of holes between neutral acceptors and the various valence-band sublevels in GaAs and GaP. The acceptor wave function was approximated by a previously suggested expression for ground-state wave functions appropriate to complicated...... band extrema. Numerical calculations of the absorption from intervalence-band transitions of free holes and neutral acceptors have been performed. Good agreement with experimental results is obtained....

  1. Investigating the optical modes of InxGa1xN alloy and In0.5Ga0.5N/GaN MQW in far-infrared reflectivity spectra

    International Nuclear Information System (INIS)

    Mirjalili, G.; Amraei, R.

    2006-01-01

    Optical properties of In x Ga 1 x N alloy and In 0 .5Ga 0 .5N/GaN multi quantum wells have been investigated in the region of far infrared. Far-IR reflectivity spectra of In 0 .5Ga 0 .5N/GaN multi quantum wells on GaAs substrate have been obtained by oblique incidence p- and s- polarization light using effective medium approximation. The spectra and the dielectric functions response give a good information about the phonon and plasmon contribution in doped MQW as well as the mole fraction of compounds in the alloys. The changes in position of optical modes are good tools for measurement of the amount of free carrier and the amount of mole fraction in the samples. During study of In x Ga 1 x N reflectivity spectra, two distinct reststrahl bands with frequency near those of pure InN and GaN were observed over entire composition range. Each band shifts to lower frequencies and decreases in amplitude as the concentration of corresponding compound in alloy decreased. Analysis of dielectric function gives the TO-like and LO-like mode frequencies. The changes in LO mode frequencies, due to coupling of phonon-plasmon, have been observed

  2. Analysis of waveguide architectures of InGaN/GaN diode lasers by nearfield optical microscopy

    Science.gov (United States)

    Friede, Sebastian; Tomm, Jens W.; Kühn, Sergei; Hoffmann, Veit; Wenzel, Hans

    2017-02-01

    Waveguide (WG) architectures of 420-nm emitting InAlGaN/GaN diode lasers are analyzed by photoluminescence (PL) and photocurrent (PC) spectroscopy using a nearfield scanning optical microscope (NSOM) for excitation and detection. The measurements with a spatial resolution of 100 nm are implemented by scanning the fiber tip along the unprepared front facets of standard devices. PL is collected by the fiber tip, whereas PCs are extracted from the contacts that are anyway present for power supply. The mechanisms of signal generation are addressed in detail. The components of the `optical active region', multiple quantum wells (MQW), WGs, and cladding layers are separately inspected. Even separate analysis of p- and n-sections of the WG become possible. Defect levels are detected in the p-part of the WG. Their presence is consistent with the doping by Mg. An increased efficiency of carrier capture into InGaN/GaN WGs compared to GaN WGs is observed. Thus, beyond the improved optical confinement, the electrical confinement is improved, as well. NSOM PL and PC at GaN based devices do not reach the clarity and spatial resolution for WG mode analysis as seen before for GaAs based devices. This is due to higher modal absorption and higher WG losses. NSOM based optical analysis turns out to be an efficient tool for analysis of single layers grown into InAlGaN/GaN diode laser structures, even if this analysis is done at a packaged ready-to-work device.

  3. Correlation of AlGaN/GaN high-electron-mobility transistors electroluminescence characteristics with current collapse

    Science.gov (United States)

    Ohi, Shintaro; Yamazaki, Taisei; Asubar, Joel T.; Tokuda, Hirokuni; Kuzuhara, Masaaki

    2018-02-01

    We report on the correlation between the electroluminescence and current collapse of AlGaN/GaN high-electron-mobility transistors (HEMTs). Standard passivated devices suffering from severe current collapse exhibited high-intensity whitish electroluminescence confined near the drain contact. In contrast, devices with reduced current collapse resulting from oxygen plasma treatment or GaN capping showed low-intensity reddish emission across the entire gate-drain access region. A qualitative explanation of this observed correlation between the current collapse and electroluminescence is presented. Our results demonstrate that electroluminescence analysis is a powerful tool not only for identifying high-field regions but also for assessing the degree of current collapse in AlGaN/GaN HEMTs.

  4. Photoluminescence studies of individual and few GaSb/GaAs quantum rings

    NARCIS (Netherlands)

    Young, M.P.; Woodhead, C.S.; Roberts, J.; Noori, Y.J.; Noble, M.T.; Krier, A.; Smakman, E.P.; Koenraad, P.M.; Hayne, M.; Young, R.J.

    2014-01-01

    We present optical studies of individual and few GaSb quantum rings embedded in a GaAs matrix. Contrary to expectation for type-II confinement, we measure rich spectra containing sharp lines. These lines originate from excitonic recombination and are observed to have resolution-limited full-width at

  5. Sheet resistance under Ohmic contacts to AlGaN/GaN heterostructures

    NARCIS (Netherlands)

    Hajlasz, M.; Donkers, J.J.T.M.; Sque, S.J.; Heil, S.B.S.; Gravesteijn, Dirk J; Rietveld, F.J.R.; Schmitz, Jurriaan

    2014-01-01

    For the determination of specific contact resistance in semiconductor devices, it is usually assumed that the sheet resistance under the contact is identical to that between the contacts. This generally does not hold for contacts to AlGaN/GaN structures, where an effective doping under the contact

  6. Magnetic field-dependent of binding energy in GaN/InGaN/GaN spherical QDQW nanoparticles

    International Nuclear Information System (INIS)

    El Ghazi, Haddou; Jorio, Anouar; Zorkani, Izeddine

    2013-01-01

    Simultaneous study of magnetic field and impurity's position effects on the ground-state shallow-donor binding energy in GaN|InGaN|GaN (core|well|shell) spherical quantum dot–quantum well (SQDQW) as a function of the ratio of the inner and the outer radius is reported. The calculations are investigated within the framework of the effective-mass approximation and an infinite deep potential describing the quantum confinement effect. A Ritz variational approach is used taking into account of the electron-impurity correlation and the magnetic field effect in the trial wave-function. It appears that the binding energy depends strongly on the external magnetic field, the impurity's position and the structure radius. It has been found that: (i) the magnetic field effect is more marked in large layer than in thin layer and (ii) it is more pronounced in the spherical layer center than in its extremities

  7. Mercury(II) selective sensors based on AlGaN/GaN transistors.

    Science.gov (United States)

    Asadnia, Mohsen; Myers, Matthew; Akhavan, N D; O'Donnell, Kane; Umana-Membreno, Gilberto A; Mishra, U K; Nener, Brett; Baker, Murray; Parish, Giacinta

    2016-11-02

    This work presents the first polymer approach to detect metal ions using AlGaN/GaN transistor-based sensor. The sensor utilised an AlGaN/GaN high electron mobility transistor-type structure by functionalising the gate area with a polyvinyl chloride (PVC) based ion selective membrane. Sensors based on this technology are portable, robust and typically highly sensitive to the target analyte; in this case Hg 2+ . This sensor showed a rapid and stable response when it was introduced to solutions of varying Hg 2+ concentrations. At pH 2.8 in a 10 -2  M KNO 3 ion buffer, a detection limit below 10 -8  M and a linear response range between 10 -8  M-10 -4  M were achieved. This detection limit is an order of magnitude lower than the reported detection limit of 10 -7  M for thioglycolic acid monolayer functionalised AlGaN/GaN HEMT devices. Detection limits of approximately 10 -7  M and 10 -6  M in 10 -2  M Cd(NO 3 ) 2 and 10 -2  M Pb(NO 3 ) 2 ion buffers were also achieved, respectively. Furthermore, we show that the apparent gate response was near-Nernstian under various conditions. X-ray photoelectron spectroscopy (XPS) experiments confirmed that the sensing membrane is reversible after being exposed to Hg 2+ solution and rinsed with deionised water. The success of this study precedes the development of this technology in selectively sensing multiple ions in water with use of the appropriate polymer based membranes on arrays of devices. Crown Copyright © 2016. Published by Elsevier B.V. All rights reserved.

  8. Somatostatin receptor PET in neuroendocrine tumours: 68Ga-DOTA0,Tyr3-octreotide versus 68Ga-DOTA0-lanreotide

    International Nuclear Information System (INIS)

    Putzer, Daniel; Kroiss, Alexander; Waitz, Dietmar; Gabriel, Michael; Uprimny, Christian; Guggenberg, Elisabeth von; Decristoforo, Clemens; Warwitz, Boris; Virgolini, Irene Johanna; Traub-Weidinger, Tatjana; Widmann, Gerlig

    2013-01-01

    The aim of this study was to evaluate the impact of 68 Ga-labelled DOTA 0 -lanreotide ( 68 Ga-DOTA-LAN) on the diagnostic assessment of neuroendocrine tumour (NET) patients with low to moderate uptake on planar somatostatin receptor (SSTR) scintigraphy or 68 Ga-labelled DOTA 0 ,Tyr 3 -octreotide ( 68 Ga-DOTA-TOC) positron emission tomography (PET). Fifty-three patients with histologically confirmed NET and clinical signs of progressive disease, who had not qualified for peptide receptor radionuclide therapy (PRRT) on planar SSTR scintigraphy or 68 Ga-DOTA-TOC PET (n = 38) due to lack of tracer uptake, underwent 68 Ga-DOTA-LAN PET to evaluate a treatment option with 90 Y-labelled lanreotide according to the MAURITIUS trial. The included patients received 150 ± 30 MBq of each radiopharmaceutical intravenously. PET scans were acquired 60-90 min after intravenous bolus injection. Image results from both PET scans were compared head to head, focusing on the intensity of tracer uptake in terms of treatment decision. CT was used for morphologic correlation of tumour lesions. To further evaluate the binding affinities of each tracer, quantitative and qualitative values were calculated for target lesions. 68 Ga-DOTA-LAN and 68 Ga-DOTA-TOC both showed equivalent findings in 24/38 patients when fused PET/CT images were interpreted. The sensitivity, specificity and accuracy of 68 Ga-DOTA-LAN in comparison to CT were 0.63, 0.5 and 0.62 (n = 53; p 68 Ga-DOTA-TOC in comparison to CT 0.78, 0.5 and 0.76 (n = 38; p 68 Ga-DOTA-TOC showed a significantly higher maximum standardized uptake value (SUV max ) regarding the primary tumour in 25 patients (p 68 Ga-DOTA-LAN. Corresponding values of both PET scans for tumour and liver did not show any significant correlation. 68 Ga-DOTA-TOC revealed more tumour sites than 68 Ga-DOTA-LAN (106 vs 53). The tumour to background ratios for tumour and liver calculated from SUV max measurements were significantly higher for 68 Ga-DOTA-TOC than 68 Ga

  9. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    Science.gov (United States)

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  10. Barrier height and interface effect of Pt-n-GaN and Pd-n-GaN Schottky diodes

    International Nuclear Information System (INIS)

    Khan, M.R.H.; Saha, S.L.; Sawaki, N.

    1999-01-01

    Schottky barriers on n-type GaN films by Pt and Pd are fabricated and characterized. A thin Pt or Pd layer is deposited on n-GaN layers to form Schottky contacts in a vacuum below 1x10/sup -6/ Torr. The area of all diodes is 3.46 x 10-4 cm/sup 2/. Several samples of Pt-n GaN and Pd-n GaN were studied. The ideality factor of Pt-n-GaN diode is 1.26 and of Pd-n-GaN is 1.17. The breakdown voltage of Pt-n-GaN and Pd-n-GaN diodes is 21 V and 26 V respectively. In both the cases the leakage current varies between 1x10-9 A and 5x 10-9 A. The Schottky barrier heights (phi/sub B/ ) of Pt-GaN diode is been determined to be 1.02 eV by current voltage (I-V) and 1.07 eV by capacitance (C-V) measurements Also, phi/sub B/ of Pd-GaN diode is determined to be 0.91 eV by I-V and 0.98 eV, by C-V measurements. The departure of the values of the ideality factor is considered to be due to spatial inhomogeneities at the meal semiconductor interface. The difference in the values of phi/sub B/ determined by I-V and C-V measurements is attributed to the deformation of the spatial barrier distribution. (author)

  11. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Jong-Won, E-mail: jwlim@etri.re.kr [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Park, Hyung-Moo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Division of Electronics and Electrical Engineering, Dongguk University, Seoul (Korea, Republic of)

    2013-11-29

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f{sub T}) of 18 GHz, and a maximum oscillation frequency (f{sub max}) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz.

  12. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    International Nuclear Information System (INIS)

    Lim, Jong-Won; Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo; Park, Hyung-Moo

    2013-01-01

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f T ) of 18 GHz, and a maximum oscillation frequency (f max ) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz

  13. Gallium surface diffusion on GaAs (001) surfaces measured by crystallization dynamics of Ga droplets

    International Nuclear Information System (INIS)

    Bietti, Sergio; Somaschini, Claudio; Esposito, Luca; Sanguinetti, Stefano; Fedorov, Alexey

    2014-01-01

    We present accurate measurements of Ga cation surface diffusion on GaAs surfaces. The measurement method relies on atomic force microscopy measurement of the morphology of nano–disks that evolve, under group V supply, from nanoscale group III droplets, earlier deposited on the substrate surface. The dependence of the radius of such nano-droplets on crystallization conditions gives direct access to Ga diffusion length. We found an activation energy for Ga on GaAs(001) diffusion E A =1.31±0.15 eV, a diffusivity prefactor of D 0  = 0.53(×2.1±1) cm 2 s −1 that we compare with the values present in literature. The obtained results permit to better understand the fundamental physics governing the motion of group III ad–atoms on III–V crystal surfaces and the fabrication of designable nanostructures.

  14. Development of the GA-4 and GA-9 legal weight truck spent fuel casks

    International Nuclear Information System (INIS)

    Grenier, R.M.; Meyer, R.J.; Mings, W.J.

    1993-01-01

    General Atomics (GA) has designed two new truck casks under contract to the U.S. Department of Energy as part of the Office of Civilian Radioactive Waste Management (OCRWM) Cask System Development Program. The GA-4 and GA-9 Casks, when licensed by the U.S. Nuclear Regulatory Commission, will transport intact spent fuel assemblies from commercial nuclear reactor sites to a monitored retrievable storage facility or permanent repository. (J.P.N.)

  15. Effects of plasma-induced defects on electrical characteristics of AlGaN/GaN heterostructure before and after low-temperature annealing

    International Nuclear Information System (INIS)

    Takimoto, Takuma; Takeshita, Koji; Nakamura, Seiji; Okumura, Tsugunori

    2014-01-01

    We investigated the electrical characteristics of an AlGaN/GaN heterostructure exposed to Ar plasma. In the near-surface region of the AlGaN/GaN heterostructure, we found that plasma-induced defects reduced the two-dimensional electron gas (2DEG) density and mobility at the AlGaN/GaN interface with increasing exposure time. The decrease in 2DEG density suggests that plasma-induced disordering partly extinguishes the piezo-polarization of the AlGaN layer, that the effective Schottky barrier height is increased by the introduction of negatively changed defects, or that the negatively charged defects induced during plasma exposure deactivate or compensate Si donors. In addition, we investigated the postannealing behavior of plasma-induced defects in the AlGaN/GaN heterostructure as well as in the n-GaN layer under an applied bias voltage. - Highlights: • We have investigated the electrical characteristics of the AlGaN/GaN heterostructure. • Electrons under the AlGaN/GaN interface are decreased by plasma exposure. • Post-annealing treatment with gate bias recovers the degradation caused by defects

  16. Growth initiation processes for GaAs and AlGaAs in CBE

    International Nuclear Information System (INIS)

    Hill, D.

    2002-01-01

    The aim of this work was to investigate the nature of the transient period found in reflectance anisotropy (RA) measurements of high III:V BEP ratio growth of gallium arsenide (GaAs) and aluminium gallium arsenide (AIGaAs) by chemical beam epitaxy (CBE). Growth at substrate temperatures between 510-610 deg C with arsine (AsH 3 ) thermally cracked to As 2 , triethylgallium (TEGa), trimethylgallium (TMGa), trimethylaminealane (TMAA) and diethylmethylaminealane (DEMAA) at high III:V BEP ratios reveals that the transition from 'pre-growth' to 'in-growth' reconstructions is not as straightforward as that for lower III:V BEP ratio growth. Instead of the reconstruction changing directly to the usual 2x4 'in-growth' reconstruction over 1-2 seconds it passes through several other transient reconstructions over a period of up to and greater than 60s, firstly the Ga rich 4x2 then several other 2x4 As-stable reconstructions. It has been shown that at the III:V BEP ratios and substrate temperatures used in this work growth is taking place in a transitional area of the phase diagram for 'in-growth' reconstructions. At higher III:V BEP ratio growth the transition is believed to be direct, from the 'pre-growth' reconstruction to a 4x2 Ga-rich 'in-growth' reconstruction. The surfaces grown with any of the precursors are initially saturated with Ga and then as the As coverage gradually increases the reconstructions change until enough As is present on the surface for usual 2x4 'in-growth' reconstruction to stabilise. However unlike for TMGa, GaAs growth with TEGa proceeds by a non-self limiting growth mode and TEGa rapidly dissociates. The result of this is that TEGa decomposes on top of other TEGa molecules, or their fragments and due to the high flux rate this leads to a 'stacking-up' of Ga on the surface. The presence of excess Ga provides a rapid increase of surface reflectance and then its subsequent decay as the excess Ga is incorporated by the increasing As content of the

  17. Optimization of growth parameters for MOVPE-grown GaSb and Ga1−xInxSb

    International Nuclear Information System (INIS)

    Miya, S.S.; Wagener, V.; Botha, J.R.

    2012-01-01

    The triethylgallium/trimethylantimony (TEGa/TMSb) precursor combination was used for the metal-organic vapour phase epitaxial growth of GaSb at a growth temperature of 520 °C at atmospheric pressure. Trimethylindium was added in the case of Ga 1−x In x Sb growth. The effects of group V flux to group III flux ratio (V/III ratio) on the crystallinity and optical properties of GaSb layers are reported. It has been observed from the crystalline quality and optical properties that nominal V/III ratios of values greater than unity are required for GaSb epitaxial layers grown at this temperature. It has also been shown that Ga 1−x In x Sb can be grown using TEGa as a source of gallium species at atmospheric pressure. The relationship between Ga 1−x In x Sb vapour composition and solid composition has been studied at a V/III ratio of 0.78.

  18. Impact of the Ga/In ratio on the N incorporation into (In,Ga)(As,N) quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Gargallo-Caballero, R.; Guzman, A.; Ulloa, J. M.; Hierro, A. [Instituto de Sistemas Optoelectronicos y Microtecnologia (ISOM)-Departamento de Ingenieria Electronica, ETSI Telecomunicacion, Universidad Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Hopkinson, M. [Department of Electronic and Electrical Engineering, University of Sheffield, Sheffield S1 3JD (United Kingdom); Luna, E.; Trampert, A. [Paul Drude Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2012-04-15

    In this work, we demonstrate the dependence of the nitrogen incorporation on the Ga/In content into (In,Ga)(As,N) quantum dots (QDs) grown on GaAs (100) by radio-frequency plasma assisted molecular beam epitaxy (MBE). Morphological analysis by atomic force microscopy and cross-sectional transmission electron microscopy, together with an estimation of the transition thickness, monitored in situ during the growth, predict a maximum in the N incorporation for 30% Ga content. This result is confirmed by photoluminescence measurements of the as-grown and post-growth annealed samples. We attribute this behavior to a trade off between two mechanisms depending on the Ga/In content: one related to the stability of the Ga-N bond, and the other related to the surface strain and/or In segregation.

  19. Ultrasensitive detection of Hg2+ using oligonucleotide-functionalized AlGaN/GaN high electron mobility transistor

    International Nuclear Information System (INIS)

    Cheng, Junjie; Li, Jiadong; Miao, Bin; Wu, Dongmin; Wang, Jine; Pei, Renjun; Wu, Zhengyan

    2014-01-01

    An oligonucleotide-functionalized ion sensitive AlGaN/GaN high electron mobility transistor (HEMT) was fabricated to detect trace amounts of Hg 2+ . The advantages of ion sensitive AlGaN/GaN HEMT and highly specific binding interaction between Hg 2+ and thymines were combined. The current response of this Hg 2+ ultrasensitive transistor was characterized. The current increased due to the accumulation of Hg 2+ ions on the surface by the highly specific thymine-Hg 2+ -thymine recognition. The dynamic linear range for Hg 2+ detection has been determined in the concentrations from 10 −14 to 10 −8 M and a detection limit below 10 −14 M level was estimated, which is the best result of AlGaN/GaN HEMT biosensors for Hg 2+ detection till now.

  20. GaN-based blue laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Miyajima, Takao; Yanashima, Katsunori; Funato, Kenji; Asatsuma, Tsunenori; Kobayashi, Toshimasa [CT Development Centre, CNC, Sony Corporation, Atsugi, Kanagawa (Japan); Tojyo, Tsuyoshi; Asano, Takeharu; Kijima, Satoru; Hino, Tomonori; Takeya, Motonobu; Uchida, Shiro; Ikeda, Masao [Sony Shiroishi Semiconductor Inc., Shiroishi, Miyagi (Japan); Tomiya, Shigetaka [Environment and Analyhsis Technology Department, Sony Corporation, Hodogaya, Yokohama (Japan)

    2001-08-13

    We report our recent progress on GaN-based high-power laser diodes (LDs), which will be applied as a light source in high-density optical storage systems. We have developed raised-pressure metal-organic chemical vapour deposition (RP-MOCVD), which can reduce the threading-dislocation density in the GaN layer to several times 10{sup 8} cm{sup -2}, and demonstrated continuous-wave (cw) operation of GaN-based LD grown by RP-MOCVD. Furthermore, we found that the epitaxial lateral overgrowth (ELO) technique is useful for further reducing threading-dislocation density to 10{sup 6} cm{sup -2} and reducing the roughness of the cleaved facet. By using this growth technique and optimizing device parameters, the lifetime of LDs was improved to more than 1000 hours under 30 mW cw operation at 60 deg. C. Our results proved that reducing both threading-dislocation density and consumption power is a valid approach to realizing a practical GaN-based LD. On the other hand, the practical GaN-based LD was obtained when threading-dislocation density in ELO-GaN was only reduced to 10{sup 6} cm{sup -2}, which is a relatively small reduction as compared with threading-dislocation density in GaAs- and InP-based LDs. We believe that the multiplication of non-radiative centres is very slow in GaN-based LDs, possibly due to the innate character of the GaN-based semiconductor itself. (author)

  1. AlGaN/InGaN Nitride Based Modulation Doped Field Effect Transistor

    National Research Council Canada - National Science Library

    Blair, S

    2003-01-01

    The goal of the proposed work is to investigate the potential advantages of the InGaN channel as a host of the 2DEG and to address the material related problems facing this ternary alloy in the AlGaN...

  2. Carrier dynamics of InxGa1-xN/GaN multiple quantum wells grown on (−201) β-Ga2O3 for bright vertical light emitting diodes

    KAUST Repository

    Mumthaz Muhammed, Mufasila; Xu, Jian; Wehbe, Nimer; Roqan, Iman S.

    2018-01-01

    High-quality InxGa1-xN/GaN multi-quantum well (MQW) structures (0.05≤x≤0.13), are successfully grown on transparent and conductive (−201)-oriented β-Ga2O3 substrate. Scanning-transmission electron microscopy and secondary ion mass spectrometry (SIMS) show well-defined high quality MQWs, while the In and Ga compositions in the wells and the barriers are estimated by SIMS. Temperature-dependant Photoluminescence (PL) confirms high optical quality with a strong bandedge emission and negligble yellow band. time-resolved PL measurements (via above/below-GaN bandgap excitations) explain carrier dynamics, showing that the radiative recombination is predominant. Our results demonstrate that (−201)-oriented β-Ga2O3 is a strong candidate as a substrate for III-nitride-based vertical- emitting devices.

  3. Carrier dynamics of InxGa1-xN/GaN multiple quantum wells grown on (−201) β-Ga2O3 for bright vertical light emitting diodes

    KAUST Repository

    Mumthaz Muhammed, Mufasila

    2018-05-30

    High-quality InxGa1-xN/GaN multi-quantum well (MQW) structures (0.05≤x≤0.13), are successfully grown on transparent and conductive (−201)-oriented β-Ga2O3 substrate. Scanning-transmission electron microscopy and secondary ion mass spectrometry (SIMS) show well-defined high quality MQWs, while the In and Ga compositions in the wells and the barriers are estimated by SIMS. Temperature-dependant Photoluminescence (PL) confirms high optical quality with a strong bandedge emission and negligble yellow band. time-resolved PL measurements (via above/below-GaN bandgap excitations) explain carrier dynamics, showing that the radiative recombination is predominant. Our results demonstrate that (−201)-oriented β-Ga2O3 is a strong candidate as a substrate for III-nitride-based vertical- emitting devices.

  4. Defect identification for the AsGa family

    International Nuclear Information System (INIS)

    Overhof, H.; Spaeth, J.-M.

    2003-01-01

    The As Ga family consists of at least four distinctly different point defects including the technologically important EL2 defect. While the different members are easily distinguished from their MCDA spectra, the differences of the hf and shf interactions as derived from ODEPR and ODENDOR are rather small. We present ab initio calculations using the LMTO-ASA Green's function method for a variety of defect models that might be relevant for the identification of As Ga -related defects. We confirm the identification of the isolated As Ga and show that the {As Ga -X 2 } defect must be identified with the nearest-neighbor antistructure pair rather than with the {As Ga -V As } pair. For the {As Ga -X 1 } defect a distant antistructure pair is a likely candidate. For the EL2, the most important member of the As Ga family, we have not found a conclusive defect model. The recent ODENDOR data are similar to those of the distant orthorhombic {As Ga -V Ga } pair, which, however is a triple acceptor and not a donor

  5. Novel model of a AlGaN/GaN high electron mobility transistor based on an artificial neural network

    International Nuclear Information System (INIS)

    Cheng Zhi-Qun; Hu Sha; Liu Jun; Zhang Qi-Jun

    2011-01-01

    In this paper we present a novel approach to modeling AlGaN/GaN high electron mobility transistor (HEMT) with an artificial neural network (ANN). The AlGaN/GaN HEMT device structure and its fabrication process are described. The circuit-based Neuro-space mapping (neuro-SM) technique is studied in detail. The EEHEMT model is implemented according to the measurement results of the designed device, which serves as a coarse model. An ANN is proposed to model AlGaN/GaN HEMT based on the coarse model. Its optimization is performed. The simulation results from the model are compared with the measurement results. It is shown that the simulation results obtained from the ANN model of AlGaN/GaN HEMT are more accurate than those obtained from the EEHEMT model. (condensed matter: structural, mechanical, and thermal properties)

  6. Growth and characterization of metamorphic InAs/GaSb tunnel heterojunction on GaAs by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jheng-Sin; Clavel, Michael B.; Hudait, Mantu K., E-mail: mantu.hudait@vt.edu [Advanced Devices and Sustainable Energy Laboratory (ADSEL), Bradley Department of Electrical and Computer Engineering, Virginia Tech, Blacksburg, Virginia 24061 (United States); Pandey, Rahul [Electrical Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States); Datta, Suman [Electrical Engineering, University of Notre Dame, Notre Dame, Indiana 46556 (United States); Meeker, Michael; Khodaparast, Giti A. [Department of Physics, Virginia Tech, Blacksburg, Virginia 24061 (United States)

    2016-06-28

    The structural, morphological, optical, and electrical transport characteristics of a metamorphic, broken-gap InAs/GaSb p-i-n tunnel diode structure, grown by molecular beam epitaxy on GaAs, were demonstrated. Precise shutter sequences were implemented for the strain-balanced InAs/GaSb active layer growth on GaAs, as corroborated by high-resolution X-ray analysis. Cross-sectional transmission electron microscopy and detailed micrograph analysis demonstrated strain relaxation primarily via the formation of 90° Lomer misfit dislocations (MDs) exhibiting a 5.6 nm spacing and intermittent 60° MDs at the GaSb/GaAs heterointerface, which was further supported by a minimal lattice tilt of 180 arc sec observed during X-ray analysis. Selective area diffraction and Fast Fourier Transform patterns confirmed the full relaxation of the GaSb buffer layer and quasi-ideal, strain-balanced InAs/GaSb heteroepitaxy. Temperature-dependent photoluminescence measurements demonstrated the optical band gap of the GaSb layer. Strong optical signal at room temperature from this structure supports a high-quality material synthesis. Current–voltage characteristics of fabricated InAs/GaSb p-i-n tunnel diodes measured at 77 K and 290 K demonstrated two bias-dependent transport mechanisms. The Shockley–Read–Hall generation–recombination mechanism at low bias and band-to-band tunneling transport at high bias confirmed the p-i-n tunnel diode operation. This elucidated the importance of defect control in metamorphic InAs/GaSb tunnel diodes for the implementation of low-voltage and high-performance tunnel field effect transistor applications.

  7. Fabrication and improvement of nanopillar InGaN/GaN light-emitting diodes using nanosphere lithography

    DEFF Research Database (Denmark)

    Fadil, Ahmed; Ou, Yiyu; Zhan, Teng

    2015-01-01

    Surface-patterning technologies have enabled the improvement of currently existinglight-emitting diodes (LEDs) and can be used to overcome the issue of low quantum efficiency ofgreen GaN-based LEDs. We have applied nanosphere lithography to fabricate nanopillars onInGaN∕GaN quantum-well LEDs. By ...

  8. Nucleation of point defects in low-fluence ion-implanted GaAs and GaP

    International Nuclear Information System (INIS)

    Wesch, W.; Wendler, E.; Gaertner, K.

    1992-01-01

    The defect production due to low-fluence medium-mass ion implantation into GaAs and GaP at room temperature is investigated. In the parameter region analysed weakly damaged layers are created containing point defects and point defects complexes. Temperature dependent channeling measurements show different structures of the damage produced in the two materials. The depth profiles of the near-edge optical absorption coefficient K sufficiently correspond to the profiles of the primarily produced vacancy concentration N vac . The absorption coefficient K(N vac ) determined from the depth profiles of the two magnitudes shows a square root dependence for GaAs, whereas for GaP a linear dependence is found. The differences observed are discussed in the frame of different nucleation mechanisms. (orig.)

  9. Photoluminescence investigation of type-II GaSb/GaAs quantum dots grown by liquid phase epitaxy

    Science.gov (United States)

    Wang, Yang; Hu, Shuhong; Xie, Hao; Lin, Hongyu; lu, Hongbo; Wang, Chao; Sun, Yan; Dai, Ning

    2018-06-01

    GaSb quantum dots (QDs) with an areal density of ∼1 × 1010 cm-2 are successfully grown by the modified (rapid slider) liquid phase epitaxy technique. The morphology of the QDs has been investigated by scanning electron microscope (SEM) and atom force microscope (AFM). The power-dependence and temperature-dependence photoluminescence (PL) spectra have been studied. The bright room-temperature PL suggests a good luminescence quality of GaSb QDs/GaAs matrix system. The type-II alignment of the GaSb QDs/GaAs matrix system is verified by the blue-shift of the QDs peak with the increase of excitation power. From the temperature-dependence PL spectra, the activation energy of QDs is determined to be 111 meV.

  10. Open-gated pH sensor fabricated on an undoped-AlGaN/GaN HEMT structure.

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Hashim, Abdul Manaf; Sharifabad, Maneea Eizadi; Rahman, Shaharin Fadzli Abd; Sadoh, Taizoh

    2011-01-01

    The sensing responses in aqueous solution of an open-gated pH sensor fabricated on an AlGaN/GaN high-electron-mobility-transistor (HEMT) structure are investigated. Under air-exposed ambient conditions, the open-gated undoped AlGaN/GaN HEMT only shows the presence of a linear current region. This seems to show that very low Fermi level pinning by surface states exists in the undoped AlGaN/GaN sample. In aqueous solution, typical current-voltage (I-V) characteristics with reasonably good gate controllability are observed, showing that the potential of the AlGaN surface at the open-gated area is effectively controlled via aqueous solution by the Ag/AgCl gate electrode. The open-gated undoped AlGaN/GaN HEMT structure is capable of distinguishing pH level in aqueous electrolytes and exhibits linear sensitivity, where high sensitivity of 1.9 mA/pH or 3.88 mA/mm/pH at drain-source voltage, V(DS) = 5 V is obtained. Due to the large leakage current where it increases with the negative gate voltage, Nernstian like sensitivity cannot be determined as commonly reported in the literature. This large leakage current may be caused by the technical factors rather than any characteristics of the devices. Surprisingly, although there are some imperfections in the device preparation and measurement, the fabricated devices work very well in distinguishing the pH levels. Suppression of current leakage by improving the device preparation is likely needed to improve the device performance. The fabricated device is expected to be suitable for pH sensing applications.

  11. Open-Gated pH Sensor Fabricated on an Undoped-AlGaN/GaN HEMT Structure

    Directory of Open Access Journals (Sweden)

    Taizoh Sadoh

    2011-03-01

    Full Text Available The sensing responses in aqueous solution of an open-gated pH sensor fabricated on an AlGaN/GaN high-electron-mobility-transistor (HEMT structure are investigated. Under air-exposed ambient conditions, the open-gated undoped AlGaN/GaN HEMT only shows the presence of a linear current region. This seems to show that very low Fermi level pinning by surface states exists in the undoped AlGaN/GaN sample. In aqueous solution, typical current-voltage (I-V characteristics with reasonably good gate controllability are observed, showing that the potential of the AlGaN surface at the open-gated area is effectively controlled via aqueous solution by the Ag/AgCl gate electrode. The open-gated undoped AlGaN/GaN HEMT structure is capable of distinguishing pH level in aqueous electrolytes and exhibits linear sensitivity, where high sensitivity of 1.9 mA/pH or 3.88 mA/mm/pH at drain-source voltage, VDS = 5 V is obtained. Due to the large leakage current where it increases with the negative gate voltage, Nernstian like sensitivity cannot be determined as commonly reported in the literature. This large leakage current may be caused by the technical factors rather than any characteristics of the devices. Surprisingly, although there are some imperfections in the device preparation and measurement, the fabricated devices work very well in distinguishing the pH levels. Suppression of current leakage by improving the device preparation is likely needed to improve the device performance. The fabricated device is expected to be suitable for pH sensing applications.

  12. Somatostatin receptor PET in neuroendocrine tumours: 68Ga-DOTA0,Tyr3-octreotide versus 68Ga-DOTA0-lanreotide.

    Science.gov (United States)

    Putzer, Daniel; Kroiss, Alexander; Waitz, Dietmar; Gabriel, Michael; Traub-Weidinger, Tatjana; Uprimny, Christian; von Guggenberg, Elisabeth; Decristoforo, Clemens; Warwitz, Boris; Widmann, Gerlig; Virgolini, Irene Johanna

    2013-02-01

    The aim of this study was to evaluate the impact of (68)Ga-labelled DOTA(0)-lanreotide ((68)Ga-DOTA-LAN) on the diagnostic assessment of neuroendocrine tumour (NET) patients with low to moderate uptake on planar somatostatin receptor (SSTR) scintigraphy or (68)Ga-labelled DOTA(0),Tyr(3)-octreotide ((68)Ga-DOTA-TOC) positron emission tomography (PET). Fifty-three patients with histologically confirmed NET and clinical signs of progressive disease, who had not qualified for peptide receptor radionuclide therapy (PRRT) on planar SSTR scintigraphy or (68)Ga-DOTA-TOC PET (n = 38) due to lack of tracer uptake, underwent (68)Ga-DOTA-LAN PET to evaluate a treatment option with (90)Y-labelled lanreotide according to the MAURITIUS trial. The included patients received 150 ± 30 MBq of each radiopharmaceutical intravenously. PET scans were acquired 60-90 min after intravenous bolus injection. Image results from both PET scans were compared head to head, focusing on the intensity of tracer uptake in terms of treatment decision. CT was used for morphologic correlation of tumour lesions. To further evaluate the binding affinities of each tracer, quantitative and qualitative values were calculated for target lesions. (68)Ga-DOTA-LAN and (68)Ga-DOTA-TOC both showed equivalent findings in 24/38 patients when fused PET/CT images were interpreted. The sensitivity, specificity and accuracy of (68)Ga-DOTA-LAN in comparison to CT were 0.63, 0.5 and 0.62 (n = 53; p < 0.0001) and for (68)Ga-DOTA-TOC in comparison to CT 0.78, 0.5 and 0.76 (n = 38; p < 0.013), respectively. (68)Ga-DOTA-TOC showed a significantly higher maximum standardized uptake value (SUV(max)) regarding the primary tumour in 25 patients (p < 0.003) and regarding the liver in 30 patients (p < 0.009) compared to (68)Ga-DOTA-LAN. Corresponding values of both PET scans for tumour and liver did not show any significant correlation. (68)Ga-DOTA-TOC revealed more tumour sites than (68)Ga

  13. Magnetic field-dependent of binding energy in GaN/InGaN/GaN spherical QDQW nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    El Ghazi, Haddou, E-mail: hadghazi@gmail.com [Solid State Laboratory, Faculty of science, Dhar EL Mehrez, BP 1796 Fes-Atlas (Morocco); Special mathematics, CPGE Kénitra, Chakib Arsalane Street (Morocco); Jorio, Anouar; Zorkani, Izeddine [Solid State Laboratory, Faculty of science, Dhar EL Mehrez, BP 1796 Fes-Atlas (Morocco)

    2013-10-15

    Simultaneous study of magnetic field and impurity's position effects on the ground-state shallow-donor binding energy in GaN|InGaN|GaN (core|well|shell) spherical quantum dot–quantum well (SQDQW) as a function of the ratio of the inner and the outer radius is reported. The calculations are investigated within the framework of the effective-mass approximation and an infinite deep potential describing the quantum confinement effect. A Ritz variational approach is used taking into account of the electron-impurity correlation and the magnetic field effect in the trial wave-function. It appears that the binding energy depends strongly on the external magnetic field, the impurity's position and the structure radius. It has been found that: (i) the magnetic field effect is more marked in large layer than in thin layer and (ii) it is more pronounced in the spherical layer center than in its extremities.

  14. Strain relief InGaN/GaN MQW micro-pillars for high brightness LEDs

    KAUST Repository

    Shen, Chao

    2013-01-01

    Micro-structured group-III-nitrides are considered as promising strain relief structures for high efficiency solid state lighting. In this work, the strain field in InGaN/GaN multi-quantum wells (MQWs) micro-pillars is investigated using micro-Raman spectroscopy and the design of micro-pillars were studied experimentally. We distinguished the strained and strain-relieved signatures of the GaN layer from the E2 phonon peak split from the Raman scattering signatures at 572 cm-1 and 568 cm-1, respectively. The extent of strain relief is examined considering the height and size of micro-pillars fabricated using focused ion beam (FIB) micro-machining technique. A significant strain relief can be achieved when one micro-machined through the entire epi-layers, 3 μm in our study. The dependence of strain relief on micro-pillar diameter (D) suggested that micro-pillar with D < 3 μm showed high degree of strain relief. Our results shed new insights into designing strain-relieved InGaN/GaN microstructures for high brightness light emitting diode arrays. © 2013 IEEE.

  15. Characterization of InGaAs/AlGaAs pseudomorphic modulation-doped field-effect transistors

    Science.gov (United States)

    Ketterson, Andrew A.; Masselink, William T.; Gedymin, Jon S.; Klem, John; Peng, Chin-Kun

    1986-01-01

    High-performance pseudomorphic In(y)Ga(1-y)As/Al0.15-Ga0.85As y = 0.05-0.2 MODFET's grown by MBE have been characterized at dc (300 and 77 K) and RF frequencies. Transconductances as high 310 and 380 mS/mm and drain currents as high as 290 and 310 mA/mm were obtained at 300 and 77 K, respectively, for 1-micron gate lengths and 3-micron source-drain spacing devices. Lack of persistent trapping effects, I-V collapse, and threshold voltage shifts observed with these devices are attributed to the use of low mole fraction Al(x)Ga(1-x)As while still maintaining two-dimensional electron gas concentrations of about 1.3 x to the 12th per sq cm. Detailed microwave S-parameter measurements indicate a current gain cut-off frequency of 24.5 GHz when y = 0.20, which is as much as 100 percent better than similar GaAs/AlGaAs MODFET structures, and a maximum frequency of oscillation of 40 GHz.

  16. Epitaxy of (Ga,Mn)As; Epitaxie von (Ga,Mn)As

    Energy Technology Data Exchange (ETDEWEB)

    Utz, Martin

    2012-09-14

    The focus of this work lies on the enhancement of the magnetic properties of the ferromagnetic semiconductor Gallium manganese arsenide (GaMnAs), which is a basic material for the research in spintronics: It is told, how a high sample reproducibility and a strong control over the growth process can be gained by applying band edge spectroscopy and a special procedure for the material flux calibration. Also the most important methods for the electrical characterization of GaMnAs are discussed in a critical manner by showing that the anomalous Hall Effect contributes significantly to the Hall resistance even at room temperature and that Novak's method for the termination of the Curie-temperature provides correct values for layers with low defect concentration. Furthermore it is reported on the considerable enlargement of the useable parameter space of GaMnAs which was enabled by the enhanced control over the growth process: It was possible to grow layers with a very high Manganese content of 22% and Curie temperatures of 172 K and even once were produced which showed a strong magnetic moment despite an insulating behaviour at low temperatures. A last key aspect is the growth and characterization of ultra-thin GaMnAs layers, giving prospects for gating experiments or experiments on the proximity effect as these layers combine high Curie temperatures with insulating behaviour.

  17. A localized orbital description of ideal vacancies in GaP and GaSb

    International Nuclear Information System (INIS)

    Erbarut, E.; Tomak, M.

    1986-10-01

    Gaussian orbitals of s and p symmetry and an empirical pseudopotential Hamiltonian is employed for the study of electronic structures of ideal vacancies in GaP and GaSb. A reasonably accurate description of band structures and densities of states are attained. (author)

  18. Mechanism for radiative recombination and defect properties of GaP/GaNP core/shell nanowires

    International Nuclear Information System (INIS)

    Dobrovolsky, A.; Stehr, J. E.; Chen, S. L.; Chen, W. M.; Buyanova, I. A.; Kuang, Y. J.; Sukrittanon, S.; Tu, C. W.

    2012-01-01

    Recombination processes in GaP/GaNP core/shell nanowires (NWs) grown on a Si substrate by molecular beam epitaxy are examined using a variety of optical characterization techniques, including cw- and time-resolved photoluminescence and optically detected magnetic resonance (ODMR). Superior optical quality of the structures is demonstrated based on the observation of intense emission from a single NW at room temperature. This emission is shown to originate from radiative transitions within N-related localized states. From ODMR, growth of GaP/GaNP NWs is also found to facilitate formation of complex defects containing a P atom at its core that act as centers of competing non-radiative recombination.

  19. High electron mobility recovery in AlGaN/GaN 2DEG channels regrown on etched surfaces

    International Nuclear Information System (INIS)

    Chan, Silvia H; DenBaars, Steven P; Keller, Stacia; Tahhan, Maher; Li, Haoran; Romanczyk, Brian; Mishra, Umesh K

    2016-01-01

    This paper reports high two-dimensional electron gas mobility attained from the regrowth of the AlGaN gating layer on ex situ GaN surfaces. To repair etch-damaged GaN surfaces, various pretreatments were conducted via metalorganic chemical vapor deposition, followed by a regrown AlGaN/GaN mobility test structure to evaluate the extent of recovery. The developed treatment process that was shown to significantly improve the electron mobility consisted of a N 2  + NH 3 pre-anneal plus an insertion of a 4 nm or thicker GaN interlayer prior to deposition of the AlGaN gating layer. Using the optimized process, a high electron mobility transistor (HEMT) device was fabricated which exhibited a high mobility of 1450 cm 2 V −1 s −1 (R sh  = 574 ohm/sq) and low dispersion characteristics. The additional inclusion of an in situ Al 2 O 3 dielectric into the regrowth process for MOS-HEMTs still preserved the transport properties near etch-impacted areas. (paper)

  20. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.