WorldWideScience

Sample records for czd process

  1. Car-borne multichannel gamma-ray spectrometric system model CZD-6

    International Nuclear Information System (INIS)

    Lu Shili; Zhai Yugui; Ma Yanfang; Jiao Cangwen; Zhang Biao

    1998-01-01

    The car-borne multichannel gamma-ray spectrometric system Mode CZD-6 is composed of a HDY-256 of portable multichannel gamma-ray spectrometer developed by the Beijing Research Institute of Uranium Geology and a large volume scintillation detector. the position for each measurement point is determined by the GPS instrument. Its latitude and longitude, as well as measured 256 channels of γ-spectrometric data are collected by a notebook computer, which can show the 256 channels of spectra for each point during measuring processes. The complete system can be loaded in a field car. This system has been used to environmental radioactive monitoring after calibration by airborne radiometric models in Shijiazhuang, Hebei province. A lot of data confirm that the system works stably and reliably, and is a fast and advanced approach for environmental γ-spectrometric monitoring. It can be used not only to determination of contents of natural radioactive elements in environments, but also to monitoring nuclear pollution and emergency treatment in nuclear accidents significantly

  2. Analyzing capture zone distributions (CZD) in growth: Theory and applications

    Science.gov (United States)

    Einstein, Theodore L.; Pimpinelli, Alberto; Luis González, Diego

    2014-09-01

    We have argued that the capture-zone distribution (CZD) in submonolayer growth can be well described by the generalized Wigner distribution (GWD) P(s) =asβ exp(-bs2), where s is the CZ area divided by its average value. This approach offers arguably the most robust (least sensitive to mass transport) method to find the critical nucleus size i, since β ≈ i + 2. Various analytical and numerical investigations, which we discuss, show that the simple GWD expression is inadequate in the tails of the distribution, it does account well for the central regime 0.5 < s < 2, where the data is sufficiently large to be reliably accessible experimentally. We summarize and catalog the many experiments in which this method has been applied.

  3. Modeling Island-Growth Capture Zone Distributions (CZD) with the Generalized Wigner Distribution (GWD): New Developments in Theory and Experiment

    Science.gov (United States)

    Pimpinelli, Alberto; Einstein, T. L.; González, Diego Luis; Sathiyanarayanan, Rajesh; Hamouda, Ajmi Bh.

    2011-03-01

    Earlier we showed [PRL 99, 226102 (2007)] that the CZD in growth could be well described by P (s) = asβ exp (-bs2) , where s is the CZ area divided by its average value. Painstaking simulations by Amar's [PRE 79, 011602 (2009)] and Evans's [PRL 104, 149601 (2010)] groups showed inadequacies in our mean field Fokker-Planck argument relating β to the critical nucleus size. We refine our derivation to retrieve their β ~ i + 2 [PRL 104, 149602 (2010)]. We discuss applications of this formula and methodology to experiments on Ge/Si(001) and on various organics on Si O2 , as well as to kinetic Monte Carlo studies homoepitaxial growth on Cu(100) with codeposited impurities of different sorts. In contrast to theory, there can be significant changes to β with coverage. Some experiments also show temperature dependence. Supported by NSF-MRSEC at UMD, Grant DMR 05-20471.

  4. Ediţii ale Clasificării Zecimale Dewey

    Directory of Open Access Journals (Sweden)

    Zenovia Niculescu

    2009-01-01

    Full Text Available Studiul abordează evoluţia ediţiilor C.Z.D. din perspective actualizării terminologiei, realocărilor şi extinderii structurii principale şi auxiliare a limbajului de indexare Dewey. Analiza comparativă a ediţiilor C.Z.D. reflectă amplificarea şi eficientizarea schemei Dewey din punct de vedere al îmbunătăţirii ofertei informaţionale, prin indici de bază revizuiţi şi dezvoltaţi, precum şi a valorizării notaţiilor auxiliare.

  5. Characterizing Submonolayer Growth of 6P on Mica: Capture Zone Distributions vs. Growth Exponents and the Role of Hot Precursors

    Science.gov (United States)

    Einstein, T. L.; Morales-Cifuentes, Josue; Pimpinelli, Alberto

    2015-03-01

    Analyzing capture-zone distributions (CZD) using the generalized Wigner distribution (GWD) has proved a powerful way to access the critical nucleus size i. Of the several systems to which the GWD has been applied, we consider 6P on mica, for which Winkler's group found i ~ 3 . Subsequently they measured the growth exponent α (island density ~Fα , for flux F) of this system and found good scaling but different values at small and large F, which they attributed to DLA and ALA dynamics, but with larger values of i than found from the CZD analysis. We investigate this result in some detail. The third talk of this group describes a new universal relation between α and the characteristic exponent β of the GWD. The second talk reports the results of a proposed model that takes long-known transient ballistic adsorption into account, for the first time in a quantitative way. We find several intermediate scaling regimes, with distinctive values of α and an effective activation energy. One of these, rather than ALA, gives the best fit of the experimental data and a value of i consistent with the CZD analysis. Work at UMD supported by NSF CHE 13-05892.

  6. [Clinical and experimental study on effect of cuichan zhusheng decoction on the structure and tension of pregnant cervix uteri].

    Science.gov (United States)

    Hu, Ling-Qing; Cai, Liang-Liang

    2008-06-01

    To observe the effect of Cuichan Zhusheng Decoction (CZD) on cervical maturation factors. Ninety women with full-term pregnancy and indication for labor inducing were assigned to three groups equally. The treated group was treated by water decoction of CZD, one dose (300 mL) daily, taken orally in the morning 30 min before breakfast, for successive 3 days, the administration would be discontinued if uterine contraction occurred for over 3 times/hour in the course. The control group was treated with pitocin by adding 1 U into 500 mL 5% glucose for intravenous dripping in 6 h, once every day for 3 successive days. The blank group was treated by placebo of CZD, administrated in same way as that in the treated group. The length and width of cervix and diameter of neck tube in all the women were measured on the very day of medication and 72 h later or parturient time by vaginal B-ultrasonography, and the cervical maturation degree was scored referring to the clinical Bishop scale. In the experimental study, the cervical tension of pregnant rats was measured with an in vitro cervical tension-meter, rats' cervical tissues were taken for pathologic examination to observe its morphological change. The total effective rate for promoting cervical maturation was 96.67% in the treated group and 83.33% in the control group. It was significantly superior in the treated group to that in the control group and the blank group (Pcongestion with massive amount of inflammatory cells infiltration, increased matrix components, and many leucocyte and fibroblast in the stroma could be seen. CZD can change the morphorlogic structure of cervical tissue, decrease cervical tension, so as to promote the cervical maturation and induce labor.

  7. Confined zone dispersion flue gas desulfurization demonstration. Quarterly report No. 8, August 17, 1992--November 16, 1992

    Energy Technology Data Exchange (ETDEWEB)

    1993-09-27

    The CZD process involves injecting a finely atomized slurry of reactive lime into the flue gas duct work of a coal-fired utility boiler. The principle of the confined zone is to form a wet zone of slurry droplets in the middle of the duct confined in an envelope of hot gas between the wet zone and the duct walls. The lime slurry reacts with part of the SO{sub 2} in the gas, and the reaction products dry to form solid particles. A solids collector, typically an electrostatic precipitator (ESP) downstream from the point of injection, captures the reaction products along with the fly ash entrained in the flue gas. The goal of this demonstration is to prove the technical and economic feasibility of the CZD technology on a commercial scale. The process is expected to achieve 50% SO{sub 2} removal at lower capital and O&M costs than other systems. To achieve its objectives, the project is divided into the following three phases: Phase 1: Design and Permitting, Phase 2: Construction and Start-up, Phase 3: Operation and Disposition. Phase 1 activities were completed on January 31, 1991. Phase 2 activities were essentially concluded on July 31, 1991, and Phase 3a, Parametric Testing, was initiated on July 1, 1991. This Quarterly Technical Progress Report covers Phase 3b activities from August 17, 1992 through November 16, 1992.

  8. Confined zone dispersion flue gas desulfurization demonstration

    Energy Technology Data Exchange (ETDEWEB)

    1992-12-31

    This is the fifth quarterly report for this project. This project is divided into three phases. Phase 1, which has been completed, involved design, engineering, and procurement for the CZD system, duct and facility modifications, and supporting equipment. Phase 2, also completed, included equipment acquisition and installation, facility construction, startup, and operator training for parametric testing. Phase 3 broadly covers testing, operation and disposition, but only a portion of Phase 3 was included in Budget Period 1. That portion was concerned with parametric testing of the CZD system to establish the optimum conditions for an extended, one-year, continuous demonstration. As of December 31, 1991, the following goals have been achieved. (1) Nozzle Selection - A modified Spraying Systems Company (SSC) atomizing nozzle has been selected for the one-year continuous CZD demonstration. (2) SO[sub 2] and NO[sub x] Reduction - Preliminary confirmation of 50% SO[sub 2] reduction has been achieved, but the NO[sub x] reduction target cannot be confirmed at this time. (3) Lime Selection - Testing indicated an injection rate of 40 to 50 gallons per minute with a lime slurry concentration of 8 to 10% to achieve 50% SO[sub 2] reduction. There has been no selection of the lime to be used in the one year demonstration. (4) ESP Optimization - Tests conducted to date have shown that lime injection has a very beneficial effect on ESP performance, and little adjustment may be necessary. (5) SO[sub 2] Removal Costs - Testing has not revealed any significant departure from the bases on which Bechtel's original cost estimates (capital and operating) were prepared. Therefore, SO[sub 2] removal costs are still expected to be in the range of $300/ton or less.

  9. Confined zone dispersion flue gas desulfurization demonstration. Volume 1, Quarterly report No. 5, November 1, 1991--January 31, 1992

    Energy Technology Data Exchange (ETDEWEB)

    1992-12-31

    This is the fifth quarterly report for this project. This project is divided into three phases. Phase 1, which has been completed, involved design, engineering, and procurement for the CZD system, duct and facility modifications, and supporting equipment. Phase 2, also completed, included equipment acquisition and installation, facility construction, startup, and operator training for parametric testing. Phase 3 broadly covers testing, operation and disposition, but only a portion of Phase 3 was included in Budget Period 1. That portion was concerned with parametric testing of the CZD system to establish the optimum conditions for an extended, one-year, continuous demonstration. As of December 31, 1991, the following goals have been achieved. (1) Nozzle Selection - A modified Spraying Systems Company (SSC) atomizing nozzle has been selected for the one-year continuous CZD demonstration. (2) SO{sub 2} and NO{sub x} Reduction - Preliminary confirmation of 50% SO{sub 2} reduction has been achieved, but the NO{sub x} reduction target cannot be confirmed at this time. (3) Lime Selection - Testing indicated an injection rate of 40 to 50 gallons per minute with a lime slurry concentration of 8 to 10% to achieve 50% SO{sub 2} reduction. There has been no selection of the lime to be used in the one year demonstration. (4) ESP Optimization - Tests conducted to date have shown that lime injection has a very beneficial effect on ESP performance, and little adjustment may be necessary. (5) SO{sub 2} Removal Costs - Testing has not revealed any significant departure from the bases on which Bechtel`s original cost estimates (capital and operating) were prepared. Therefore, SO{sub 2} removal costs are still expected to be in the range of $300/ton or less.

  10. Capture Zone Distributions and Island Morphologies in Organic Epitaxy and Graphene Formation

    Science.gov (United States)

    Pimpinelli, Alberto; Einstein, T. L.

    2013-03-01

    Stating that island nucleation is an essential step in the formation of an epitaxial or supported layer may appear trivially obvious. However, less trivial is the observation that the size of the critical nucleus plays a crucial role in that it determines both the island density (and therefore the size of domains) and the evolution of the island morphology. In this talk we will describe recent developments in the analysis of capture zone distributions (CZD) specifically tailored for application to organic materials. We will also describe specific features of organic and graphene island morphologies, and discuss how they are related to the nucleation process and to the size of the critical nucleus. Work at UMD supported by NSF-MRSEC, Grant DMR 05-20471 and NSF CHE 07-49949

  11. Further Developments in Characterizing Capture Zone Distributions (CZD) in Island Growth

    Science.gov (United States)

    Einstein, T. L.; Pimpinelli, Alberto; González, Diego Luis

    2014-03-01

    As argued previously, analysis of the distribution of the areas of capture zones (i.e. proximity polygons [or Voronoi tesselations] with respect to island centers) is often the best way to extract the critical nucleus size in studies of epitaxial growth. For non-Poisson deposition (i.e. when island nucleation is not fully random) the areas of these Voronoi cells can be well described by the generalized Wigner distribution (GWD), particularly in the central region around the mean area where the distribution is largest. We discuss several recent applications to experimental systems, catelogued in a recent minireview,[2] showing how this perspective leads to insights about the critical nucleus size. In contrast, several (but not all) studies have shown that the GWD may not describe the numerical data from painstaking simulations in both tails.2 We discuss some refinements that have been proposed, as well as scaling forms. Finally, we comment on applications to social phenomena. Emphasis is on very recent developments. Work at UMD supported by NSF CHE 13-05892 & NSF MRSEC DMR 05-20471.

  12. Developments in Characterizing Capture Zone Distributions in Island Growth

    Science.gov (United States)

    Einstein, T. L.; Pimpinelli, Alberto; GonzáLez, Diego Luis; Sathiyanarayanan, Rajesh

    2013-03-01

    The utility of using the distribution of capture zones (CZD) to characterize epitaxial growth continues to mount. For non-Poisson deposition (i.e. when island nucleation is not fully random) the areas of these Voronoi cells (proximity polygons) can be well described by the generalized Wigner distribution (GWD), particularly in the central region around the mean area. We discuss several recent applications to experimental systems, showing how this perspective leads to insights about the critical nucleus size. In contrast, several studies have shown that the GWD may not describe the numerical data from painstaking simulations in both tails. We discuss some refinements that have been proposed. Finally, we comment on applications to social phenomena such as area distributions of secondary administrative units (like counties) and of Voronoi cells around Metro stops. Work at UMD supported by NSF-MRSEC Grant DMR 05-20471 and NSF CHE 07-49949

  13. Role of Transient Mobility on Submonolayer Island Growth: Extensions and Testing

    Science.gov (United States)

    Morales Cifuentes, Josue; Einstein, Theodore; Pimpinelli, Alberto

    In studies of epitaxial growth a major goal is assessing the smallest stable cluster (i + 1 monomers, with i the critical nucleus size), by analyzing the capture zone distribution (CZD) or the scaling of incident flux F to the density of stable islands N (N ~Fα , with α the growth exponent). As noted in the previous talk, the GWD has well described the data in several experiments, including submonolayer para-hexaphenyl (6P) on amorphous mica (i ~ 3). Different scaling (Fα) for 6P at (small) large F is attributed to (DLA) ALA dynamics, i.e. i = (5) 7 +/- 2. Our recent theoretical work considered monomers propagating ballistically before thermalizing or attaching to islands, leading to scaling, non-monotonic crossover, and activation energies that account for the data and reconciling the values of i. We present applications to other experimental systems: 6P on SiO2 and pentacene (5A) on amorphous mica. We describe useful simplifying approximations, and preliminary kinetic Monte Carlo simulations including transient effects on growth. Work at UMD supported by NSF CHE 13-05892.

  14. AN ADVANCED OXIDATION PROCESS : FENTON PROCESS

    Directory of Open Access Journals (Sweden)

    Engin GÜRTEKİN

    2008-03-01

    Full Text Available Biological wastewater treatment is not effective treatment method if raw wastewater contains toxic and refractory organics. Advanced oxidation processes are applied before or after biological treatment for the detoxification and reclamation of this kind of wastewaters. The advanced oxidation processes are based on the formation of powerful hydroxyl radicals. Among advanced oxidation processes Fenton process is one of the most promising methods. Because application of Fenton process is simple and cost effective and also reaction occurs in a short time period. Fenton process is applied for many different proposes. In this study, Fenton process was evaluated as an advanced oxidation process in wastewater treatment.

  15. Control system for technological processes in tritium processing plants with process analysis

    International Nuclear Information System (INIS)

    Retevoi, Carmen Maria; Stefan, Iuliana; Balteanu, Ovidiu; Stefan, Liviu; Bucur, Ciprian

    2005-01-01

    Integration of a large variety of installations and equipment into a unitary system for controlling the technological process in tritium processing nuclear facilities appears to be a rather complex approach particularly when experimental or new technologies are developed. Ensuring a high degree of versatility allowing easy modifications in configurations and process parameters is a major requirement imposed on experimental installations. The large amount of data which must be processed, stored and easily accessed for subsequent analyses imposes development of a large information network based on a highly integrated system containing the acquisition, control and technological process analysis data as well as data base system. On such a basis integrated systems of computation and control able to conduct the technological process could be developed as well protection systems for cases of failures or break down. The integrated system responds to the control and security requirements in case of emergency and of the technological processes specific to the industry that processes radioactive or toxic substances with severe consequences in case of technological failure as in the case of tritium processing nuclear plant. In order to lower the risk technological failure of these processes an integrated software, data base and process analysis system are developed, which, based on identification algorithm of the important parameters for protection and security systems, will display the process evolution trend. The system was checked on a existing plant that includes a removal tritium unit, finally used in a nuclear power plant, by simulating the failure events as well as the process. The system will also include a complete data base monitoring all the parameters and a process analysis software for the main modules of the tritium processing plant, namely, isotope separation, catalytic purification and cryogenic distillation

  16. Markov Processes in Image Processing

    Science.gov (United States)

    Petrov, E. P.; Kharina, N. L.

    2018-05-01

    Digital images are used as an information carrier in different sciences and technologies. The aspiration to increase the number of bits in the image pixels for the purpose of obtaining more information is observed. In the paper, some methods of compression and contour detection on the basis of two-dimensional Markov chain are offered. Increasing the number of bits on the image pixels will allow one to allocate fine object details more precisely, but it significantly complicates image processing. The methods of image processing do not concede by the efficiency to well-known analogues, but surpass them in processing speed. An image is separated into binary images, and processing is carried out in parallel with each without an increase in speed, when increasing the number of bits on the image pixels. One more advantage of methods is the low consumption of energy resources. Only logical procedures are used and there are no computing operations. The methods can be useful in processing images of any class and assignment in processing systems with a limited time and energy resources.

  17. Thinning spatial point processes into Poisson processes

    DEFF Research Database (Denmark)

    Møller, Jesper; Schoenberg, Frederic Paik

    , and where one simulates backwards and forwards in order to obtain the thinned process. In the case of a Cox process, a simple independent thinning technique is proposed. In both cases, the thinning results in a Poisson process if and only if the true Papangelou conditional intensity is used, and thus can......This paper describes methods for randomly thinning certain classes of spatial point processes. In the case of a Markov point process, the proposed method involves a dependent thinning of a spatial birth-and-death process, where clans of ancestors associated with the original points are identified...... be used as a diagnostic for assessing the goodness-of-fit of a spatial point process model. Several examples, including clustered and inhibitive point processes, are considered....

  18. Process validation for radiation processing

    International Nuclear Information System (INIS)

    Miller, A.

    1999-01-01

    Process validation concerns the establishment of the irradiation conditions that will lead to the desired changes of the irradiated product. Process validation therefore establishes the link between absorbed dose and the characteristics of the product, such as degree of crosslinking in a polyethylene tube, prolongation of shelf life of a food product, or degree of sterility of the medical device. Detailed international standards are written for the documentation of radiation sterilization, such as EN 552 and ISO 11137, and the steps of process validation that are described in these standards are discussed in this paper. They include material testing for the documentation of the correct functioning of the product, microbiological testing for selection of the minimum required dose and dose mapping for documentation of attainment of the required dose in all parts of the product. The process validation must be maintained by reviews and repeated measurements as necessary. This paper presents recommendations and guidance for the execution of these components of process validation. (author)

  19. Thinning spatial point processes into Poisson processes

    DEFF Research Database (Denmark)

    Møller, Jesper; Schoenberg, Frederic Paik

    2010-01-01

    are identified, and where we simulate backwards and forwards in order to obtain the thinned process. In the case of a Cox process, a simple independent thinning technique is proposed. In both cases, the thinning results in a Poisson process if and only if the true Papangelou conditional intensity is used, and......In this paper we describe methods for randomly thinning certain classes of spatial point processes. In the case of a Markov point process, the proposed method involves a dependent thinning of a spatial birth-and-death process, where clans of ancestors associated with the original points......, thus, can be used as a graphical exploratory tool for inspecting the goodness-of-fit of a spatial point process model. Several examples, including clustered and inhibitive point processes, are considered....

  20. Process correlation analysis model for process improvement identification.

    Science.gov (United States)

    Choi, Su-jin; Kim, Dae-Kyoo; Park, Sooyong

    2014-01-01

    Software process improvement aims at improving the development process of software systems. It is initiated by process assessment identifying strengths and weaknesses and based on the findings, improvement plans are developed. In general, a process reference model (e.g., CMMI) is used throughout the process of software process improvement as the base. CMMI defines a set of process areas involved in software development and what to be carried out in process areas in terms of goals and practices. Process areas and their elements (goals and practices) are often correlated due to the iterative nature of software development process. However, in the current practice, correlations of process elements are often overlooked in the development of an improvement plan, which diminishes the efficiency of the plan. This is mainly attributed to significant efforts and the lack of required expertise. In this paper, we present a process correlation analysis model that helps identify correlations of process elements from the results of process assessment. This model is defined based on CMMI and empirical data of improvement practices. We evaluate the model using industrial data.

  1. Management of processes of electrochemical dimensional processing

    Science.gov (United States)

    Akhmetov, I. D.; Zakirova, A. R.; Sadykov, Z. B.

    2017-09-01

    In different industries a lot high-precision parts are produced from hard-processed scarce materials. Forming such details can only be acting during non-contact processing, or a minimum of effort, and doable by the use, for example, of electro-chemical processing. At the present stage of development of metal working processes are important management issues electrochemical machining and its automation. This article provides some indicators and factors of electrochemical machining process.

  2. Chemical process engineering in the transuranium processing plant

    International Nuclear Information System (INIS)

    Collins, E.D.; Bigelow, J.E.

    1976-01-01

    Since operation of the Transuranium Processing Plant began, process changes have been made to counteract problems caused by equipment corrosion, to satisfy new processing requirements, and to utilize improved processes. The new processes, equipment, and techniques have been incorporated into a sequence of steps which satisfies all required processing functions

  3. Managing Process Variants in the Process Life Cycle

    NARCIS (Netherlands)

    Hallerbach, A.; Bauer, Th.; Reichert, M.U.

    2007-01-01

    When designing process-aware information systems, often variants of the same process have to be specified. Each variant then constitutes an adjustment of a particular process to specific requirements building the process context. Current Business Process Management (BPM) tools do not adequately

  4. Applications of Process Synthesis: Moving from Conventional Chemical Processes towards Biorefinery Processes

    DEFF Research Database (Denmark)

    Yuan, Zhihong; Chen, Bingzhen; Gani, Rafiqul

    2013-01-01

    Concerns about diminishing petroleum reserves, enhanced worldwide demand for fuels and fluctuations in the global oil market, together with climate change and national security have promoted many initiatives for exploring alternative, non-petroleum based processes. Among these initiatives......, biorefinery processes for converting biomass-derived carbohydrates into transportation fuels and chemicals are now gaining more and more attention from both academia and industry. Process synthesis, which has played a vital role for the development, design and operation of (petro) chemical processes, can...

  5. Visualizing the process of process modeling with PPMCharts

    NARCIS (Netherlands)

    Claes, J.; Vanderfeesten, I.T.P.; Pinggera, J.; Reijers, H.A.; Weber, B.; Poels, G.; La Rosa, M.; Soffer, P.

    2013-01-01

    In the quest for knowledge about how to make good process models, recent research focus is shifting from studying the quality of process models to studying the process of process modeling (often abbreviated as PPM) itself. This paper reports on our efforts to visualize this specific process in such

  6. Process Intensification: A Perspective on Process Synthesis

    DEFF Research Database (Denmark)

    Lutze, Philip; Gani, Rafiqul; Woodley, John

    2010-01-01

    In recent years, process intensification (PI) has attracted considerable academic interest as a potential means for process improvement, to meet the increasing demands for sustainable production. A variety of intensified operations developed in academia and industry creates a large number...... of options to potentially improve the process but to identify the set of feasible solutions for PI in which the optimal can be found takes considerable resources. Hence, a process synthesis tool to achieve PI would potentially assist in the generation and evaluation of PI options. Currently, several process...... design tools with a clear focus on specific PI tasks exist. Therefore, in this paper, the concept of a general systematic framework for synthesis and design of PI options in hierarchical steps through analyzing an existing process, generating PI options in a superstructure and evaluating intensified...

  7. Perceptual Processing Affects Conceptual Processing

    Science.gov (United States)

    van Dantzig, Saskia; Pecher, Diane; Zeelenberg, Rene; Barsalou, Lawrence W.

    2008-01-01

    According to the Perceptual Symbols Theory of cognition (Barsalou, 1999), modality-specific simulations underlie the representation of concepts. A strong prediction of this view is that perceptual processing affects conceptual processing. In this study, participants performed a perceptual detection task and a conceptual property-verification task…

  8. A process insight repository supporting process optimization

    OpenAIRE

    Vetlugin, Andrey

    2012-01-01

    Existing solutions for analysis and optimization of manufacturing processes, such as online analysis processing or statistical calculations, have shortcomings that limit continuous process improvements. In particular, they lack means of storing and integrating the results of analysis. This makes the valuable information that can be used for process optimizations used only once and then disposed. The goal of the Advanced Manufacturing Analytics (AdMA) research project is to design an integrate...

  9. Two-stage process analysis using the process-based performance measurement framework and business process simulation

    NARCIS (Netherlands)

    Han, K.H.; Kang, J.G.; Song, M.S.

    2009-01-01

    Many enterprises have recently been pursuing process innovation or improvement to attain their performance goals. To align a business process with enterprise performances, this study proposes a two-stage process analysis for process (re)design that combines the process-based performance measurement

  10. Continuous Correctness of Business Processes Against Process Interference

    NARCIS (Netherlands)

    van Beest, Nick; Bucur, Doina

    2013-01-01

    In distributed business process support environments, process interference from multiple stakeholders may cause erroneous process outcomes. Existing solutions to detect and correct interference at runtime employ formal verification and the automatic generation of intervention processes at runtime.

  11. Process mining: making knowledge discovery process centric

    NARCIS (Netherlands)

    Aalst, van der W.M.P.

    2011-01-01

    Recently, the Task Force on Process Mining released the Process Mining Manifesto. The manifesto is supported by 53 organizations and 77 process mining experts contributed to it. The active contributions from end-users, tool vendors, consultants, analysts, and researchers illustrate the growing

  12. Business process model repositories : efficient process retrieval

    NARCIS (Netherlands)

    Yan, Z.

    2012-01-01

    As organizations increasingly work in process-oriented manner, the number of business process models that they develop and have to maintain increases. As a consequence, it has become common for organizations to have collections of hundreds or even thousands of business process models. When a

  13. Aerodynamic isotope separation processes for uranium enrichment: process requirements

    International Nuclear Information System (INIS)

    Malling, G.F.; Von Halle, E.

    1976-01-01

    The pressing need for enriched uranium to fuel nuclear power reactors, requiring that as many as ten large uranium isotope separation plants be built during the next twenty years, has inspired an increase of interest in isotope separation processes for uranium enrichment. Aerodynamic isotope separation processes have been prominently mentioned along with the gas centrifuge process and the laser isotope separation methods as alternatives to the gaseous diffusion process, currently in use, for these future plants. Commonly included in the category of aerodynamic isotope separation processes are: (a) the separation nozzle process; (b) opposed gas jets; (c) the gas vortex; (d) the separation probes; (e) interacting molecular beams; (f) jet penetration processes; and (g) time of flight separation processes. A number of these aerodynamic isotope separation processes depend, as does the gas centrifuge process, on pressure diffusion associated with curved streamlines for the basic separation effect. Much can be deduced about the process characteristics and the economic potential of such processes from a simple and elementary process model. In particular, the benefit to be gained from a light carrier gas added to the uranium feed is clearly demonstrated. The model also illustrates the importance of transient effects in this class of processes

  14. Business Process Innovation using the Process Innovation Laboratory

    DEFF Research Database (Denmark)

    Møller, Charles

    for practical applications has not been identified. The aim of this paper is to establish a conceptual framework for business process innovation in the supply chain based on advanced enterprise systems. The main approach to business process innovation in this context is to create a new methodology for exploring...... process models and patterns of applications. The paper thus presents a new concept for business process innovation called the process innovation laboratory a.k.a. the ?-Lab. The ?-Lab is a comprehensive framework for BPI using advanced enterprise systems. The ?-Lab is a collaborative workspace...... for experimenting with process models and an explorative approach to study integrated modeling in a controlled environment. The ?-Lab facilitates innovation by using an integrated action learning approach to process modeling including contemporary technological, organizational and business perspectives....

  15. Discovering Reference Process Models by Mining Process Variants

    NARCIS (Netherlands)

    Li, C.; Reichert, M.U.; Wombacher, Andreas

    Recently, a new generation of adaptive Process-Aware Information Systems (PAIS) has emerged, which allows for dynamic process and service changes (e.g., to insert, delete, and move activities and service executions in a running process). This, in turn, has led to a large number of process variants

  16. BUSINESS PROCESS REENGINEERING AS THE METHOD OF PROCESS MANAGEMENT

    Directory of Open Access Journals (Sweden)

    O. Honcharova

    2013-09-01

    Full Text Available The article is devoted to the analysis of process management approach. The main understanding of process management approach has been researched in the article. The definition of process and process management has been given. Also the methods of business process improvement has been analyzed, among them are fast-analysis solution technology (FAST, benchmarking, reprojecting and reengineering. The main results of using business process improvement have been described in figures of reducing cycle time, costs and errors. Also the tasks of business process reengineering have been noticed. The main stages of business process reengineering have been noticed. The main efficiency results of business process reengineering and its success factors have been determined.

  17. Fundamentals of process intensification: A process systems engineering view

    DEFF Research Database (Denmark)

    Babi, Deenesh Kavi; Sales Cruz, Alfonso Mauricio; Gani, Rafiqul

    2016-01-01

    This chapter gives an overview of the fundamentals of process intensification from a process systems engineering point of view. The concept of process intensification, including process integration, is explained together with the drivers for applying process intensification, which can be achieved...

  18. Idaho Chemical Processing Plant Process Efficiency improvements

    International Nuclear Information System (INIS)

    Griebenow, B.

    1996-03-01

    In response to decreasing funding levels available to support activities at the Idaho Chemical Processing Plant (ICPP) and a desire to be cost competitive, the Department of Energy Idaho Operations Office (DOE-ID) and Lockheed Idaho Technologies Company have increased their emphasis on cost-saving measures. The ICPP Effectiveness Improvement Initiative involves many activities to improve cost effectiveness and competitiveness. This report documents the methodology and results of one of those cost cutting measures, the Process Efficiency Improvement Activity. The Process Efficiency Improvement Activity performed a systematic review of major work processes at the ICPP to increase productivity and to identify nonvalue-added requirements. A two-phase approach was selected for the activity to allow for near-term implementation of relatively easy process modifications in the first phase while obtaining long-term continuous improvement in the second phase and beyond. Phase I of the initiative included a concentrated review of processes that had a high potential for cost savings with the intent of realizing savings in Fiscal Year 1996 (FY-96.) Phase II consists of implementing long-term strategies too complex for Phase I implementation and evaluation of processes not targeted for Phase I review. The Phase II effort is targeted for realizing cost savings in FY-97 and beyond

  19. Process Accounting

    OpenAIRE

    Gilbertson, Keith

    2002-01-01

    Standard utilities can help you collect and interpret your Linux system's process accounting data. Describes the uses of process accounting, standard process accounting commands, and example code that makes use of process accounting utilities.

  20. Modeling of biopharmaceutical processes. Part 2: Process chromatography unit operation

    DEFF Research Database (Denmark)

    Kaltenbrunner, Oliver; McCue, Justin; Engel, Philip

    2008-01-01

    Process modeling can be a useful tool to aid in process development, process optimization, and process scale-up. When modeling a chromatography process, one must first select the appropriate models that describe the mass transfer and adsorption that occurs within the porous adsorbent. The theoret......Process modeling can be a useful tool to aid in process development, process optimization, and process scale-up. When modeling a chromatography process, one must first select the appropriate models that describe the mass transfer and adsorption that occurs within the porous adsorbent...

  1. Generalized Ornstein-Uhlenbeck processes and associated self-similar processes

    CERN Document Server

    Lim, S C

    2003-01-01

    We consider three types of generalized Ornstein-Uhlenbeck processes: the stationary process obtained from the Lamperti transformation of fractional Brownian motion, the process with stretched exponential covariance and the process obtained from the solution of the fractional Langevin equation. These stationary Gaussian processes have many common properties, such as the fact that their local covariances share a similar structure and they exhibit identical spectral densities at large frequency limit. In addition, the generalized Ornstein-Uhlenbeck processes can be shown to be local stationary representations of fractional Brownian motion. Two new self-similar Gaussian processes, in addition to fractional Brownian motion, are obtained by applying the (inverse) Lamperti transformation to the generalized Ornstein-Uhlenbeck processes. We study some of the properties of these self-similar processes such as the long-range dependence. We give a simulation of their sample paths based on numerical Karhunan-Loeve expansi...

  2. Generalized Ornstein-Uhlenbeck processes and associated self-similar processes

    International Nuclear Information System (INIS)

    Lim, S C; Muniandy, S V

    2003-01-01

    We consider three types of generalized Ornstein-Uhlenbeck processes: the stationary process obtained from the Lamperti transformation of fractional Brownian motion, the process with stretched exponential covariance and the process obtained from the solution of the fractional Langevin equation. These stationary Gaussian processes have many common properties, such as the fact that their local covariances share a similar structure and they exhibit identical spectral densities at large frequency limit. In addition, the generalized Ornstein-Uhlenbeck processes can be shown to be local stationary representations of fractional Brownian motion. Two new self-similar Gaussian processes, in addition to fractional Brownian motion, are obtained by applying the (inverse) Lamperti transformation to the generalized Ornstein-Uhlenbeck processes. We study some of the properties of these self-similar processes such as the long-range dependence. We give a simulation of their sample paths based on numerical Karhunan-Loeve expansion

  3. From Process Understanding to Process Control

    NARCIS (Netherlands)

    Streefland, M.

    2010-01-01

    A licensed pharmaceutical process is required to be executed within the validated ranges throughout the lifetime of product manufacturing. Changes to the process usually require the manufacturer to demonstrate that the safety and efficacy of the product remains unchanged. Recent changes in the

  4. Integrated Process Modeling-A Process Validation Life Cycle Companion.

    Science.gov (United States)

    Zahel, Thomas; Hauer, Stefan; Mueller, Eric M; Murphy, Patrick; Abad, Sandra; Vasilieva, Elena; Maurer, Daniel; Brocard, Cécile; Reinisch, Daniela; Sagmeister, Patrick; Herwig, Christoph

    2017-10-17

    During the regulatory requested process validation of pharmaceutical manufacturing processes, companies aim to identify, control, and continuously monitor process variation and its impact on critical quality attributes (CQAs) of the final product. It is difficult to directly connect the impact of single process parameters (PPs) to final product CQAs, especially in biopharmaceutical process development and production, where multiple unit operations are stacked together and interact with each other. Therefore, we want to present the application of Monte Carlo (MC) simulation using an integrated process model (IPM) that enables estimation of process capability even in early stages of process validation. Once the IPM is established, its capability in risk and criticality assessment is furthermore demonstrated. IPMs can be used to enable holistic production control strategies that take interactions of process parameters of multiple unit operations into account. Moreover, IPMs can be trained with development data, refined with qualification runs, and maintained with routine manufacturing data which underlines the lifecycle concept. These applications will be shown by means of a process characterization study recently conducted at a world-leading contract manufacturing organization (CMO). The new IPM methodology therefore allows anticipation of out of specification (OOS) events, identify critical process parameters, and take risk-based decisions on counteractions that increase process robustness and decrease the likelihood of OOS events.

  5. PC image processing

    International Nuclear Information System (INIS)

    Hwa, Mok Jin Il; Am, Ha Jeng Ung

    1995-04-01

    This book starts summary of digital image processing and personal computer, and classification of personal computer image processing system, digital image processing, development of personal computer and image processing, image processing system, basic method of image processing such as color image processing and video processing, software and interface, computer graphics, video image and video processing application cases on image processing like satellite image processing, color transformation of image processing in high speed and portrait work system.

  6. Meat Processing.

    Science.gov (United States)

    Legacy, Jim; And Others

    This publication provides an introduction to meat processing for adult students in vocational and technical education programs. Organized in four chapters, the booklet provides a brief overview of the meat processing industry and the techniques of meat processing and butchering. The first chapter introduces the meat processing industry and…

  7. Process for improving metal production in steelmaking processes

    Science.gov (United States)

    Pal, Uday B.; Gazula, Gopala K. M.; Hasham, Ali

    1996-01-01

    A process and apparatus for improving metal production in ironmaking and steelmaking processes is disclosed. The use of an inert metallic conductor in the slag containing crucible and the addition of a transition metal oxide to the slag are the disclosed process improvements.

  8. Genetic Process Mining: Alignment-based Process Model Mutation

    NARCIS (Netherlands)

    Eck, van M.L.; Buijs, J.C.A.M.; Dongen, van B.F.; Fournier, F.; Mendling, J.

    2015-01-01

    The Evolutionary Tree Miner (ETM) is a genetic process discovery algorithm that enables the user to guide the discovery process based on preferences with respect to four process model quality dimensions: replay fitness, precision, generalization and simplicity. Traditionally, the ETM algorithm uses

  9. Business process modeling for processing classified documents using RFID technology

    Directory of Open Access Journals (Sweden)

    Koszela Jarosław

    2016-01-01

    Full Text Available The article outlines the application of the processing approach to the functional description of the designed IT system supporting the operations of the secret office, which processes classified documents. The article describes the application of the method of incremental modeling of business processes according to the BPMN model to the description of the processes currently implemented (“as is” in a manual manner and target processes (“to be”, using the RFID technology for the purpose of their automation. Additionally, the examples of applying the method of structural and dynamic analysis of the processes (process simulation to verify their correctness and efficiency were presented. The extension of the process analysis method is a possibility of applying the warehouse of processes and process mining methods.

  10. Novel process windows, part 1: Boosted micro process technology

    NARCIS (Netherlands)

    Hessel, V.; Wang, Q.

    2011-01-01

    Novel Process Windows (NPW) is the use of highly intensified, unusual and typically harsh process conditions to boost micro process technology and flow chemistry for the production of high-added value fine chemicals, pharmaceuticals, etc.. It is far from conventional processing and also from

  11. Cognitive Processes in Discourse Comprehension: Passive Processes, Reader-Initiated Processes, and Evolving Mental Representations

    Science.gov (United States)

    van den Broek, Paul; Helder, Anne

    2017-01-01

    As readers move through a text, they engage in various types of processes that, if all goes well, result in a mental representation that captures their interpretation of the text. With each new text segment the reader engages in passive and, at times, reader-initiated processes. These processes are strongly influenced by the readers'…

  12. Data processing

    CERN Document Server

    Fry, T F

    2013-01-01

    Data Processing discusses the principles, practices, and associated tools in data processing. The book is comprised of 17 chapters that are organized into three parts. The first part covers the characteristics, systems, and methods of data processing. Part 2 deals with the data processing practice; this part discusses the data input, output, and storage. The last part discusses topics related to systems and software in data processing, which include checks and controls, computer language and programs, and program elements and structures. The text will be useful to practitioners of computer-rel

  13. In-process and post-process measurements of drill wear for control of the drilling process

    Science.gov (United States)

    Liu, Tien-I.; Liu, George; Gao, Zhiyu

    2011-12-01

    Optical inspection was used in this research for the post-process measurements of drill wear. A precision toolmakers" microscope was used. Indirect index, cutting force, is used for in-process drill wear measurements. Using in-process measurements to estimate the drill wear for control purpose can decrease the operation cost and enhance the product quality and safety. The challenge is to correlate the in-process cutting force measurements with the post-process optical inspection of drill wear. To find the most important feature, the energy principle was used in this research. It is necessary to select only the cutting force feature which shows the highest sensitivity to drill wear. The best feature selected is the peak of torque in the drilling process. Neuro-fuzzy systems were used for correlation purposes. The Adaptive-Network-Based Fuzzy Inference System (ANFIS) can construct fuzzy rules with membership functions to generate an input-output pair. A 1x6 ANFIS architecture with product of sigmoid membership functions can in-process measure the drill wear with an error as low as 0.15%. This is extremely important for control of the drilling process. Furthermore, the measurement of drill wear was performed under different drilling conditions. This shows that ANFIS has the capability of generalization.

  14. Quasi-Birth-and-Death Processes with Rational Arrival Process Components

    DEFF Research Database (Denmark)

    Bean, Nigel G.; Nielsen, Bo Friis

    to develop an analytic method for such a process, that parallels the analysis of a traditional QBD. We demonstrate the analysis by considering a queue where the arrival process and the sequence of service times are derived from two different RAPs that are not just Markovian Arrival processes. We also...... introduce an element of correlation between the arrival process and the sequence of service times.......In this paper we introduce the concept of a Quasi-Birth-and-Death process (QBD) with Rational Arrival Process components. We use the physical interpretation of a Rational Arrival Process (RAP), developed by Asmussen and Bladt, to consider such a Markov process. We exploit this interpretation...

  15. Processes subject to integrated pollution control. Petroleum processes: oil refining and associated processes

    International Nuclear Information System (INIS)

    1995-01-01

    This document, part of a series offering guidance on pollution control regulations issued by Her Majesty's Inspectorate of Pollution, (HMIP) focuses on petroleum processes such as oil refining and other associated processes. The various industrial processes used, their associated pollution release routes into the environment and techniques for controlling these releases are all discussed. Environmental quality standards are related to national and international agreements on pollution control and abatement. HMIP's work on air, water and land pollution monitoring is also reported. (UK)

  16. Reflow process stabilization by chemical characteristics and process conditions

    Science.gov (United States)

    Kim, Myoung-Soo; Park, Jeong-Hyun; Kim, Hak-Joon; Kim, Il-Hyung; Jeon, Jae-Ha; Gil, Myung-Goon; Kim, Bong-Ho

    2002-07-01

    With the shrunken device rule below 130nm, the patterning of smaller contact hole with enough process margin is required for mass production. Therefore, shrinking technology using thermal reflow process has been applied for smaller contact hole formation. In this paper, we have investigated the effects of chemical characteristics such as molecular weight, blocking ratio of resin, cross-linker amount and solvent type with its composition to reflow process of resist and found the optimized chemical composition for reflow process applicable condition. And several process conditions like resist coating thickness and multi-step thermal reflow method have been also evaluated to stabilize the pattern profile and improve CD uniformity after reflow process. From the experiment results, it was confirmed that the effect of crosslinker in resist to reflow properties such as reflow temperature and reflow rate were very critical and it controlled the pattern profile during reflow processing. And also, it showed stable CD uniformity and improved resist properties for top loss, film shrinkage and etch selectivity. The application of lower coating thickness of resist induced symmetric pattern profile even at edge with wider process margin. The introduction of two-step baking method for reflow process showed uniform CD value, also. It is believed that the application of resist containing crosslinker and optimized process conditions for smaller contact hole patterning is necessary for the mass production with a design rule below 130nm.

  17. Microcrystalline silicon deposition: Process stability and process control

    International Nuclear Information System (INIS)

    Donker, M.N. van den; Kilper, T.; Grunsky, D.; Rech, B.; Houben, L.; Kessels, W.M.M.; Sanden, M.C.M. van de

    2007-01-01

    Applying in situ process diagnostics, we identified several process drifts occurring in the parallel plate plasma deposition of microcrystalline silicon (μc-Si:H). These process drifts are powder formation (visible from diminishing dc-bias and changing spatial emission profile on a time scale of 10 0 s), transient SiH 4 depletion (visible from a decreasing SiH emission intensity on a time scale of 10 2 s), plasma heating (visible from an increasing substrate temperature on a time scale of 10 3 s) and a still puzzling long-term drift (visible from a decreasing SiH emission intensity on a time scale of 10 4 s). The effect of these drifts on the crystalline volume fraction in the deposited films is investigated by selected area electron diffraction and depth-profiled Raman spectroscopy. An example shows how the transient depletion and long-term drift can be prevented by suitable process control. Solar cells deposited using this process control show enhanced performance. Options for process control of plasma heating and powder formation are discussed

  18. The permanent process

    DEFF Research Database (Denmark)

    Møller, Jesper; McCullagh, Peter

    We extend the boson process first to a large class of Cox processes and second an even larger class of infinitely divisible point processes. Density and moment results are studied in detail. These results are obtained in closed form as weighted permanents, so the extension is called a permanent...... process. Temporal extensions and a particularly tractable case of the permanent process are also studied. Extensions of the ferminon process along similar lines, leading to so-called determinant processes, are discussed at the end. While the permanent process is attractive, the determinant process...

  19. Multidimensional process discovery

    NARCIS (Netherlands)

    Ribeiro, J.T.S.

    2013-01-01

    Typically represented in event logs, business process data describe the execution of process events over time. Business process intelligence (BPI) techniques such as process mining can be applied to get strategic insight into business processes. Process discovery, conformance checking and

  20. Process development

    Energy Technology Data Exchange (ETDEWEB)

    Schuegerl, K

    1984-01-01

    The item 'process development' comprises the production of acetonic/butonal with C. acetobylicum and the yeasting of potato waste. The target is to increase productivity by taking the following measures - optimation of media, on-line process analysis, analysis of reaction, mathematic modelling and identification of parameters, process simulation, development of a state estimator with the help of the on-line process analysis and the model, optimization and adaptive control.

  1. Statistical Process Control for KSC Processing

    Science.gov (United States)

    Ford, Roger G.; Delgado, Hector; Tilley, Randy

    1996-01-01

    The 1996 Summer Faculty Fellowship Program and Kennedy Space Center (KSC) served as the basis for a research effort into statistical process control for KSC processing. The effort entailed several tasks and goals. The first was to develop a customized statistical process control (SPC) course for the Safety and Mission Assurance Trends Analysis Group. The actual teaching of this course took place over several weeks. In addition, an Internet version of the same course complete with animation and video excerpts from the course when it was taught at KSC was developed. The application of SPC to shuttle processing took up the rest of the summer research project. This effort entailed the evaluation of SPC use at KSC, both present and potential, due to the change in roles for NASA and the Single Flight Operations Contractor (SFOC). Individual consulting on SPC use was accomplished as well as an evaluation of SPC software for KSC use in the future. A final accomplishment of the orientation of the author to NASA changes, terminology, data format, and new NASA task definitions will allow future consultation when the needs arise.

  2. A method for manufacturing a tool part for an injection molding process, a hot embossing process, a nano-imprint process, or an extrusion process

    DEFF Research Database (Denmark)

    2013-01-01

    The present invention relates to a method for manufacturing a tool part for an injection molding process, a hot embossing process, nano-imprint process or an extrusion process. First, there is provided a master structure (10) with a surface area comprising nanometre-sized protrusions (11...

  3. Process control using modern systems of information processing

    International Nuclear Information System (INIS)

    Baldeweg, F.

    1984-01-01

    Modern digital automation techniques allow the application of demanding types of process control. These types of process control are characterized by their belonging to higher levels in a multilevel model. Functional and technical aspects of the performance of digital automation plants are presented and explained. A modern automation system is described considering special procedures of process control (e.g. real time diagnosis)

  4. DUAL-PROCESS, a highly reliable process control system

    International Nuclear Information System (INIS)

    Buerger, L.; Gossanyi, A.; Parkanyi, T.; Szabo, G.; Vegh, E.

    1983-02-01

    A multiprocessor process control system is described. During its development the reliability was the most important aspect because it is used in the computerized control of a 5 MW research reactor. DUAL-PROCESS is fully compatible with the earlier single processor control system PROCESS-24K. The paper deals in detail with the communication, synchronization, error detection and error recovery problems of the operating system. (author)

  5. Quasi-Birth-and-Death Processes with Rational Arrival Process Components

    DEFF Research Database (Denmark)

    Bean, Nigel G.; Nielsen, Bo Friis

    2010-01-01

    This paper introduces the concept of a Quasi-Birth-and-Death process (QBD) with Rational Arrival Process (RAP) components. We use the physical interpretation of the prediction process of the RAP, developed by Asmussen and Bladt, and develop an analysis that parallels the analysis of a traditional...... QBD. Further, we present an algorithm for the numerical evaluation of the matrix G. As an example, we consider two queues where the arrival process and the sequence of service times are taken from two dependent RAPs, that are not Markovian Arrival Processes......This paper introduces the concept of a Quasi-Birth-and-Death process (QBD) with Rational Arrival Process (RAP) components. We use the physical interpretation of the prediction process of the RAP, developed by Asmussen and Bladt, and develop an analysis that parallels the analysis of a traditional...

  6. Stochastic processes

    CERN Document Server

    Parzen, Emanuel

    1962-01-01

    Well-written and accessible, this classic introduction to stochastic processes and related mathematics is appropriate for advanced undergraduate students of mathematics with a knowledge of calculus and continuous probability theory. The treatment offers examples of the wide variety of empirical phenomena for which stochastic processes provide mathematical models, and it develops the methods of probability model-building.Chapter 1 presents precise definitions of the notions of a random variable and a stochastic process and introduces the Wiener and Poisson processes. Subsequent chapters examine

  7. Dosimetry and process control for radiation processing

    International Nuclear Information System (INIS)

    Mod Ali, N.

    2002-01-01

    Complete text of publication follows. Accurate radiation dosimetry can provide quality assurance in radiation processing. Considerable relevant experiences in dosimetry by the SSDL-MINT has necessitate the development of methods making measurement at gamma plant traceable to the national standard. It involves the establishment of proper calibration procedure and selection of appropriate transfer system/technique to assure adequate traceability to a primary radiation standard. The effort forms the basis for irradiation process control, the legal approval of the process by the public health authorities (medical product sterilization and food preservation) and the safety and acceptance of the product

  8. Process mining using BPMN: relating event logs and process models

    NARCIS (Netherlands)

    Kalenkova, A.A.; van der Aalst, W.M.P.; Lomazova, I.A.; Rubin, V.A.

    2017-01-01

    Process-aware information systems (PAIS) are systems relying on processes, which involve human and software resources to achieve concrete goals. There is a need to develop approaches for modeling, analysis, improvement and monitoring processes within PAIS. These approaches include process mining

  9. Process mining using BPMN : relating event logs and process models

    NARCIS (Netherlands)

    Kalenkova, A.A.; Aalst, van der W.M.P.; Lomazova, I.A.; Rubin, V.A.

    2015-01-01

    Process-aware information systems (PAIS) are systems relying on processes, which involve human and software resources to achieve concrete goals. There is a need to develop approaches for modeling, analysis, improvement and monitoring processes within PAIS. These approaches include process mining

  10. Process modeling for Humanities: tracing and analyzing scientific processes

    OpenAIRE

    Hug , Charlotte; Salinesi , Camille; Deneckere , Rebecca; Lamasse , Stéphane

    2011-01-01

    International audience; This paper concerns epistemology and the understanding of research processes in Humanities, such as Archaeology. We believe that to properly understand research processes, it is essential to trace them. The collected traces depend on the process model established, which has to be as accurate as possible to exhaustively record the traces. In this paper, we briefly explain why the existing process models for Humanities are not sufficient to represent traces. We then pres...

  11. Poisson processes

    NARCIS (Netherlands)

    Boxma, O.J.; Yechiali, U.; Ruggeri, F.; Kenett, R.S.; Faltin, F.W.

    2007-01-01

    The Poisson process is a stochastic counting process that arises naturally in a large variety of daily life situations. We present a few definitions of the Poisson process and discuss several properties as well as relations to some well-known probability distributions. We further briefly discuss the

  12. Process improvement : the creation and evaluation of process alternatives

    NARCIS (Netherlands)

    Netjes, M.

    2010-01-01

    Companies continuously strive to improve their processes to increase productivity and delivered quality against lower costs. With Business Process Redesign (BPR) projects such improvement goals can be achieved. BPR involves the restructuring of business processes, stimulated by the application of

  13. The permanental process

    DEFF Research Database (Denmark)

    McCullagh, Peter; Møller, Jesper

    2006-01-01

    We extend the boson process first to a large class of Cox processes and second to an even larger class of infinitely divisible point processes. Density and moment results are studied in detail. These results are obtained in closed form as weighted permanents, so the extension i called a permanental...... process. Temporal extensions and a particularly tractable case of the permanental process are also studied. Extensions of the fermion process along similar lines, leading to so-called determinantal processes, are discussed....

  14. Dry process potentials

    International Nuclear Information System (INIS)

    Faugeras, P.

    1997-01-01

    Various dry processes have been studied and more or less developed in order particularly to reduce the waste quantities but none of them had replaced the PUREX process, for reasons departing to policy errors, un-appropriate demonstration examples or too late development, although realistic and efficient dry processes such as a fluoride selective volatility based processes have been demonstrated in France (CLOVIS, ATILA) and would be ten times cheaper than the PUREX process. Dry processes could regain interest in case of a nuclear revival (following global warming fears) or thermal wastes over-production. In the near future, dry processes could be introduced in complement to the PUREX process, especially at the end of the process cycle, for a more efficient recycling and safer storage (inactivation)

  15. Business Process Customization using Process Merging Techniques

    NARCIS (Netherlands)

    Bulanov, Pavel; Lazovik, Alexander; Aiello, Marco

    2012-01-01

    One of the important application of service composition techniques lies in the field of business process management. Essentially a business process can be considered as a composition of services, which is usually prepared by domain experts, and many tasks still have to be performed manually. These

  16. Business process transformation the process tangram framework

    CERN Document Server

    Sharma, Chitra

    2015-01-01

    This book presents a framework through transformation and explains  how business goals can be translated into realistic plans that are tangible and yield real results in terms of the top line and the bottom line. Process Transformation is like a tangram puzzle, which has multiple solutions yet is essentially composed of seven 'tans' that hold it together. Based on practical experience and intensive research into existing material, 'Process Tangram' is a simple yet powerful framework that proposes Process Transformation as a program. The seven 'tans' are: the transformation program itself, triggers, goals, tools and techniques, culture, communication and success factors. With its segregation into tans and division into core elements, this framework makes it possible to use 'pick and choose' to quickly and easily map an organization's specific requirements. Change management and process modeling are covered in detail. In addition, the book approaches managed services as a model of service delivery, which it ex...

  17. Composable Data Processing in Environmental Science - A Process View

    NARCIS (Netherlands)

    Wombacher, Andreas

    Data processing in environmental science is essential for doing science. The heterogeneity of data sources, data processing operations and infrastructures results in a lot of manual data and process integration work done by each scientist individually. This is very inefficient and time consuming.

  18. Processing module operating methods, processing modules, and communications systems

    Science.gov (United States)

    McCown, Steven Harvey; Derr, Kurt W.; Moore, Troy

    2014-09-09

    A processing module operating method includes using a processing module physically connected to a wireless communications device, requesting that the wireless communications device retrieve encrypted code from a web site and receiving the encrypted code from the wireless communications device. The wireless communications device is unable to decrypt the encrypted code. The method further includes using the processing module, decrypting the encrypted code, executing the decrypted code, and preventing the wireless communications device from accessing the decrypted code. Another processing module operating method includes using a processing module physically connected to a host device, executing an application within the processing module, allowing the application to exchange user interaction data communicated using a user interface of the host device with the host device, and allowing the application to use the host device as a communications device for exchanging information with a remote device distinct from the host device.

  19. Improving the process of process modelling by the use of domain process patterns

    NARCIS (Netherlands)

    Koschmider, A.; Reijers, H.A.

    2015-01-01

    The use of business process models has become prevalent in a wide area of enterprise applications. But while their popularity is expanding, concerns are growing with respect to their proper creation and maintenance. An obvious way to boost the efficiency of creating high-quality business process

  20. Trends in business process analysis: from verification to process mining

    NARCIS (Netherlands)

    Aalst, van der W.M.P.; Cardoso, J.; Cordeiro, J.; Filipe, J.

    2007-01-01

    Business process analysis ranges from model verification at design-time to the monitoring of processes at runtime. Much progress has been achieved in process verification. Today we are able to verify the entire reference model of SAP without any problems. Moreover, more and more processes leave

  1. Automatic extraction of process categories from process model collections

    NARCIS (Netherlands)

    Malinova, M.; Dijkman, R.M.; Mendling, J.; Lohmann, N.; Song, M.; Wohed, P.

    2014-01-01

    Many organizations build up their business process management activities in an incremental way. As a result, there is no overarching structure defined at the beginning. However, as business process modeling initiatives often yield hundreds to thousands of process models, there is a growing need for

  2. Opportunities and challenges for process control in process intensification

    NARCIS (Netherlands)

    Nikacevic, N.M.; Huesman, A.E.M.; Hof, Van den P.M.J.; Stankiewicz, A.

    2012-01-01

    This is a review and position article discussing the role and prospective for process control in process intensification. Firstly, the article outlines the classical role of control in process systems, presenting an overview of control systems’ development, from basic PID control to the advanced

  3. Transforming spatial point processes into Poisson processes using random superposition

    DEFF Research Database (Denmark)

    Møller, Jesper; Berthelsen, Kasper Klitgaaard

    with a complementary spatial point process Y  to obtain a Poisson process X∪Y  with intensity function β. Underlying this is a bivariate spatial birth-death process (Xt,Yt) which converges towards the distribution of (X,Y). We study the joint distribution of X and Y, and their marginal and conditional distributions....... In particular, we introduce a fast and easy simulation procedure for Y conditional on X. This may be used for model checking: given a model for the Papangelou intensity of the original spatial point process, this model is used to generate the complementary process, and the resulting superposition is a Poisson...... process with intensity function β if and only if the true Papangelou intensity is used. Whether the superposition is actually such a Poisson process can easily be examined using well known results and fast simulation procedures for Poisson processes. We illustrate this approach to model checking...

  4. Process/Equipment Co-Simulation on Syngas Chemical Looping Process

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Liang; Zhou, Qiang; Fan, Liang-Shih

    2012-09-30

    The chemical looping strategy for fossil energy applications promises to achieve an efficient energy conversion system for electricity, liquid fuels, hydrogen and/or chemicals generation, while economically separate CO{sub 2} by looping reaction design in the process. Chemical looping particle performance, looping reactor engineering, and process design and applications are the key drivers to the success of chemical looping process development. In order to better understand and further scale up the chemical looping process, issues such as cost, time, measurement, safety, and other uncertainties need to be examined. To address these uncertainties, advanced reaction/reactor modeling and process simulation are highly desired and the modeling efforts can accelerate the chemical looping technology development, reduce the pilot-scale facility design time and operating campaigns, as well as reduce the cost and technical risks. The purpose of this work is thus to conduct multiscale modeling and simulations on the key aspects of chemical looping technology, including particle reaction kinetics, reactor design and operation, and process synthesis and optimization.

  5. Process Fragment Libraries for Easier and Faster Development of Process-based Applications

    Directory of Open Access Journals (Sweden)

    David Schumm

    2011-01-01

    Full Text Available The term “process fragment” is recently gaining momentum in business process management research. We understand a process fragment as a connected and reusable process structure, which has relaxed completeness and consistency criteria compared to executable processes. We claim that process fragments allow for an easier and faster development of process-based applications. As evidence to this claim we present a process fragment concept and show a sample collection of concrete, real-world process fragments. We present advanced application scenarios for using such fragments in development of process-based applications. Process fragments are typically managed in a repository, forming a process fragment library. On top of a process fragment library from previous work, we discuss the potential impact of using process fragment libraries in cross-enterprise collaboration and application integration.

  6. Rapid thermal processing and beyond applications in semiconductor processing

    CERN Document Server

    Lerch, W

    2008-01-01

    Heat-treatment and thermal annealing are very common processing steps which have been employed during semiconductor manufacturing right from the beginning of integrated circuit technology. In order to minimize undesired diffusion, and other thermal budget-dependent effects, the trend has been to reduce the annealing time sharply by switching from standard furnace batch-processing (involving several hours or even days), to rapid thermal processing involving soaking times of just a few seconds. This transition from thermal equilibrium, to highly non-equilibrium, processing was very challenging a

  7. Fractional Processes and Fractional-Order Signal Processing Techniques and Applications

    CERN Document Server

    Sheng, Hu; Qiu, TianShuang

    2012-01-01

    Fractional processes are widely found in science, technology and engineering systems. In Fractional Processes and Fractional-order Signal Processing, some complex random signals, characterized by the presence of a heavy-tailed distribution or non-negligible dependence between distant observations (local and long memory), are introduced and examined from the ‘fractional’ perspective using simulation, fractional-order modeling and filtering and realization of fractional-order systems. These fractional-order signal processing (FOSP) techniques are based on fractional calculus, the fractional Fourier transform and fractional lower-order moments. Fractional Processes and Fractional-order Signal Processing: • presents fractional processes of fixed, variable and distributed order studied as the output of fractional-order differential systems; • introduces FOSP techniques and the fractional signals and fractional systems point of view; • details real-world-application examples of FOSP techniques to demonstr...

  8. Clinical Processes - The Killer Application for Constraint-Based Process Interactions

    DEFF Research Database (Denmark)

    Jiménez-Ramírez, Andrés; Barba, Irene; Reichert, Manfred

    2018-01-01

    . The scenario is subject to complex temporal constraints and entails the need for coordinating the constraint-based interactions among the processes related to a patient treatment process. As demonstrated in this work, the selected real process scenario can be suitably modeled through a declarative approach....... examples. However, to the best of our knowledge, they have not been used to model complex, real-world scenarios that comprise constraints going beyond control-flow. In this paper, we propose the use of a declarative language for modeling a sophisticated healthcare process scenario from the real world......For more than a decade, the interest in aligning information systems in a process-oriented way has been increasing. To enable operational support for business processes, the latter are usually specified in an imperative way. The resulting process models, however, tend to be too rigid to meet...

  9. Process innovation laboratory

    DEFF Research Database (Denmark)

    Møller, Charles

    2007-01-01

    to create a new methodology for developing and exploring process models and applications. The paper outlines the process innovation laboratory as a new approach to BPI. The process innovation laboratory is a comprehensive framework and a collaborative workspace for experimenting with process models....... The process innovation laboratory facilitates innovation by using an integrated action learning approach to process modelling in a controlled environment. The study is based on design science and the paper also discusses the implications to EIS research and practice......Most organizations today are required not only to operate effective business processes but also to allow for changing business conditions at an increasing rate. Today nearly every business relies on their enterprise information systems (EIS) for process integration and future generations of EIS...

  10. Membrane processes

    Science.gov (United States)

    Staszak, Katarzyna

    2017-11-01

    The membrane processes have played important role in the industrial separation process. These technologies can be found in all industrial areas such as food, beverages, metallurgy, pulp and paper, textile, pharmaceutical, automotive, biotechnology and chemical industry, as well as in water treatment for domestic and industrial application. Although these processes are known since twentieth century, there are still many studies that focus on the testing of new membranes' materials and determining of conditions for optimal selectivity, i. e. the optimum transmembrane pressure (TMP) or permeate flux to minimize fouling. Moreover the researchers proposed some calculation methods to predict the membrane processes properties. In this article, the laboratory scale experiments of membrane separation techniques, as well their validation by calculation methods are presented. Because membrane is the "heart" of the process, experimental and computational methods for its characterization are also described.

  11. PROPOSAL OF SPATIAL OPTIMIZATION OF PRODUCTION PROCESS IN PROCESS DESIGNER

    Directory of Open Access Journals (Sweden)

    Peter Malega

    2015-03-01

    Full Text Available This contribution is focused on optimizing the use of space in the production process using software Process Designer. The aim of this contribution is to suggest possible improvements to the existing layout of the selected production process. Production process was analysed in terms of inputs, outputs and course of actions. Nowadays there are many software solutions aimed at optimizing the use of space. One of these software products is the Process Designer, which belongs to the product line Tecnomatix. This software is primarily aimed at production planning. With Process Designer is possible to design the layout of production and subsequently to analyse the production or to change according to the current needs of the company.

  12. Process automation

    International Nuclear Information System (INIS)

    Moser, D.R.

    1986-01-01

    Process automation technology has been pursued in the chemical processing industries and to a very limited extent in nuclear fuel reprocessing. Its effective use has been restricted in the past by the lack of diverse and reliable process instrumentation and the unavailability of sophisticated software designed for process control. The Integrated Equipment Test (IET) facility was developed by the Consolidated Fuel Reprocessing Program (CFRP) in part to demonstrate new concepts for control of advanced nuclear fuel reprocessing plants. A demonstration of fuel reprocessing equipment automation using advanced instrumentation and a modern, microprocessor-based control system is nearing completion in the facility. This facility provides for the synergistic testing of all chemical process features of a prototypical fuel reprocessing plant that can be attained with unirradiated uranium-bearing feed materials. The unique equipment and mission of the IET facility make it an ideal test bed for automation studies. This effort will provide for the demonstration of the plant automation concept and for the development of techniques for similar applications in a full-scale plant. A set of preliminary recommendations for implementing process automation has been compiled. Some of these concepts are not generally recognized or accepted. The automation work now under way in the IET facility should be useful to others in helping avoid costly mistakes because of the underutilization or misapplication of process automation. 6 figs

  13. Process Analytical Technology (PAT): batch-to-batch reproducibility of fermentation processes by robust process operational design and control.

    Science.gov (United States)

    Gnoth, S; Jenzsch, M; Simutis, R; Lübbert, A

    2007-10-31

    The Process Analytical Technology (PAT) initiative of the FDA is a reaction on the increasing discrepancy between current possibilities in process supervision and control of pharmaceutical production processes and its current application in industrial manufacturing processes. With rigid approval practices based on standard operational procedures, adaptations of production reactors towards the state of the art were more or less inhibited for long years. Now PAT paves the way for continuous process and product improvements through improved process supervision based on knowledge-based data analysis, "Quality-by-Design"-concepts, and, finally, through feedback control. Examples of up-to-date implementations of this concept are presented. They are taken from one key group of processes in recombinant pharmaceutical protein manufacturing, the cultivations of genetically modified Escherichia coli bacteria.

  14. Defense Waste Processing Facility Process Simulation Package Life Cycle

    International Nuclear Information System (INIS)

    Reuter, K.

    1991-01-01

    The Defense Waste Processing Facility (DWPF) will be used to immobilize high level liquid radioactive waste into safe, stable, and manageable solid form. The complexity and classification of the facility requires that a performance based operator training to satisfy Department of Energy orders and guidelines. A major portion of the training program will be the application and utilization of Process Simulation Packages to assist in training the Control Room Operators on the fluctionality of the process and the application of the Distribution Control System (DCS) in operating and managing the DWPF process. The packages are being developed by the DWPF Computer and Information Systems Simulation Group. This paper will describe the DWPF Process Simulation Package Life Cycle. The areas of package scope, development, validation, and configuration management will be reviewed and discussed in detail

  15. Comparative process mining in education : an approach based on process cubes

    NARCIS (Netherlands)

    van der Aalst, W.M.P.; Guo, S.; Gorissen, P.J.B.; Ceravolo, P.; Accorsi, R.; Cudre-Mauroux, P.

    2015-01-01

    Process mining techniques enable the analysis of a wide variety of processes using event data. For example, event logs can be used to automatically learn a process model (e.g., a Petri net or BPMN model). Next to the automated discovery of the real underlying process, there are process mining

  16. Process mining

    DEFF Research Database (Denmark)

    van der Aalst, W.M.P.; Rubin, V.; Verbeek, H.M.W.

    2010-01-01

    Process mining includes the automated discovery of processes from event logs. Based on observed events (e.g., activities being executed or messages being exchanged) a process model is constructed. One of the essential problems in process mining is that one cannot assume to have seen all possible...... behavior. At best, one has seen a representative subset. Therefore, classical synthesis techniques are not suitable as they aim at finding a model that is able to exactly reproduce the log. Existing process mining techniques try to avoid such “overfitting” by generalizing the model to allow for more...... support for it). None of the existing techniques enables the user to control the balance between “overfitting” and “underfitting”. To address this, we propose a two-step approach. First, using a configurable approach, a transition system is constructed. Then, using the “theory of regions”, the model...

  17. Process monitoring

    International Nuclear Information System (INIS)

    Anon.

    1981-01-01

    Many of the measurements and observations made in a nuclear processing facility to monitor processes and product quality can also be used to monitor the location and movements of nuclear materials. In this session information is presented on how to use process monitoring data to enhance nuclear material control and accounting (MC and A). It will be seen that SNM losses can generally be detected with greater sensitivity and timeliness and point of loss localized more closely than by conventional MC and A systems if process monitoring data are applied. The purpose of this session is to enable the participants to: (1) identify process unit operations that could improve control units for monitoring SNM losses; (2) choose key measurement points and formulate a loss indicator for each control unit; and (3) describe how the sensitivities and timeliness of loss detection could be determined for each loss indicator

  18. Metacognition in Creativity: Process Awareness Used to Facilitate the Creative Process

    DEFF Research Database (Denmark)

    Valgeirsdóttir, Dagný; Onarheim, Balder

    2017-01-01

    to ensure capture of all instances of process awareness. Through this iterative process it was revealed that process awareness was predominantly observed in creativity related tasks. Moreover three distinct facets to process awareness emerged; planning, monitoring and reflecting, which were employed...... respectively before, during and after initiating a process and/or a workshop. We conclude that process awareness is an important creativity skill, being a crucial mechanism to enhance all stages of the creative process. If a designer becomes able to plan, monitor and reflect on his or her own cognitive...... processes, as well as other team members, he or she will be able to understand what works and what does not for advancing the creative process. In turn, that enables the designer to become more strategic about which actions are appropriate and at what time they are most usefully deployed; making the use...

  19. Development of functionally-oriented technological processes of electroerosive processing

    Science.gov (United States)

    Syanov, S. Yu

    2018-03-01

    The stages of the development of functionally oriented technological processes of electroerosive processing from the separation of the surfaces of parts and their service functions to the determination of the parameters of the process of electric erosion, which will provide not only the quality parameters of the surface layer, but also the required operational properties, are described.

  20. Data processing

    International Nuclear Information System (INIS)

    Cousot, P.

    1988-01-01

    The 1988 progress report of the Data Processing laboratory (Polytechnic School, France), is presented. The laboratory research fields are: the semantics, the tests and the semantic analysis of the codes, the formal calculus, the software applications, the algorithms, the neuron networks and VLSI (Very Large Scale Integration). The investigations concerning the polynomial rings are performed by means of the standard basis approach. Among the research topics, the Pascal codes, the parallel processing, the combinatorial, statistical and asymptotic properties of the fundamental data processing tools, the signal processing and the pattern recognition. The published papers, the congress communications and the thesis are also included [fr

  1. PROCESS VARIABILITY REDUCTION THROUGH STATISTICAL PROCESS CONTROL FOR QUALITY IMPROVEMENT

    Directory of Open Access Journals (Sweden)

    B.P. Mahesh

    2010-09-01

    Full Text Available Quality has become one of the most important customer decision factors in the selection among the competing product and services. Consequently, understanding and improving quality is a key factor leading to business success, growth and an enhanced competitive position. Hence quality improvement program should be an integral part of the overall business strategy. According to TQM, the effective way to improve the Quality of the product or service is to improve the process used to build the product. Hence, TQM focuses on process, rather than results as the results are driven by the processes. Many techniques are available for quality improvement. Statistical Process Control (SPC is one such TQM technique which is widely accepted for analyzing quality problems and improving the performance of the production process. This article illustrates the step by step procedure adopted at a soap manufacturing company to improve the Quality by reducing process variability using Statistical Process Control.

  2. Emotional Processing, Interaction Process, and Outcome in Clarification-Oriented Psychotherapy for Personality Disorders: A Process-Outcome Analysis.

    Science.gov (United States)

    Kramer, Ueli; Pascual-Leone, Antonio; Rohde, Kristina B; Sachse, Rainer

    2016-06-01

    It is important to understand the change processes involved in psychotherapies for patients with personality disorders (PDs). One patient process that promises to be useful in relation to the outcome of psychotherapy is emotional processing. In the present process-outcome analysis, we examine this question by using a sequential model of emotional processing and by additionally taking into account a therapist's appropriate responsiveness to a patient's presentation in clarification-oriented psychotherapy (COP), a humanistic-experiential form of therapy. The present study involved 39 patients with a range of PDs undergoing COP. Session 25 was assessed as part of the working phase of each therapy by external raters in terms of emotional processing using the Classification of Affective-Meaning States (CAMS) and in terms of the overall quality of therapist-patient interaction using the Process-Content-Relationship Scale (BIBS). Treatment outcome was assessed pre- and post-therapy using the Global Severity Index (GSI) of the SCL-90-R and the BDI. Results indicate that the good outcome cases showed more self-compassion, more rejecting anger, and a higher quality of therapist-patient interaction compared to poorer outcome cases. For good outcome cases, emotional processing predicted 18% of symptom change at the end of treatment, which was not found for poor outcome cases. These results are discussed within the framework of an integrative understanding of emotional processing as an underlying mechanism of change in COP, and perhaps in other effective therapy approaches for PDs.

  3. Process Materialization Using Templates and Rules to Design Flexible Process Models

    Science.gov (United States)

    Kumar, Akhil; Yao, Wen

    The main idea in this paper is to show how flexible processes can be designed by combining generic process templates and business rules. We instantiate a process by applying rules to specific case data, and running a materialization algorithm. The customized process instance is then executed in an existing workflow engine. We present an architecture and also give an algorithm for process materialization. The rules are written in a logic-based language like Prolog. Our focus is on capturing deeper process knowledge and achieving a holistic approach to robust process design that encompasses control flow, resources and data, as well as makes it easier to accommodate changes to business policy.

  4. Thin film processes

    CERN Document Server

    Vossen, John L

    1978-01-01

    Remarkable advances have been made in recent years in the science and technology of thin film processes for deposition and etching. It is the purpose of this book to bring together tutorial reviews of selected filmdeposition and etching processes from a process viewpoint. Emphasis is placed on the practical use of the processes to provide working guidelines for their implementation, a guide to the literature, and an overview of each process.

  5. Partial processing

    International Nuclear Information System (INIS)

    1978-11-01

    This discussion paper considers the possibility of applying to the recycle of plutonium in thermal reactors a particular method of partial processing based on the PUREX process but named CIVEX to emphasise the differences. The CIVEX process is based primarily on the retention of short-lived fission products. The paper suggests: (1) the recycle of fission products with uranium and plutonium in thermal reactor fuel would be technically feasible; (2) it would, however, take ten years or more to develop the CIVEX process to the point where it could be launched on a commercial scale; (3) since the majority of spent fuel to be reprocessed this century will have been in storage for ten years or more, the recycling of short-lived fission products with the U-Pu would not provide an effective means of making refabrication fuel ''inaccessible'' because the radioactivity associated with the fission products would have decayed. There would therefore be no advantage in partial processing

  6. Assessment of Process Capability: the case of Soft Drinks Processing Unit

    Science.gov (United States)

    Sri Yogi, Kottala

    2018-03-01

    The process capability studies have significant impact in investigating process variation which is important in achieving product quality characteristics. Its indices are to measure the inherent variability of a process and thus to improve the process performance radically. The main objective of this paper is to understand capability of the process being produced within specification of the soft drinks processing unit, a premier brands being marketed in India. A few selected critical parameters in soft drinks processing: concentration of gas volume, concentration of brix, torque of crock has been considered for this study. Assessed some relevant statistical parameters: short term capability, long term capability as a process capability indices perspective. For assessment we have used real time data of soft drinks bottling company which is located in state of Chhattisgarh, India. As our research output suggested reasons for variations in the process which is validated using ANOVA and also predicted Taguchi cost function, assessed also predicted waste monetarily this shall be used by organization for improving process parameters. This research work has substantially benefitted the organization in understanding the various variations of selected critical parameters for achieving zero rejection.

  7. Perturbed GUE Minor Process and Warren's Process with Drifts

    Science.gov (United States)

    Ferrari, Patrik L.; Frings, René

    2014-01-01

    We consider the minor process of (Hermitian) matrix diffusions with constant diagonal drifts. At any given time, this process is determinantal and we provide an explicit expression for its correlation kernel. This is a measure on the Gelfand-Tsetlin pattern that also appears in a generalization of Warren's process (Electron. J. Probab. 12:573-590, 2007), in which Brownian motions have level-dependent drifts. Finally, we show that this process arises in a diffusion scaling limit from an interacting particle system in the anisotropic KPZ class in 2+1 dimensions introduced in Borodin and Ferrari (Commun. Math. Phys., 2008). Our results generalize the known results for the zero drift situation.

  8. Integrated stationary Ornstein-Uhlenbeck process, and double integral processes

    Science.gov (United States)

    Abundo, Mario; Pirozzi, Enrica

    2018-03-01

    We find a representation of the integral of the stationary Ornstein-Uhlenbeck (ISOU) process in terms of Brownian motion Bt; moreover, we show that, under certain conditions on the functions f and g , the double integral process (DIP) D(t) = ∫βt g(s) (∫αs f(u) dBu) ds can be thought as the integral of a suitable Gauss-Markov process. Some theoretical and application details are given, among them we provide a simulation formula based on that representation by which sample paths, probability densities and first passage times of the ISOU process are obtained; the first-passage times of the DIP are also studied.

  9. Integrating textual and model-based process descriptions for comprehensive process search

    NARCIS (Netherlands)

    Leopold, Henrik; van der Aa, Han; Pittke, Fabian; Raffel, Manuel; Mendling, Jan; Reijers, Hajo A.

    2016-01-01

    Documenting business processes using process models is common practice in many organizations. However, not all process information is best captured in process models. Hence, many organizations complement these models with textual descriptions that specify additional details. The problem with this

  10. Neurological evidence linguistic processes precede perceptual simulation in conceptual processing.

    Science.gov (United States)

    Louwerse, Max; Hutchinson, Sterling

    2012-01-01

    There is increasing evidence from response time experiments that language statistics and perceptual simulations both play a role in conceptual processing. In an EEG experiment we compared neural activity in cortical regions commonly associated with linguistic processing and visual perceptual processing to determine to what extent symbolic and embodied accounts of cognition applied. Participants were asked to determine the semantic relationship of word pairs (e.g., sky - ground) or to determine their iconic relationship (i.e., if the presentation of the pair matched their expected physical relationship). A linguistic bias was found toward the semantic judgment task and a perceptual bias was found toward the iconicity judgment task. More importantly, conceptual processing involved activation in brain regions associated with both linguistic and perceptual processes. When comparing the relative activation of linguistic cortical regions with perceptual cortical regions, the effect sizes for linguistic cortical regions were larger than those for the perceptual cortical regions early in a trial with the reverse being true later in a trial. These results map upon findings from other experimental literature and provide further evidence that processing of concept words relies both on language statistics and on perceptual simulations, whereby linguistic processes precede perceptual simulation processes.

  11. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  12. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  13. Industrial processing versus home processing of tomato sauce

    NARCIS (Netherlands)

    Tomas, Merve; Beekwilder, Jules; Hall, Robert D.; Sagdic, Osman; Boyacioglu, Dilek; Capanoglu, Esra

    2017-01-01

    The effect of industrial and home processing, in vitro gastrointestinal digestion, individual phenolic content, and antioxidant capacity of tomato into tomato sauce were investigated. Industrial processing of tomato fruit into sauce had an overall positive effect on the total antioxidant capacity

  14. Genetic process mining

    NARCIS (Netherlands)

    Aalst, van der W.M.P.; Alves De Medeiros, A.K.; Weijters, A.J.M.M.; Ciardo, G.; Darondeau, P.

    2005-01-01

    The topic of process mining has attracted the attention of both researchers and tool vendors in the Business Process Management (BPM) space. The goal of process mining is to discover process models from event logs, i.e., events logged by some information system are used to extract information about

  15. Marshaling and Acquiring Resources for the Process Improvement Process

    Science.gov (United States)

    1993-06-01

    stakeholders. ( Geber , 1990) D. IDENTIFYING SUPPLIERS Suppliers are just as crucial to setting requirements for processes as are customers. Although...output ( Geber , 1990, p. 32). Before gathering resources for process improvement, the functional manager must ensure that the relationship of internal...him patent information and clerical people process his applications. ( Geber , 1990, pp. 29-34) To get the full benefit of a white-collar worker as a

  16. Process for recovering uranium from wet process phosphoric acid (III)

    International Nuclear Information System (INIS)

    Pyrih, R.Z.; Rickard, R.S.; Carrington, O.F.

    1983-01-01

    Uranium is conventionally recovered from wet-process phosphoric acid by two liquid ion exchange steps using a mixture of mono- and disubstituted phenyl esters of orthophosphoric acid (OPPA). Efficiency of the process drops as the mono-OPPA is lost preferentially to the aqueous phase. This invention provides a process for the removal of the uranium process organics (OPPA and organic solvents) from the raffinate of the first liquid ion exchange step and their return to the circuit. The process organics are removed by a combination flotation and absorption step, which results in the recovery of the organics on beads of a hydrophobic styrene polymer

  17. Extensible packet processing architecture

    Science.gov (United States)

    Robertson, Perry J.; Hamlet, Jason R.; Pierson, Lyndon G.; Olsberg, Ronald R.; Chun, Guy D.

    2013-08-20

    A technique for distributed packet processing includes sequentially passing packets associated with packet flows between a plurality of processing engines along a flow through data bus linking the plurality of processing engines in series. At least one packet within a given packet flow is marked by a given processing engine to signify by the given processing engine to the other processing engines that the given processing engine has claimed the given packet flow for processing. A processing function is applied to each of the packet flows within the processing engines and the processed packets are output on a time-shared, arbitered data bus coupled to the plurality of processing engines.

  18. Process intensification on membrane-based process for blackcurrant juice concentration

    DEFF Research Database (Denmark)

    Fjerbæk Søtoft, Lene; Rong, Ben-Guang; Christensen, Knud Villy

    Juice concentrate production is a field where process intensification and novel concentration processes need to be implemented. The paper presents a systematic approach for process synthesis based on membrane processes for the concentration of blackcurrant juice, exemplified by the aroma recovery...... using combinations of vacuum membrane distillation and traditional distillation. Furthermore, the paper further suggests a novel method for the combination of nanofiltration, reverse osmosis and membrane distillation for the concentration of the dearomatized juice....

  19. Application of various technological processes in red clover seed processing

    OpenAIRE

    Đokić, Dragoslav; Stanisavljević, Rade; Terzić, Dragan; Marković, Jordan; Radivojević, Gordana; Anđelković, Bojan; Barać, Saša

    2012-01-01

    This paper presents the results of the processing of natural red clover seed on the processing equipment using different technological methods. Red clover seed, for the establishment and crop utilization, must be of high purity, germination, and high genetic values. These requirements are achieved by processing, or removing impurities and poor quality seeds. Red clover seed processing involves a number of operations, of which the most important are: cleaning, packaging, labeling and storage. ...

  20. CO-PRODUCT ENHANCEMENT AND DEVELOPMENT FOR THE MASADA OXYNOL PROCESS PROCESS

    Energy Technology Data Exchange (ETDEWEB)

    Donald V. Watkins

    2010-06-14

    The focus of this project was an overall process improvement through the enhancement of the co-product streams. The enhancement of the process operations and co-products will increase both ethanol production and the value of other process outputs and reduces the amount of waste byproducts. This leads to a more economical and environmentally sound alternative to landfill disposal of municipal solid waste (MSW). These enhancements can greatly increase the commercial potential for the production of ethanol from MSW by the Masada CES OxyNol process. Both technological and economical issues were considered for steps throughout the conversion process. The research efforts of this project are varied but synergistic. The project investigated many of the operations involved in the Masada process with the overall goal of process improvements. The general goal of the testing was to improve co-product quality, improve conversions efficiencies, minimize process losses, increase energy efficiency, and mitigate process and commercialization risks. The project was divided into 16 subtasks as described in general terms below. All these tasks are interrelated but not necessarily interdependent.

  1. Modeling the Object-Oriented Software Process: OPEN and the Unified Process

    NARCIS (Netherlands)

    van den Berg, Klaas; Aksit, Mehmet; van den Broek, P.M.

    A short introduction to software process modeling is presented, particularly object-oriented modeling. Two major industrial process models are discussed: the OPEN model and the Unified Process model. In more detail, the quality assurance in the Unified Process tool (formally called Objectory) is

  2. Links between attachment and social information processing: examination of intergenerational processes.

    Science.gov (United States)

    Dykas, Matthew J; Ehrlich, Katherine B; Cassidy, Jude

    2011-01-01

    This chapter describes theory and research on intergenerational connections between parents' attachment and children's social information processing, as well as between parents' social information processing and children's attachment. The chapter begins with a discussion of attachment theorists' early insights into the role that social information processing plays in attachment processes. Next, current theory about the mechanisms through which cross-generational links between attachment and social information processing might emerge is presented. The central proposition is that the quality of attachment and/or the social information processing of the parent contributes to the quality of attachment and/or social information processing in the child, and these links emerge through mediating processes related to social learning, open communication, gate-keeping, emotion regulation, and joint attention. A comprehensive review of the literature is then presented. The chapter ends with the presentation of a current theoretical perspective and suggestions for future empirical and clinical endeavors.

  3. A Repeatable Collaboration Process for Exploring Business Process Improvement Alternatives

    NARCIS (Netherlands)

    Sol, H G; Amiyo, Mercy; Nabukenya, J.

    2012-01-01

    The dynamic nature of organisations has increased demand for business process agility leading to the adoption of continuous Business Process Improvement (BPI). Success of BPI projects calls for continuous process analysis and exploration of several improvement alternatives. These activities are

  4. Processing approaches to cognition: the impetus from the levels-of-processing framework.

    Science.gov (United States)

    Roediger, Henry L; Gallo, David A; Geraci, Lisa

    2002-01-01

    Processing approaches to cognition have a long history, from act psychology to the present, but perhaps their greatest boost was given by the success and dominance of the levels-of-processing framework. We review the history of processing approaches, and explore the influence of the levels-of-processing approach, the procedural approach advocated by Paul Kolers, and the transfer-appropriate processing framework. Processing approaches emphasise the procedures of mind and the idea that memory storage can be usefully conceptualised as residing in the same neural units that originally processed information at the time of encoding. Processing approaches emphasise the unity and interrelatedness of cognitive processes and maintain that they can be dissected into separate faculties only by neglecting the richness of mental life. We end by pointing to future directions for processing approaches.

  5. Editorial: "Business process intelligence : connecting data and processes"

    NARCIS (Netherlands)

    Aalst, van der W.M.P.; Zhao, J.L.; Wang, H.; Wang, Harry Jiannan

    2015-01-01

    This introduction to the special issue on Business Process Intelligence (BPI) discusses the relation between data and processes. The recent attention for Big Data illustrates that organizations are aware of the potential of the torrents of data generated by today's information systems. However, at

  6. Modeling the Object-Oriented Software Process: OPEN and the Unified Process

    OpenAIRE

    van den Berg, Klaas; Aksit, Mehmet; van den Broek, P.M.

    1999-01-01

    A short introduction to software process modeling is presented, particularly object-oriented modeling. Two major industrial process models are discussed: the OPEN model and the Unified Process model. In more detail, the quality assurance in the Unified Process tool (formally called Objectory) is reviewed.

  7. Process modelling on a canonical basis[Process modelling; Canonical modelling

    Energy Technology Data Exchange (ETDEWEB)

    Siepmann, Volker

    2006-12-20

    Based on an equation oriented solving strategy, this thesis investigates a new approach to process modelling. Homogeneous thermodynamic state functions represent consistent mathematical models of thermodynamic properties. Such state functions of solely extensive canonical state variables are the basis of this work, as they are natural objective functions in optimisation nodes to calculate thermodynamic equilibrium regarding phase-interaction and chemical reactions. Analytical state function derivatives are utilised within the solution process as well as interpreted as physical properties. By this approach, only a limited range of imaginable process constraints are considered, namely linear balance equations of state variables. A second-order update of source contributions to these balance equations is obtained by an additional constitutive equation system. These equations are general dependent on state variables and first-order sensitivities, and cover therefore practically all potential process constraints. Symbolic computation technology efficiently provides sparsity and derivative information of active equations to avoid performance problems regarding robustness and computational effort. A benefit of detaching the constitutive equation system is that the structure of the main equation system remains unaffected by these constraints, and a priori information allows to implement an efficient solving strategy and a concise error diagnosis. A tailor-made linear algebra library handles the sparse recursive block structures efficiently. The optimisation principle for single modules of thermodynamic equilibrium is extended to host entire process models. State variables of different modules interact through balance equations, representing material flows from one module to the other. To account for reusability and encapsulation of process module details, modular process modelling is supported by a recursive module structure. The second-order solving algorithm makes it

  8. Uranium enrichment. Enrichment processes

    International Nuclear Information System (INIS)

    Alexandre, M.; Quaegebeur, J.P.

    2009-01-01

    Despite the remarkable progresses made in the diversity and the efficiency of the different uranium enrichment processes, only two industrial processes remain today which satisfy all of enriched uranium needs: the gaseous diffusion and the centrifugation. This article describes both processes and some others still at the demonstration or at the laboratory stage of development: 1 - general considerations; 2 - gaseous diffusion: physical principles, implementation, utilisation in the world; 3 - centrifugation: principles, elementary separation factor, flows inside a centrifuge, modeling of separation efficiencies, mechanical design, types of industrial centrifuges, realisation of cascades, main characteristics of the centrifugation process; 4 - aerodynamic processes: vortex process, nozzle process; 5 - chemical exchange separation processes: Japanese ASAHI process, French CHEMEX process; 6 - laser-based processes: SILVA process, SILMO process; 7 - electromagnetic and ionic processes: mass spectrometer and calutron, ion cyclotron resonance, rotating plasmas; 8 - thermal diffusion; 9 - conclusion. (J.S.)

  9. Science-based information processing in the process control of power stations

    International Nuclear Information System (INIS)

    Weisang, C.

    1992-01-01

    Through the application of specialized systems, future-orientated information processing integrates the sciences of processes, control systems, process control strategies, user behaviour and ergonomics. Improvements in process control can be attained, inter alia, by the preparation of the information contained (e.g. by suppressing the flow of signals and replacing it with signals which are found on substance) and also by an ergonomic representation of the study of the process. (orig.) [de

  10. Process mining: discovering direct successors in process logs

    NARCIS (Netherlands)

    Maruster, L.; Weijters, A.J.M.M.; Aalst, van der W.M.P.; Bosch, van den A.P.J.; Lange, S.; Satoh, K.; Smith, C.H.

    2002-01-01

    Workflow management technology requires the existence of explicit process models, i.e. a completely specified workflow design needs to be developed in order to enact a given workflow process. Such a workflow design is time consuming and often subjective and incomplete. We propose a learning method

  11. Internal process: what is abstraction and distortion process?

    Science.gov (United States)

    Fiantika, F. R.; Budayasa, I. K.; Lukito, A.

    2018-03-01

    Geometry is one of the branch of mathematics that plays a major role in the development of science and technology. Thus, knowing the geometry concept is needed for students from their early basic level of thinking. A preliminary study showed that the elementary students have difficulty in perceiving parallelogram shape in a 2-dimention of a cube drawing as a square shape. This difficulty makes the students can not solve geometrical problems correctly. This problem is related to the internal thinking process in geometry. We conducted the exploration of students’ internal thinking processes in geometry particularly in distinguishing the square and parallelogram shape. How the students process their internal thinking through distortion and abstraction is the main aim of this study. Analysis of the geometrical test and deep interview are used in this study to obtain the data. The result of this study is there are two types of distortion and abstraction respectively in which the student used in their internal thinking processes.

  12. Nonaqueous processing methods

    International Nuclear Information System (INIS)

    Coops, M.S.; Bowersox, D.F.

    1984-09-01

    A high-temperature process utilizing molten salt extraction from molten metal alloys has been developed for purification of spent power reactor fuels. Experiments with laboratory-scale processing operations show that purification and throughput parameters comparable to the Barnwell Purex process can be achieved by pyrochemical processing in equipment one-tenth the size, with all wastes being discharged as stable metal alloys at greatly reduced volume and disposal cost. This basic technology can be developed for large-scale processing of spent reactor fuels. 13 references, 4 figures

  13. Transforming Collaborative Process Models into Interface Process Models by Applying an MDA Approach

    Science.gov (United States)

    Lazarte, Ivanna M.; Chiotti, Omar; Villarreal, Pablo D.

    Collaborative business models among enterprises require defining collaborative business processes. Enterprises implement B2B collaborations to execute these processes. In B2B collaborations the integration and interoperability of processes and systems of the enterprises are required to support the execution of collaborative processes. From a collaborative process model, which describes the global view of the enterprise interactions, each enterprise must define the interface process that represents the role it performs in the collaborative process in order to implement the process in a Business Process Management System. Hence, in this work we propose a method for the automatic generation of the interface process model of each enterprise from a collaborative process model. This method is based on a Model-Driven Architecture to transform collaborative process models into interface process models. By applying this method, interface processes are guaranteed to be interoperable and defined according to a collaborative process.

  14. Z-buffer image assembly processing in high parallel visualization processing

    International Nuclear Information System (INIS)

    Kaneko, Isamu; Muramatsu, Kazuhiro

    2000-03-01

    On the platform of the parallel computer with many processors, the domain decomposition method is used as a popular means of parallel processing. In these days when the simulation scale becomes much larger and takes a lot of time, the simultaneous visualization processing with the actual computation is much more needed, and especially in case of a real-time visualization, the domain decomposition technique is indispensable. In case of parallel rendering processing, the rendered results must be gathered to one processor to compose the integrated picture in the last stage. This integration is usually conducted by the method using Z-buffer values. This process, however, induces the crucial problems of much lower speed processing and local memory shortage in case of parallel processing exceeding more than several tens of processors. In this report, the two new solutions are proposed. The one is the adoption of a special operator (Reduce operator) in the parallelization process, and the other is a buffer compression by deleting the background informations. This report includes the performance results of these new techniques to investigate their effect with use of the parallel computer Paragon. (author)

  15. Explosive processes in nucleosynthesis

    International Nuclear Information System (INIS)

    Boyd, R.N.

    2002-01-01

    There are many explosive processes in nucleosynthesis: big bang nucleosynthesis, the rp-process, the γ-process, the ν-process, and the r-process. However, I will discuss just the rp-process and the r-process in detail, primarily because both seem to have been very active research areas of late, and because they have great potential for studies with radioactive nuclear beams. I will also discuss briefly the γ-process because of its inevitability in conjunction with the rp-process. (orig.)

  16. Processing Depth, Elaboration of Encoding, Memory Stores, and Expended Processing Capacity.

    Science.gov (United States)

    Eysenck, Michael W.; Eysenck, M. Christine

    1979-01-01

    The effects of several factors on expended processing capacity were measured. Expended processing capacity was greater when information was retrieved from secondary memory than from primary memory, when processing was of a deep, semantic nature than when it was shallow and physical, and when processing was more elaborate. (Author/GDC)

  17. Sewer Processes

    DEFF Research Database (Denmark)

    Hvitved-Jacobsen, Thorkild; Vollertsen, Jes; Nielsen, Asbjørn Haaning

    Since the first edition was published over a decade ago, advancements have been made in the design, operation, and maintenance of sewer systems, and new problems have emerged. For example, sewer processes are now integrated in computer models, and simultaneously, odor and corrosion problems caused...... by hydrogen sulfide and other volatile organic compounds, as well as other potential health issues, have caused environmental concerns to rise. Reflecting the most current developments, Sewer Processes: Microbial and Chemical Process Engineering of Sewer Networks, Second Edition, offers the reader updated...... and valuable information on the sewer as a chemical and biological reactor. It focuses on how to predict critical impacts and control adverse effects. It also provides an integrated description of sewer processes in modeling terms. This second edition is full of illustrative examples and figures, includes...

  18. AN OVERVIEW OF PHARMACEUTICAL PROCESS VALIDATION AND PROCESS CONTROL VARIABLES OF TABLETS MANUFACTURING PROCESSES IN INDUSTRY

    OpenAIRE

    Mahesh B. Wazade*, Sheelpriya R. Walde and Abhay M. Ittadwar

    2012-01-01

    Validation is an integral part of quality assurance; the product quality is derived from careful attention to a number of factors including selection of quality parts and materials, adequate product and manufacturing process design, control of the process variables, in-process and end-product testing. Recently validation has become one of the pharmaceutical industry’s most recognized and discussed subjects. It is a critical success factor in product approval and ongoing commercialization, fac...

  19. Phenomena based Methodology for Process Synthesis incorporating Process Intensification

    DEFF Research Database (Denmark)

    Lutze, Philip; Babi, Deenesh Kavi; Woodley, John

    2013-01-01

    at processes at the lowest level of aggregation which is the phenomena level. In this paper, a phenomena based synthesis/design methodology incorporating process intensification is presented. Using this methodology, a systematic identification of necessary and desirable (integrated) phenomena as well......Process intensification (PI) has the potential to improve existing as well as conceptual processes, in order to achieve a more sustainable production. PI can be achieved at different levels. That is, the unit operations, functional and/or phenomena level. The highest impact is expected by looking...... as generation and screening of phenomena based flowsheet options are presented using a decomposition based solution approach. The developed methodology as well as necessary tools and supporting methods are highlighted through a case study involving the production of isopropyl-acetate....

  20. Minimal and careful processing

    OpenAIRE

    Nielsen, Thorkild

    2004-01-01

    In several standards, guidelines and publications, organic food processing is strongly associated with "minimal processing" and "careful processing". The term "minimal processing" is nowadays often used in the general food processing industry and described in literature. The term "careful processing" is used more specifically within organic food processing but is not yet clearly defined. The concept of carefulness seems to fit very well with the processing of organic foods, especially if it i...

  1. The Newest Laser Processing

    International Nuclear Information System (INIS)

    Lee, Baek Yeon

    2007-01-01

    This book mentions laser processing with laser principle, laser history, laser beam property, laser kinds, foundation of laser processing such as laser oscillation, characteristic of laser processing, laser for processing and its characteristic, processing of laser hole including conception of processing of laser hole and each material, and hole processing of metal material, cut of laser, reality of cut, laser welding, laser surface hardening, application case of special processing and safety measurement of laser.

  2. Process Integration Analysis of an Industrial Hydrogen Production Process

    OpenAIRE

    Stolten, Detlef; Grube, Thomas; Tock, Laurence; Maréchal, François; Metzger, Christian; Arpentinier, Philippe

    2010-01-01

    The energy efficiency of an industrial hydrogen production process using steam methane reforming (SMR) combined with the water gas shift reaction (WGS) is analyzed using process integration techniques based on heat cascade calculation and pinch analysis with the aim of identifying potential measures to enhance the process performance. The challenge is to satisfy the high temperature heat demand of the SMR reaction by minimizing the consumption of natural gas to feed the combustion and to expl...

  3. Developing engineering processes through integrated modelling of product and process

    DEFF Research Database (Denmark)

    Nielsen, Jeppe Bjerrum; Hvam, Lars

    2012-01-01

    This article aims at developing an operational tool for integrated modelling of product assortments and engineering processes in companies making customer specific products. Integrating a product model in the design of engineering processes will provide a deeper understanding of the engineering...... activities as well as insight into how product features affect the engineering processes. The article suggests possible ways of integrating models of products with models of engineering processes. The models have been tested and further developed in an action research study carried out in collaboration...... with a major international engineering company....

  4. Process-based costing.

    Science.gov (United States)

    Lee, Robert H; Bott, Marjorie J; Forbes, Sarah; Redford, Linda; Swagerty, Daniel L; Taunton, Roma Lee

    2003-01-01

    Understanding how quality improvement affects costs is important. Unfortunately, low-cost, reliable ways of measuring direct costs are scarce. This article builds on the principles of process improvement to develop a costing strategy that meets both criteria. Process-based costing has 4 steps: developing a flowchart, estimating resource use, valuing resources, and calculating direct costs. To illustrate the technique, this article uses it to cost the care planning process in 3 long-term care facilities. We conclude that process-based costing is easy to implement; generates reliable, valid data; and allows nursing managers to assess the costs of new or modified processes.

  5. Colloid process engineering

    CERN Document Server

    Peukert, Wolfgang; Rehage, Heinz; Schuchmann, Heike

    2015-01-01

    This book deals with colloidal systems in technical processes and the influence of colloidal systems by technical processes. It explores how new measurement capabilities can offer the potential for a dynamic development of scientific and engineering, and examines the origin of colloidal systems and its use for new products. The future challenges to colloidal process engineering are the development of appropriate equipment and processes for the production and obtainment of multi-phase structures and energetic interactions in market-relevant quantities. The book explores the relevant processes and for controlled production and how they can be used across all scales.

  6. Laser Processing of Multilayered Thermal Spray Coatings: Optimal Processing Parameters

    Science.gov (United States)

    Tewolde, Mahder; Zhang, Tao; Lee, Hwasoo; Sampath, Sanjay; Hwang, David; Longtin, Jon

    2017-12-01

    Laser processing offers an innovative approach for the fabrication and transformation of a wide range of materials. As a rapid, non-contact, and precision material removal technology, lasers are natural tools to process thermal spray coatings. Recently, a thermoelectric generator (TEG) was fabricated using thermal spray and laser processing. The TEG device represents a multilayer, multimaterial functional thermal spray structure, with laser processing serving an essential role in its fabrication. Several unique challenges are presented when processing such multilayer coatings, and the focus of this work is on the selection of laser processing parameters for optimal feature quality and device performance. A parametric study is carried out using three short-pulse lasers, where laser power, repetition rate and processing speed are varied to determine the laser parameters that result in high-quality features. The resulting laser patterns are characterized using optical and scanning electron microscopy, energy-dispersive x-ray spectroscopy, and electrical isolation tests between patterned regions. The underlying laser interaction and material removal mechanisms that affect the feature quality are discussed. Feature quality was found to improve both by using a multiscanning approach and an optional assist gas of air or nitrogen. Electrically isolated regions were also patterned in a cylindrical test specimen.

  7. Application of statistical process control and process capability analysis procedures in orbiter processing activities at the Kennedy Space Center

    Science.gov (United States)

    Safford, Robert R.; Jackson, Andrew E.; Swart, William W.; Barth, Timothy S.

    1994-01-01

    Successful ground processing at KSC requires that flight hardware and ground support equipment conform to specifications at tens of thousands of checkpoints. Knowledge of conformance is an essential requirement for launch. That knowledge of conformance at every requisite point does not, however, enable identification of past problems with equipment, or potential problem areas. This paper describes how the introduction of Statistical Process Control and Process Capability Analysis identification procedures into existing shuttle processing procedures can enable identification of potential problem areas and candidates for improvements to increase processing performance measures. Results of a case study describing application of the analysis procedures to Thermal Protection System processing are used to illustrate the benefits of the approaches described in the paper.

  8. Westinghouse modular grinding process - improvement for follow on processes

    Energy Technology Data Exchange (ETDEWEB)

    Fehrmann, Henning [Westinghouse Germany GmbH, Mannheim, State (Germany)

    2013-07-01

    In nuclear power plants (NPP) ion exchange (IX) resins are used in several systems for water treatment. The resins can be in bead or powdered form. For waste treatment of spent IX resins, two methods are basically used: Direct immobilization (e.g. with cement, bitumen, polymer or High Integrity Container (HIC)); Thermal treatment (e.g. drying, oxidation or pyrolysis). Bead resins have some properties (e.g. particle size and density) that can have negative impacts on following waste treatment processes. Negative impacts could be: Floatation of bead resins in cementation process; Sedimentation in pipeline during transportation; Poor compaction properties for Hot Resin Supercompaction (HRSC). Reducing the particle size of the bead resins can have beneficial effects enhancing further treatment processes and overcoming prior mentioned effects. Westinghouse Electric Company has developed a modular grinding process to crush/grind the bead resins. This modular process is designed for flexible use and enables a selective adjustment of particle size to tailor the grinding system to the customer needs. The system can be equipped with a crusher integrated in the process tank and if necessary a colloid mill. The crusher reduces the bead resins particle size and converts the bead resins to a pump able suspension with lower sedimentation properties. With the colloid mill the resins can be ground to a powder. Compared to existing grinding systems this equipment is designed to minimize radiation exposure of the worker during operation and maintenance. Using the crushed and/or ground bead resins has several beneficial effects like facilitating cementation process and recipe development, enhancing oxidation of resins, improving the Hot Resin Supercompaction volume reduction performance. (authors)

  9. Westinghouse modular grinding process - improvement for follow on processes

    International Nuclear Information System (INIS)

    Fehrmann, Henning

    2013-01-01

    In nuclear power plants (NPP) ion exchange (IX) resins are used in several systems for water treatment. The resins can be in bead or powdered form. For waste treatment of spent IX resins, two methods are basically used: Direct immobilization (e.g. with cement, bitumen, polymer or High Integrity Container (HIC)); Thermal treatment (e.g. drying, oxidation or pyrolysis). Bead resins have some properties (e.g. particle size and density) that can have negative impacts on following waste treatment processes. Negative impacts could be: Floatation of bead resins in cementation process; Sedimentation in pipeline during transportation; Poor compaction properties for Hot Resin Supercompaction (HRSC). Reducing the particle size of the bead resins can have beneficial effects enhancing further treatment processes and overcoming prior mentioned effects. Westinghouse Electric Company has developed a modular grinding process to crush/grind the bead resins. This modular process is designed for flexible use and enables a selective adjustment of particle size to tailor the grinding system to the customer needs. The system can be equipped with a crusher integrated in the process tank and if necessary a colloid mill. The crusher reduces the bead resins particle size and converts the bead resins to a pump able suspension with lower sedimentation properties. With the colloid mill the resins can be ground to a powder. Compared to existing grinding systems this equipment is designed to minimize radiation exposure of the worker during operation and maintenance. Using the crushed and/or ground bead resins has several beneficial effects like facilitating cementation process and recipe development, enhancing oxidation of resins, improving the Hot Resin Supercompaction volume reduction performance. (authors)

  10. Defense waste processing facility precipitate hydrolysis process

    International Nuclear Information System (INIS)

    Doherty, J.P.; Eibling, R.E.; Marek, J.C.

    1986-03-01

    Sodium tetraphenylborate and sodium titanate are used to assist in the concentration of soluble radionuclide in the Savannah River Plant's high-level waste. In the Defense Waste Processing Facility, concentrated tetraphenylborate/sodium titanate slurry containing cesium-137, strontium-90 and traces of plutonium from the waste tank farm is hydrolyzed in the Salt Processing Cell forming organic and aqueous phases. The two phases are then separated and the organic phase is decontaminated for incineration outside the DWPF building. The aqueous phase, containing the radionuclides and less than 10% of the original organic, is blended with the insoluble radionuclides in the high-level waste sludge and is fed to the glass melter for vitrification into borosilicate glass. During the Savannah River Laboratory's development of this process, copper (II) was found to act as a catalyst during the hydrolysis reactions, which improved the organic removal and simplified the design of the reactor

  11. On some applications of diffusion processes for image processing

    International Nuclear Information System (INIS)

    Morfu, S.

    2009-01-01

    We propose a new algorithm inspired by the properties of diffusion processes for image filtering. We show that purely nonlinear diffusion processes ruled by Fisher equation allows contrast enhancement and noise filtering, but involves a blurry image. By contrast, anisotropic diffusion, described by Perona and Malik algorithm, allows noise filtering and preserves the edges. We show that combining the properties of anisotropic diffusion with those of nonlinear diffusion provides a better processing tool which enables noise filtering, contrast enhancement and edge preserving.

  12. Grind hardening process

    CERN Document Server

    Salonitis, Konstantinos

    2015-01-01

    This book presents the grind-hardening process and the main studies published since it was introduced in 1990s.  The modelling of the various aspects of the process, such as the process forces, temperature profile developed, hardness profiles, residual stresses etc. are described in detail. The book is of interest to the research community working with mathematical modeling and optimization of manufacturing processes.

  13. Transuranium processing plant

    International Nuclear Information System (INIS)

    King, L.J.

    1983-01-01

    The Transuranium Processing Plant (TRU) is a remotely operated, hot-cell, chemical processing facility of advanced design. The heart of TRU is a battery of nine heavily shielded process cells housed in a two-story building. Each cell, with its 54-inch-thick walls of a special high-density concrete, has enough shielding to stop the neutrons and gamma radiation from 1 gram of 252/sub Cf/ and associated fission products. Four cells contain chemical processing equipment, three contain equipment for the preparation and inspection of HFIR targets, and two cells are used for analytical chemistry operations. In addition, there are eight laboratories used for process development, for part of the process-control analyses, and for product finishing operations. Although the Transuranium Processing Plant was built for the purpose of recovering transuranium elements from targets irradiated in the High Flux Isotope Reactor (HFIR), it is also a highly versatile facility which has extensive provisions for changing and modifying equipment. Thus, it was a relatively simple matter to install a Solvent Extraction Test Facility (SETF) in one of the TRU chemical processing cells for use in the evaluation and demonstration of solvent extraction flowsheets for the recovery of fissile and fertile materials from irradiated reactor fuels. The equipment in the SETF has been designed for process development and demonstrations and the particular type of mixer-settler contactors was chosen because it is easy to observe and sample

  14. Welding processes handbook

    CERN Document Server

    Weman, Klas

    2003-01-01

    Deals with the main commercially significant and commonly used welding processes. This title takes the student or novice welder through the individual steps involved in each process in an easily understood way. It covers many of the requirements referred to in European Standards including EN719, EN 729, EN 729 and EN 287.$bWelding processes handbook is a concise, explanatory guide to the main commercially significant and commonly-used welding processes. It takes the novice welder or student through the individual steps involved in each process in a clear and easily understood way. It is intended to provide an up-to-date reference to the major applications of welding as they are used in industry. The contents have been arranged so that it can be used as a textbook for European welding courses in accordance with guidelines from the European Welding Federation. Welding processes and equipment necessary for each process are described so that they can be applied to all instruction levels required by the EWF and th...

  15. Kidney transplantation process in Brazil represented in business process modeling notation.

    Science.gov (United States)

    Peres Penteado, A; Molina Cohrs, F; Diniz Hummel, A; Erbs, J; Maciel, R F; Feijó Ortolani, C L; de Aguiar Roza, B; Torres Pisa, I

    2015-05-01

    Kidney transplantation is considered to be the best treatment for people with chronic kidney failure, because it improves the patients' quality of life and increases their length of survival compared with patients undergoing dialysis. The kidney transplantation process in Brazil is defined through laws, decrees, ordinances, and resolutions, but there is no visual representation of this process. The aim of this study was to analyze official documents to construct a representation of the kidney transplantation process in Brazil with the use of business process modeling notation (BPMN). The methodology for this study was based on an exploratory observational study, document analysis, and construction of process diagrams with the use of BPMN. Two rounds of validations by specialists were conducted. The result includes the kidney transplantation process in Brazil representation with the use of BPMN. We analyzed 2 digital documents that resulted in 2 processes with 45 total of activities and events, 6 organizations involved, and 6 different stages of the process. The constructed representation makes it easier to understand the rules for the business of kidney transplantation and can be used by the health care professionals involved in the various activities within this process. Construction of a representation with language appropriate for the Brazilian lay public is underway. Copyright © 2015 Elsevier Inc. All rights reserved.

  16. High temperature nuclear process heat systems for chemical processes

    International Nuclear Information System (INIS)

    Jiacoletti, R.J.

    1976-01-01

    The development planning and status of the very high temperature gas cooled reactor as a source of industrial process heat is presented. The dwindling domestic reserves of petroleum and natural gas dictate major increases in the utilization of coal and nuclear sources to meet the national energy demand. The nuclear process heat system offers a unique combination of the two that is environmentally and economically attractive and technically sound. Conceptual studies of several energy-intensive processes coupled to a nuclear heat source are presented

  17. Process development

    International Nuclear Information System (INIS)

    Zapata G, G.

    1989-01-01

    Process development: The paper describes the organization and laboratory facilities of the group working on radioactive ore processing studies. Contains a review of the carried research and the plans for the next future. A list of the published reports is also presented

  18. Information Technology Process Improvement Decision-Making: An Exploratory Study from the Perspective of Process Owners and Process Managers

    Science.gov (United States)

    Lamp, Sandra A.

    2012-01-01

    There is information available in the literature that discusses information technology (IT) governance and investment decision making from an executive-level perception, yet there is little information available that offers the perspective of process owners and process managers pertaining to their role in IT process improvement and investment…

  19. Process synthesis, design and analysis using a process-group contribution method

    DEFF Research Database (Denmark)

    Kumar Tula, Anjan; Eden, Mario R.; Gani, Rafiqul

    2015-01-01

    ) techniques. The fundamental pillars of this framework are the definition and use of functional process-groups (building blocks) representing a wide range of process operations, flowsheet connectivity rules to join the process-groups to generate all the feasible flowsheet alternatives and flowsheet property...... models like energy consumption, atom efficiency, environmental impact to evaluate the performance of the generated alternatives. In this way, a list of feasible flowsheets are quickly generated, screened and selected for further analysis. Since the flowsheet is synthesized and the operations......This paper describes the development and application of a process-group contribution method to model, simulate and synthesize chemical processes. Process flowsheets are generated in the same way as atoms or groups of atoms are combined to form molecules in computer aided molecular design (CAMD...

  20. Development of interface technology between unit processes in E-Refining process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, S. H.; Lee, H. S.; Kim, J. G. [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2010-10-15

    The pyroprocessing is composed mainly four subprocesses, such as an electrolytic reduction, an electrorefining, an electrowinning, and waste salt regeneration/ solidification processes. The electrorefining process, one of main processes which are composed of pyroprocess to recover the useful elements from spent fuel, is under development by Korea Atomic Energy Research Institute as a sub process of pyrochemical treatment of spent PWR fuel. The CERS(Continuous ElectroRefining System) is composed of some unit processes such as an electrorefiner, a salt distiller, a melting furnace for the U-ingot and U-chlorinator (UCl{sub 3} making equipment) as shown in Fig. 1. In this study, the interfaces technology between unit processes in E-Refining system is investigated and developed for the establishment of integrated E-Refining operation system as a part of integrated pyroprocessing

  1. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  2. Clinical Process Intelligence

    DEFF Research Database (Denmark)

    Vilstrup Pedersen, Klaus

    2006-01-01

    .e. local guidelines. From a knowledge management point of view, this externalization of generalized processes, gives the opportunity to learn from, evaluate and optimize the processes. "Clinical Process Intelligence" (CPI), will denote the goal of getting generalized insight into patient centered health...

  3. Sustainable processing

    DEFF Research Database (Denmark)

    Kristensen, Niels Heine

    2004-01-01

    Kristensen_NH and_Beck A: Sustainable processing. In Otto Schmid, Alexander Beck and Ursula Kretzschmar (Editors) (2004): Underlying Principles in Organic and "Low-Input Food" Processing - Literature Survey. Research Institute of Organic Agriculture FiBL, CH-5070 Frick, Switzerland. ISBN 3-906081-58-3...

  4. Food processing

    NARCIS (Netherlands)

    Teodorowicz, Malgorzata; Neerven, Van Joost; Savelkoul, Huub

    2017-01-01

    The majority of foods that are consumed in our developed society have been processed. Processing promotes a non-enzymatic reaction between proteins and sugars, the Maillard reaction (MR). Maillard reaction products (MRPs) contribute to the taste, smell and color of many food products, and thus

  5. Using Process Mining to Learn from Process Changes in Evolutionary Systems

    NARCIS (Netherlands)

    Günther, Christian W.; Rinderle, S.B.; Reichert, M.U.; van der Aalst, Wil M.P.; Recker, Jan

    2008-01-01

    Traditional information systems struggle with the requirement to provide flexibility and process support while still enforcing some degree of control. Accordingly, adaptive process management systems (PMSs) have emerged that provide some flexibility by enabling dynamic process changes during

  6. REALIZING BUSINESS PROCESS MANAGEMENT BY HELP OF A PROCESS MAPPING DATABASE TOOL

    CERN Document Server

    Vergili, Ceren

    2016-01-01

    In a typical business sector, processes are the building blocks of the achievement. A considerable percentage of the processes are consisting of business processes. This fact is bringing the fact that business sectors are in need of a management discipline. Business Process Management (BPM) is a discipline that combines modelling, automation, execution, control, measurement, and optimization of process by considering enterprise goals, spanning systems, employees, customers, and partners. CERN’s EN – HE – HM section desires to apply the BPM discipline appropriately for improving their necessary technical, administrative and managerial actions to supply appropriate CERN industrial transport, handling and lifting equipment and to maintain it. For this reason, a Process Mapping Database Tool is created to develop a common understanding about how the section members can visualize their processes, agree on quality standards and on how to improve. It provides a management support by establishing Process Charts...

  7. Multimodal Processes Rescheduling

    DEFF Research Database (Denmark)

    Bocewicz, Grzegorz; Banaszak, Zbigniew A.; Nielsen, Peter

    2013-01-01

    Cyclic scheduling problems concerning multimodal processes are usually observed in FMSs producing multi-type parts where the Automated Guided Vehicles System (AGVS) plays a role of a material handling system. Schedulability analysis of concurrently flowing cyclic processes (SCCP) exe-cuted in the......Cyclic scheduling problems concerning multimodal processes are usually observed in FMSs producing multi-type parts where the Automated Guided Vehicles System (AGVS) plays a role of a material handling system. Schedulability analysis of concurrently flowing cyclic processes (SCCP) exe...

  8. Process-aware information systems : lessons to be learned from process mining

    NARCIS (Netherlands)

    Aalst, van der W.M.P.; Jensen, K.; Aalst, van der W.M.P.

    2009-01-01

    A Process-Aware Information System (PAIS) is a software system that manages and executes operational processes involving people, applications, and/or information sources on the basis of process models. Example PAISs are workflow management systems, case-handling systems, enterprise information

  9. Applicability of product-driven process synthesis to separation processes in food

    NARCIS (Netherlands)

    Jankowiak, L.; Goot, van der A.J.; Trifunovic, O.; Bongers, P.; Boom, R.M.

    2012-01-01

    The demand for more sustainable processing in the food industry is rising but requires structured methodologies to support the fast implementation of new economic and sustainable processes. Product-driven process synthesis (PDPS) is a recently established methodology facilitating the rapid

  10. Synroc processing options

    International Nuclear Information System (INIS)

    Rozsa, R.B.; Hoenig, C.L.

    1981-01-01

    Synroc is a titanate-based ceramic material currently being developed for immobilizing high-level nuclear reactor wastes in solid form. Synroc D is a unique variation of Synroc. It can contain the high-level defense wastes, particularly those in storage at the Savannah River Plant. In this report, we review the early development of the initial Synroc process, discuss modification and other options that simplify it overall, and recommend the future direction of research and development in the processing area. A reference Synroc process is described briefly and contrasted with the Savannah River Laboratory glass-based reference case. Preliminary engineering layouts show Synroc to be a more complex processing operation and, thus, more expensive than the glass-based process. However, we believe that simplifications, which will significantly reduce the cost difference, are possible. Further research and development will continue in the areas of slurry processing, fluidized bed calcination, and mineralization. This last will use sintering, hot uniaxial pressing, or hot isostatic pressing

  11. Design Processes

    DEFF Research Database (Denmark)

    Ovesen, Nis

    2009-01-01

    Inspiration for most research and optimisations on design processes still seem to focus within the narrow field of the traditional design practise. The focus in this study turns to associated businesses of the design professions in order to learn from their development processes. Through interviews...... and emerging production methods....

  12. Process modeling style

    CERN Document Server

    Long, John

    2014-01-01

    Process Modeling Style focuses on other aspects of process modeling beyond notation that are very important to practitioners. Many people who model processes focus on the specific notation used to create their drawings. While that is important, there are many other aspects to modeling, such as naming, creating identifiers, descriptions, interfaces, patterns, and creating useful process documentation. Experience author John Long focuses on those non-notational aspects of modeling, which practitioners will find invaluable. Gives solid advice for creating roles, work produ

  13. Improving Healthcare Logistics Processes

    DEFF Research Database (Denmark)

    Feibert, Diana Cordes

    logistics processes in hospitals and aims to provide theoretically and empirically based evidence for improving these processes to both expand the knowledge base of healthcare logistics and provide a decision tool for hospital logistics managers to improve their processes. Case studies were conducted...... processes. Furthermore, a method for benchmarking healthcare logistics processes was developed. Finally, a theoretically and empirically founded framework was developed to support managers in making an informed decision on how to improve healthcare logistics processes. This study contributes to the limited...... literature concerned with the improvement of logistics processes in hospitals. Furthermore, the developed framework provides guidance for logistics managers in hospitals on how to improve their processes given the circumstances in which they operate....

  14. Nuclear process heat

    International Nuclear Information System (INIS)

    Barnert, H.; Hohn, H.; Schad, M.; Schwarz, D.; Singh, J.

    1993-01-01

    In a system for the application of high temperature heat from the HTR one must distinguish between the current generation and the use of process heat. In this respect it is important that the current can be generated by dual purpose power plants. The process heat is used as sensible heat, vaporisation heat and as chemical energy at the chemical conversion for the conversion of raw materials, the refinement of fossil primary energy carriers and finally circuit processes for the fission of water. These processes supply the market for heat, fuels, motor fuels and basic materials. Fifteen examples of HTR heat processes from various projects and programmes are presented in form of energy balances, however in a rather short way. (orig./DG) [de

  15. Business process support

    Energy Technology Data Exchange (ETDEWEB)

    Carle, Adriana; Fiducia, Daniel [Transportadora de Gas del Sur S.A. (TGS), Buenos Aires (Argentina)

    2005-07-01

    This paper is about the own development of business support software. The developed applications are used to support two business processes: one of them is the process of gas transportation and the other is the natural gas processing. This software has interphases with the ERP SAP, software SCADA and on line gas transportation simulation software. The main functionalities of the applications are: entrance on line real time of clients transport nominations, transport programming, allocation of the clients transport nominations, transport control, measurements, balanced pipeline, allocation of gas volume to the gas processing plants, calculate of product tons processed in each plant and tons of product distributed to clients. All the developed software generates information to the internal staff, regulatory authorities and clients. (author)

  16. Process for making unsaturated hydrocarbons using microchannel process technology

    Science.gov (United States)

    Tonkovich, Anna Lee [Dublin, OH; Yuschak, Thomas [Lewis Center, OH; LaPlante, Timothy J [Columbus, OH; Rankin, Scott [Columbus, OH; Perry, Steven T [Galloway, OH; Fitzgerald, Sean Patrick [Columbus, OH; Simmons, Wayne W [Dublin, OH; Mazanec, Terry Daymo, Eric

    2011-04-12

    The disclosed invention relates to a process for converting a feed composition comprising one or more hydrocarbons to a product comprising one or more unsaturated hydrocarbons, the process comprising: flowing the feed composition and steam in contact with each other in a microchannel reactor at a temperature in the range from about 200.degree. C. to about 1200.degree. C. to convert the feed composition to the product, the process being characterized by the absence of catalyst for converting the one or more hydrocarbons to one or more unsaturated hydrocarbons. Hydrogen and/or oxygen may be combined with the feed composition and steam.

  17. Correlation between radwaste processing and hazardous waste treatment processes

    International Nuclear Information System (INIS)

    Block, O.U.J.; Tulipano, F.J.

    1988-01-01

    The basic framework under SARA has established that preferred remedies are those which permanently and significantly reduce toxicity, mobility or volume of wastes. In the 1970's radwaste process designs at power plants received pressure to satisfy essentially the same criteria when increased emphasis was placed on limited disposal sites which resulted in rapidly escalating disposal costs. This paper provides a historical perspective of radwaste experience and discusses valuable insight to hazardous waste treatment technologies. The radwaste system experience is discussed in terms of providing a source of proven and reliable technologies. Discussion is presented on specific radwaste processes which are applicable technologies for hazardous waste treatment. The technologies presented include (a) Solidification, (b) Evaporation, and (c) Incineration. Experience is presented which establishes assurance that the treatment technologies will provide a permanent remedy to hazardous waste treatment. This paper describes typical radwaste solidification, evaporation and incineration processes at power plants. The design requirements and implementation of radwaste equipment is correlated to design requirement of hazardous waste equipment. Specific discussion is provided on how the available process equipment can reduce toxicity, mobility, and volume of waste. Discussion is presented on how the standard off the shelf processing equipment needs to be modified for radwaste and hazardous waste applications

  18. Modeling Aspects of Activated Sludge Processes Part l l: Mathematical Process Modeling and Biokinetics of Activated Sludge Processes

    Energy Technology Data Exchange (ETDEWEB)

    AbdElHaleem, H S [Cairo Univ.-CivlI Eng. Dept., Giza (Egypt); EI-Ahwany, A H [CairoUlmrsity- Faculty ofEngincering - Chemical Engineering Department, Giza (Egypt); Ibrahim, H I [Helwan University- Faculty of Engineering - Biomedical Engineering Department, Helwan (Egypt); Ibrahim, G [Menofia University- Faculty of Engineering Sbebin EI Kom- Basic Eng. Sc. Dept., Menofia (Egypt)

    2004-07-01

    Mathematical process modeling and biokinetics of activated sludge process were reviewed considering different types of models. It has been evaluated the task group models of ASMI. and 2, and 3 versioned by Henze et al considering the conditions of each model and the different processes of which every model consists. It is revealed that ASMI contains some defects avoided in ASM3. Relied on homogeneity, Models can be classified into homogenous models characterized by taking the activated sludge process as one phase. In this type of models, the internal mass transfer inside the floes was neglected.. Hence, the kinetic parameter produces can be considered inaccurate. The other type of models is the heterogeneous model This type considers the mass transfer operations in addition to the biochemical reaction processes; hence, the resulted kinetic parameters can be considered more accurate than that of homogenous type.

  19. Modeling Aspects of Activated Sludge Processes Part l l: Mathematical Process Modeling and Biokinetics of Activated Sludge Processes

    International Nuclear Information System (INIS)

    AbdElHaleem, H.S.; EI-Ahwany, A. H.; Ibrahim, H.I.; Ibrahim, G.

    2004-01-01

    Mathematical process modeling and biokinetics of activated sludge process were reviewed considering different types of models. It has been evaluated the task group models of ASMI. and 2, and 3 versioned by Henze et al considering the conditions of each model and the different processes of which every model consists. It is revealed that ASMI contains some defects avoided in ASM3. Relied on homogeneity, Models can be classified into homogenous models characterized by taking the activated sludge process as one phase. In this type of models, the internal mass transfer inside the floes was neglected.. Hence, the kinetic parameter produces can be considered inaccurate. The other type of models is the heterogeneous model This type considers the mass transfer operations in addition to the biochemical reaction processes; hence, the resulted kinetic parameters can be considered more accurate than that of homogenous type

  20. A Dual Process Approach to Understand Tourists’ Destination Choice Processes

    DEFF Research Database (Denmark)

    Kock, Florian; Josiassen, Alexander; Assaf, Albert

    2017-01-01

    Most studies that investigate tourists' choices of destinations apply the concept of mental destination representations, also referred to as destination image. The present study investigates tourists’ destination choice processes by conceptualizing how different components of destination image...... are mentally processed in tourists' minds. Specifically, the seminal dual processing approach is applied to the destination image literature. By doing this, we argue that some components of mental destination representations are processed systematically while others serve as inputs for heuristics...... that individuals apply to inform their decision making. Understanding how individuals make use of their mental destination representations and how they color their decision-making is essential in order to better explain tourist behavior....

  1. Ornstein-Uhlenbeck Processes Simulation

    OpenAIRE

    Kuzmina, A.

    2012-01-01

    In this paper we give a brief introduction to Ornstein-Uhlenbeck processes and their simulation methods. Ornstein-Uhlenbeck processes were introduced by Barndorff-Nielsen and Shephard (2001) as a model to describe volatility in finance. Ornstein-Uhlenbeck processes are based on Levy processes. Levy processes simulation may be found in [1, 2].

  2. Process heat. Triggering the processes

    Energy Technology Data Exchange (ETDEWEB)

    Augsten, Eva

    2012-07-01

    If solar process heat is to find a market, then the decision makers in industrial companies need to be aware that it actually exists. This was one of the main goals of the So-Pro project, which officially drew to a close in April 2012. (orig.)

  3. Optimality of Poisson Processes Intensity Learning with Gaussian Processes

    NARCIS (Netherlands)

    Kirichenko, A.; van Zanten, H.

    2015-01-01

    In this paper we provide theoretical support for the so-called "Sigmoidal Gaussian Cox Process" approach to learning the intensity of an inhomogeneous Poisson process on a d-dimensional domain. This method was proposed by Adams, Murray and MacKay (ICML, 2009), who developed a tractable computational

  4. Is There Dependence Between Process Maturity and Process Performance?

    NARCIS (Netherlands)

    Joost Spekschoor; Pascal Ravesteijn; Roeland Loggen; dr. Martijn Zoet

    2012-01-01

    During recent years the world has seen rapid changes such as globalization, the Internet, and the rise of new economies. To survive these changes organizations need to be in control of their processes, and be able to continuously improve the process performance. Therefore many organizations are

  5. Stream-processing pipelines: processing of streams on multiprocessor architecture

    NARCIS (Netherlands)

    Kavaldjiev, N.K.; Smit, Gerardus Johannes Maria; Jansen, P.G.

    In this paper we study the timing aspects of the operation of stream-processing applications that run on a multiprocessor architecture. Dependencies are derived for the processing and communication times of the processors in such a system. Three cases of real-time constrained operation and four

  6. Detecting Difference between Process Models Based on the Refined Process Structure Tree

    Directory of Open Access Journals (Sweden)

    Jing Fan

    2017-01-01

    Full Text Available The development of mobile workflow management systems (mWfMS leads to large number of business process models. In the meantime, the location restriction embedded in mWfMS may result in different process models for a single business process. In order to help users quickly locate the difference and rebuild the process model, detecting the difference between different process models is needed. Existing detection methods either provide a dissimilarity value to represent the difference or use predefined difference template to generate the result, which cannot reflect the entire composition of the difference. Hence, in this paper, we present a new approach to solve this problem. Firstly, we parse the process models to their corresponding refined process structure trees (PSTs, that is, decomposing a process model into a hierarchy of subprocess models. Then we design a method to convert the PST to its corresponding task based process structure tree (TPST. As a consequence, the problem of detecting difference between two process models is transformed to detect difference between their corresponding TPSTs. Finally, we obtain the difference between two TPSTs based on the divide and conquer strategy, where the difference is described by an edit script and we make the cost of the edit script close to minimum. The extensive experimental evaluation shows that our method can meet the real requirements in terms of precision and efficiency.

  7. Preliminary evaluation of alternative waste form solidification processes. Volume I. Identification of the processes

    International Nuclear Information System (INIS)

    Treat, R.L.; Nesbitt, J.F.; Blair, H.T.; Carter, J.G.; Gorton, P.S.; Partain, W.L.; Timmerman, C.L.

    1980-04-01

    This document contains preconceptual design data on 11 processes for the solidification and isolation of nuclear high-level liquid wastes (HLLW). The processes are: in-can glass melting (ICGM) process, joule-heated glass melting (JHGM) process, glass-ceramic (GC) process, marbles-in-lead (MIL) matrix process, supercalcine pellets-in-metal (SCPIM) matrix process, pyrolytic-carbon coated pellets-in-metal (PCCPIM) matrix process, supercalcine hot-isostatic-pressing (SCHIP) process, SYNROC hot-isostatic-pressing (SYNROC HIP) process, titanate process, concrete process, and cermet process. For the purposes of this study, it was assumed that each of the solidification processes is capable of handling similar amounts of HLLW generated in a production-sized fuel reprocessing plant. It was also assumed that each of the processes would be enclosed in a shielded canyon or cells within a waste facility located at the fuel reprocessing plant. Finally, it was assumed that all of the processes would be subject to the same set of regulations, codes and standards. Each of the solidification processes converts waste into forms that may be acceptable for geological disposal. Each process begins with the receipt of HLLW from the fuel reprocessing plant. In this study, it was assumed that the original composition of the HLLW would be the same for each process. The process ends when the different waste forms are enclosed in canisters or containers that are acceptable for interim storage. Overviews of each of the 11 processes and the bases used for their identification are presented in the first part of this report. Each process, including its equipment and its requirements, is covered in more detail in Appendices A through K. Pertinent information on the current state of the art and the research and development required for the implementation of each process are also noted in the appendices

  8. Suppurative processes

    International Nuclear Information System (INIS)

    Vinner, M.G.

    1983-01-01

    Suppurative process in the case of bronchiectatic disease, abscess and gang rene of lungs, has been described. Characteristic signs of roentgenologic pictu re of the above-mentioned diseases are considered. It is shown,that in most cas es roentgenologic studies give a possibility to make a high-quality diagnosis of suppurative processes

  9. Investigating the Process of Process Modeling with Eye Movement Analysis

    OpenAIRE

    Pinggera, Jakob; Furtner, Marco; Martini, Markus; Sachse, Pierre; Reiter, Katharina; Zugal, Stefan; Weber, Barbara

    2015-01-01

    Research on quality issues of business process models has recently begun to explore the process of creating process models by analyzing the modeler's interactions with the modeling environment. In this paper we aim to complement previous insights on the modeler's modeling behavior with data gathered by tracking the modeler's eye movements when engaged in the act of modeling. We present preliminary results and outline directions for future research to triangulate toward a more comprehensive un...

  10. Radiotracer investigations of the flotation process in copper ore processing

    International Nuclear Information System (INIS)

    Petryka, L.; Przewlocki, K.

    1983-01-01

    Optimization of copper ore processing requires in particular the investigation of the flotation process. 64 Cu induced in natural ore by activation was used as a tracer. Detailed measurements were performed in an industrial flotation plant consisting of 14 cells. As a result the mean residence time of different grain size fractions of natural copper sulphide was determined for all parts of the flotation plant together with the efficiency of the flotation process. (author)

  11. Shot-noise-weighted processes : a new family of spatial point processes

    NARCIS (Netherlands)

    M.N.M. van Lieshout (Marie-Colette); I.S. Molchanov (Ilya)

    1995-01-01

    textabstractThe paper suggests a new family of of spatial point processes distributions. They are defined by means of densities with respect to the Poisson point process within a bounded set. These densities are given in terms of a functional of the shot-noise process with a given influence

  12. Process Management Plans

    Directory of Open Access Journals (Sweden)

    Tomasz Miksa

    2014-07-01

    Full Text Available In the era of research infrastructures and big data, sophisticated data management practices are becoming essential building blocks of successful science. Most practices follow a data-centric approach, which does not take into account the processes that created, analysed and presented the data. This fact limits the possibilities for reliable verification of results. Furthermore, it does not guarantee the reuse of research, which is one of the key aspects of credible data-driven science. For that reason, we propose the introduction of the new concept of Process Management Plans, which focus on the identification, description, sharing and preservation of the entire scientific processes. They enable verification and later reuse of result data and processes of scientific experiments. In this paper we describe the structure and explain the novelty of Process Management Plans by showing in what way they complement existing Data Management Plans. We also highlight key differences, major advantages, as well as references to tools and solutions that can facilitate the introduction of Process Management Plans.

  13. DECAB: process development of a phase change absorption process

    NARCIS (Netherlands)

    Sanchez Fernandez, E.; Goetheer, E.L.V.

    2011-01-01

    This work describes the conceptual design of a novel separation process for CO2 removal from flue gas based on precipitating solvents. The process here described (DECAB) is an enhanced CO2 absorption based on the Le Chatelier's principle, which states that reaction equilibrium can be shifted by

  14. The H-Oil process: a worldwide leader in vacuum residue hydro processing

    Energy Technology Data Exchange (ETDEWEB)

    Colyar, J.J.; Wisdom, L.I.; Koskas, A.

    1996-12-31

    The h-Oil process is a catalytic hydrocracking process which is used to convert and upgrade petroleum residua and heavy oils. This paper discusses additional background information on the H-Oil process, some of the key advances made to the process and applications for the Latin America market. 5 refs., 6 tabs.., 2 figs.

  15. Development of the Log-in Process and the Operation Process for the VHTR-SI Process Dynamic Simulation Code

    International Nuclear Information System (INIS)

    Chang, Jiwoon; Shin, Youngjoon; Kim, Jihwan; Lee, Kiyoung; Lee, Wonjae; Chang, Jonghwa; Youn, Cheung

    2009-01-01

    The VHTR-SI process is a hydrogen production technique by using Sulfur and Iodine. The SI process for a hydrogen production uses a high temperature (about 950 .deg. C) of the He gas which is a cooling material for an energy sources. The Korea Atomic Energy Research Institute Dynamic Simulation Code (KAERI DySCo) is an integration application software that simulates the dynamic behavior of the VHTR-SI process. A dynamic modeling is used to express and model the behavior of the software system over time. The dynamic modeling deals with the control flow of system, the interaction of objects and the order of actions in view of a time and transition by using a sequence diagram and a state transition diagram. In this paper, we present an user log-in process and an operation process for the KAERI DySCo by using a sequence diagram and a state transition diagram

  16. Quantifying Quantum-Mechanical Processes.

    Science.gov (United States)

    Hsieh, Jen-Hsiang; Chen, Shih-Hsuan; Li, Che-Ming

    2017-10-19

    The act of describing how a physical process changes a system is the basis for understanding observed phenomena. For quantum-mechanical processes in particular, the affect of processes on quantum states profoundly advances our knowledge of the natural world, from understanding counter-intuitive concepts to the development of wholly quantum-mechanical technology. Here, we show that quantum-mechanical processes can be quantified using a generic classical-process model through which any classical strategies of mimicry can be ruled out. We demonstrate the success of this formalism using fundamental processes postulated in quantum mechanics, the dynamics of open quantum systems, quantum-information processing, the fusion of entangled photon pairs, and the energy transfer in a photosynthetic pigment-protein complex. Since our framework does not depend on any specifics of the states being processed, it reveals a new class of correlations in the hierarchy between entanglement and Einstein-Podolsky-Rosen steering and paves the way for the elaboration of a generic method for quantifying physical processes.

  17. Hydrogen production processes

    International Nuclear Information System (INIS)

    2003-01-01

    The goals of this first Gedepeon workshop on hydrogen production processes are: to stimulate the information exchange about research programs and research advances in the domain of hydrogen production processes, to indicate the domains of interest of these processes and the potentialities linked with the coupling of a nuclear reactor, to establish the actions of common interest for the CEA, the CNRS, and eventually EDF, that can be funded in the framework of the Gedepeon research group. This document gathers the slides of the 17 presentations given at this workshop and dealing with: the H 2 question and the international research programs (Lucchese P.); the CEA's research program (Lucchese P., Anzieu P.); processes based on the iodine/sulfur cycle: efficiency of a facility - flow-sheets, efficiencies, hard points (Borgard J.M.), R and D about the I/S cycle: Bunsen reaction (Colette S.), R and D about the I/S cycle: the HI/I 2 /H 2 O system (Doizi D.), demonstration loop/chemical engineering (Duhamet J.), materials and corrosion (Terlain A.); other processes under study: the Westinghouse cycle (Eysseric C.), other processes under study at the CEA (UT3, plasma,...) (Lemort F.), database about thermochemical cycles (Abanades S.), Zn/ZnO cycle (Broust F.), H 2 production by cracking, high temperature reforming with carbon trapping (Flamant G.), membrane technology (De Lamare J.); high-temperature electrolysis: SOFC used as electrolyzers (Grastien R.); generic aspects linked with hydrogen production: technical-economical evaluation of processes (Werkoff F.), thermodynamic tools (Neveu P.), the reactor-process coupling (Aujollet P.). (J.S.)

  18. Materials, process, product analysis of coal process technology. Phase I final report

    Energy Technology Data Exchange (ETDEWEB)

    Saxton, J. C.; Roig, R. W.; Loridan, A.; Leggett, N. E.; Capell, R. G.; Humpstone, C. C.; Mudry, R. N.; Ayres, E.

    1976-02-01

    The purpose of materials-process-product analysis is a systematic evaluation of alternative manufacturing processes--in this case processes for converting coal into energy and material products that can supplement or replace petroleum-based products. The methodological steps in the analysis include: Definition of functional operations that enter into coal conversion processes, and modeling of alternative, competing methods to accomplish these functions; compilation of all feasible conversion processes that can be assembled from combinations of competing methods for the functional operations; systematic, iterative evaluation of all feasible conversion processes under a variety of economic situations, environmental constraints, and projected technological advances; and aggregative assessments (economic and environmental) of various industrial development scenarios. An integral part of the present project is additional development of the existing computer model to include: A data base for coal-related materials and coal conversion processes; and an algorithmic structure that facilitates the iterative, systematic evaluations in response to exogenously specified variables, such as tax policy, environmental limitations, and changes in process technology and costs. As an analytical tool, the analysis is intended to satisfy the needs of an analyst working at the process selection level, for example, with respect to the allocation of RDandD funds to competing technologies.

  19. Process for separating nitrogen from methane using microchannel process technology

    Science.gov (United States)

    Tonkovich, Anna Lee [Marysville, OH; Qiu, Dongming [Dublin, OH; Dritz, Terence Andrew [Worthington, OH; Neagle, Paul [Westerville, OH; Litt, Robert Dwayne [Westerville, OH; Arora, Ravi [Dublin, OH; Lamont, Michael Jay [Hilliard, OH; Pagnotto, Kristina M [Cincinnati, OH

    2007-07-31

    The disclosed invention relates to a process for separating methane or nitrogen from a fluid mixture comprising methane and nitrogen, the process comprising: (A) flowing the fluid mixture into a microchannel separator, the microchannel separator comprising a plurality of process microchannels containing a sorption medium, the fluid mixture being maintained in the microchannel separator until at least part of the methane or nitrogen is sorbed by the sorption medium, and removing non-sorbed parts of the fluid mixture from the microchannel separator; and (B) desorbing the methane or nitrogen from the sorption medium and removing the desorbed methane or nitrogen from the microchannel separator. The process is suitable for upgrading methane from coal mines, landfills, and other sub-quality sources.

  20. Business process reporting using process mining, analytic workflows and process cubes : A case study in education

    NARCIS (Netherlands)

    Bolt Iriondo, A.J.; de Leoni, M.; van der Aalst, W.M.P.; Gorissen, P.; Paolo, C.; Stefanie, R.-M.

    2017-01-01

    Business Process Intelligence (BPI) is an emerging topic that has gained popularity in the last decade. It is driven by the need for analysis techniques that allow businesses to understand and improve their processes. One of the most common applications of BPI is reporting, which consists on the

  1. The plasma hearth process: Process residuals characterization

    International Nuclear Information System (INIS)

    Leatherman, G.L.; Geimer, R.; Batdorf, J.; Hassel, G.; Wolfe, P.; Carney, K.P.

    1994-01-01

    The Plasma Hearth Process (PHP) is a high-temperature waste treatment process being developed by Science Applications International Corporation (SAIC) for the Department of Energy (DOE) that destroys hazardous organics while stabilizing radionuclides and hazardous metals in a vitreous slag waste form. The PHP has potential application for the treatment of a wide range of mixed waste types in both the low-level and transuranic (TRU) mixed waste categories. DOE, through the Office of Technology Development's Mixed Waste Integrated Program (MWIP) is conducting a three phase development project to ready the PHP for implementation in the DOE complex

  2. Process and device for processing radioactive wastes

    International Nuclear Information System (INIS)

    1974-01-01

    A method is described for processing liquid radioactive wastes. It includes the heating of the liquid wastes so that the contained liquids are evaporated and a practically anhydrous mass of solid particles inferior in volume to that of the wastes introduced is formed, then the transformation of the solid particles into a monolithic structure. This transformation includes the compressing of the particles and sintering or fusion. The solidifying agent is a mixture of polyethylene and paraffin wax or a styrene copolymer and a polyester resin. The device used for processing the radioactive liquid wastes is also described [fr

  3. Fermentation process using specific oxygen uptake rates as a process control

    Science.gov (United States)

    Van Hoek, Pim [Minnetonka, MN; Aristidou, Aristos [Maple Grove, MN; Rush, Brian [Minneapolis, MN

    2011-05-10

    Specific oxygen uptake (OUR) is used as a process control parameter in fermentation processes. OUR is determined during at least the production phase of a fermentation process, and process parameters are adjusted to maintain the OUR within desired ranges. The invention is particularly applicable when the fermentation is conducted using a microorganism having a natural PDC pathway that has been disrupted so that it no longer functions. Microorganisms of this sort often produce poorly under strictly anaerobic conditions. Microaeration controlled by monitoring OUR allows the performance of the microorganism to be optimized.

  4. Photon technology. Laser processing technology; Photon technology. Laser process gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-03-01

    Survey has been conducted to develop laser processing technology utilizing the interaction between substance and photon. This is a part of the leading research on photon technology development. The photon technology development is aimed at novel technology development highly utilizing the quantum nature of photons. In the field of laser processing, high quality photons are used as tools, special functions of atoms and molecules will be discovered, and processing for functional fabrication (photon machining) will be established. A role of laser processing in industries has become significant, which is currently spreading not only into cutting and welding of materials and scalpels but also into such a special field as ultrafine processing of materials. The spreading is sometimes obstructed due to the difficulty of procurement of suitable machines and materials, and the increase of cost. The purpose of this study is to develop the optimal laser technology, to elucidate the interaction between substance and photon, and to develop the laser system and the transmission and regulation systems which realize the optimal conditions. 387 refs., 115 figs., 25 tabs.

  5. Process Technical Basis Documentation Diagram for a solid-waste processing facility

    International Nuclear Information System (INIS)

    Benar, C.J.; Petersen, C.A.

    1994-02-01

    The Process Technical Basis Documentation Diagram is for a solid-waste processing facility that could be designed to treat, package, and certify contact-handled mixed low-level waste for permanent disposal. The treatment processes include stabilization using cementitious materials and immobilization using a polymer material. The Diagram identifies several engineering/demonstration activities that would confirm the process selection and process design. An independent peer review was conducted at the request of Westinghouse Hanford Company to determine the technical adequacy of the technical approach for waste form development. The peer review panel provided comments and identified documents that it felt were needed in the Diagram as precedence for Title I design. The Diagram is a visual tool to identify traceable documentation of key activities, including those documents suggested by the peer review, and to show how they relate to each other. The Diagram is divided into three sections: (1) the Facility section, which contains documents pertaining to the facility design, (2) the Process Demonstration section, which contains documents pertaining to the process engineering/demonstration work, and 3) the Regulatory section, which contains documents describing the compliance strategy for each acceptance requirement for each feed type, and how this strategy will be implemented

  6. Flue Gas Desulphurization Processes

    International Nuclear Information System (INIS)

    Aly, A.I.M.; Halhouli, K.A.; Abu-Ashur, B.M.

    1999-01-01

    Flue gas desulphurization process are discussed. These processes can be grouped into non-regenerable systems and regenerable systems. The non-regenerable systems produce a product which is either disposed of as waste or sold as a by-product e.g. lime/limestone process. While in the regenerable systems, e.g. Wellman-Lord process, the SO 2 is regenerated from the sorbent(sodium sulphite), which is returned to absorb more SO 2 . Also a newer technology for flue gas desulphurization is discussed. The Ispra process uses bromine as oxidant, producing HBr, from which bromine is regenerated by electrolysis. The only by-products of this process are sulphuric acid and hydrogen, which are both valuable products, and no waste products are produced. Suggested modifications on the process are made based on experimental investigations to improve the efficiency of the process and to reduce its costs

  7. BPMN process views construction

    NARCIS (Netherlands)

    Yongchareon, S.; Liu, Chengfei; Zhao, X.; Kowalkiewicz, M.; Kitagawa, H.; Ishikawa, Y.

    2010-01-01

    Process view technology is catching more attentions in modern business process management, as it enables the customisation of business process representation. This capability helps improve the privacy protection, authority control, flexible display, etc., in business process modelling. One of

  8. Visual processing in rapid-chase systems: Image processing, attention, and awareness

    Directory of Open Access Journals (Sweden)

    Thomas eSchmidt

    2011-07-01

    Full Text Available Visual stimuli can be classified so rapidly that their analysis may be based on a single sweep of feedforward processing through the visuomotor system. Behavioral criteria for feedforward processing can be evaluated in response priming tasks where speeded pointing or keypress responses are performed towards target stimuli which are preceded by prime stimuli. We apply this method to several classes of complex stimuli. 1 When participants classify natural images into animals or non-animals, the time course of their pointing responses indicates that prime and target signals remain strictly sequential throughout all processing stages, meeting stringent behavioral criteria for feedforward processing (rapid-chase criteria. 2 Such priming effects are boosted by selective visual attention for positions, shapes, and colors, in a way consistent with bottom-up enhancement of visuomotor processing, even when primes cannot be consciously identified. 3 Speeded processing of phobic images is observed in participants specifically fearful of spiders or snakes, suggesting enhancement of feedforward processing by long-term perceptual learning. 4 When the perceived brightness of primes in complex displays is altered by means of illumination or transparency illusions, priming effects in speeded keypress responses can systematically contradict subjective brightness judgments, such that one prime appears brighter than the other but activates motor responses as if it was darker. We propose that response priming captures the output of the first feedforward pass of visual signals through the visuomotor system, and that this output lacks some characteristic features of more elaborate, recurrent processing. This way, visuomotor measures may become dissociated from several aspects of conscious vision. We argue that "fast" visuomotor measures predominantly driven by feedforward processing should supplement "slow" psychophysical measures predominantly based on visual

  9. A survey of process control computers at the Idaho Chemical Processing Plant

    International Nuclear Information System (INIS)

    Dahl, C.A.

    1989-01-01

    The Idaho Chemical Processing Plant (ICPP) at the Idaho National Engineering Laboratory is charged with the safe processing of spent nuclear fuel elements for the United States Department of Energy. The ICPP was originally constructed in the late 1950s and used state-of-the-art technology for process control at that time. The state of process control instrumentation at the ICPP has steadily improved to keep pace with emerging technology. Today, the ICPP is a college of emerging computer technology in process control with some systems as simple as standalone measurement computers while others are state-of-the-art distributed control systems controlling the operations in an entire facility within the plant. The ICPP has made maximal use of process computer technology aimed at increasing surety, safety, and efficiency of the process operations. Many benefits have been derived from the use of the computers for minimal costs, including decreased misoperations in the facility, and more benefits are expected in the future

  10. Process evaluation distributed system

    Science.gov (United States)

    Moffatt, Christopher L. (Inventor)

    2006-01-01

    The distributed system includes a database server, an administration module, a process evaluation module, and a data display module. The administration module is in communication with the database server for providing observation criteria information to the database server. The process evaluation module is in communication with the database server for obtaining the observation criteria information from the database server and collecting process data based on the observation criteria information. The process evaluation module utilizes a personal digital assistant (PDA). A data display module in communication with the database server, including a website for viewing collected process data in a desired metrics form, the data display module also for providing desired editing and modification of the collected process data. The connectivity established by the database server to the administration module, the process evaluation module, and the data display module, minimizes the requirement for manual input of the collected process data.

  11. Development of processes for the utilization of Brazilian coal using nuclear process heat and/or nuclear process steam

    International Nuclear Information System (INIS)

    Bamert, H.; Niessen, H.F.; Walbeck, M.; Wasrzik, U.; Mueller, R.; Schiffers, U.; Strauss, W.

    1980-01-01

    Status of the project: End of the project definition phase and preparation of the planned conceptual phase. Objective of the project: Development of processes for the utilization of nuclear process heat and/or nuclear process steam for the gasification of coal with high ash content, in particular coal from Brazil. Results: With the data of Brazilian coal of high ash content (mine Leao/ 43% ash in the mine-mouth quality, 20% ash after preparation) there have been worked out proposals for the mine planning and for a number of processes. On the basis of these proposals and under consideration of the main data specified by the Brazilian working group there have been choosen two processes and worked out in a conceptual design: 1) pressurized water reactor + LURGI-pressure gasifier/hydrogasification for the production of SNG and 2) high temperature reactor steam gasification for the production of town gas. The economic evaluation showed that the two processes are not substantially different in their cost efficiency and they are economical on a long-term basis. For more specific design work there has been planned the implementation of an experimental programme using the semi-technical plants 'hydrogasification' in Wesseling and 'steam gasification' in Essen as the conceptual phase. (orig.) [de

  12. Improving the Document Development Process: Integrating Relational Data and Statistical Process Control.

    Science.gov (United States)

    Miller, John

    1994-01-01

    Presents an approach to document numbering, document titling, and process measurement which, when used with fundamental techniques of statistical process control, reveals meaningful process-element variation as well as nominal productivity models. (SR)

  13. Fuel processing. Wastes processing

    International Nuclear Information System (INIS)

    Bourgeois, M.

    2000-01-01

    The gaseous, liquid and solid radioactive effluents generated by the fuel reprocessing, can't be release in the environment. They have to be treated in order to respect the limits of the pollution regulations. These processing are detailed and discussed in this technical paper. A second part is devoted to the SPIN research program relative to the separation of the long life radionuclides in order to reduce the radioactive wastes storage volume. (A.L.B.)

  14. Exploring processes and deviations

    NARCIS (Netherlands)

    Leemans, S.J.J.; Fahland, D.; Aalst, van der W.M.P.; Fournier, F.; Mendling, J.

    2015-01-01

    In process mining, one of the main challenges is to discover a process model, while balancing several quality criteria. This often requires repeatedly setting parameters, discovering a map and evaluating it, which we refer to as process exploration. Commercial process mining tools like Disco,

  15. Process for winning uranium from wet process phosphoric acid

    International Nuclear Information System (INIS)

    1980-01-01

    A process is described for winning uranium from wet process phosphoric acid by means of liquid-liquid extraction with organic phosphoric acid esters. The process is optimised by keeping the sulphate percentage in the phosphoric acid below 2% by weight, and preferably below 0.6% by weight, as compared to P 2 O 5 in the phosphoric acid. This is achieved by adding an excess of Ba and/or Ca carbonate or sulfide solution and filtering off the formed calcium and/or barium sulphate precipitates. Solid KClO 3 is then added to the filtrate to oxidise U 4+ to U 6+ . The normal extraction procedure using organic phosphoric esters as extraction liquid, can then be applied. (Th.P.)

  16. Product- and Process Units in the CRITT Translation Process Research Database

    DEFF Research Database (Denmark)

    Carl, Michael

    than 300 hours of text production. The database provides the raw logging data, as well as Tables of pre-processed product- and processing units. The TPR-DB includes various types of simple and composed product and process units that are intended to support the analysis and modelling of human text......The first version of the "Translation Process Research Database" (TPR DB v1.0) was released In August 2012, containing logging data of more than 400 translation and text production sessions. The current version of the TPR DB, (v1.4), contains data from more than 940 sessions, which represents more...

  17. Poisson branching point processes

    International Nuclear Information System (INIS)

    Matsuo, K.; Teich, M.C.; Saleh, B.E.A.

    1984-01-01

    We investigate the statistical properties of a special branching point process. The initial process is assumed to be a homogeneous Poisson point process (HPP). The initiating events at each branching stage are carried forward to the following stage. In addition, each initiating event independently contributes a nonstationary Poisson point process (whose rate is a specified function) located at that point. The additional contributions from all points of a given stage constitute a doubly stochastic Poisson point process (DSPP) whose rate is a filtered version of the initiating point process at that stage. The process studied is a generalization of a Poisson branching process in which random time delays are permitted in the generation of events. Particular attention is given to the limit in which the number of branching stages is infinite while the average number of added events per event of the previous stage is infinitesimal. In the special case when the branching is instantaneous this limit of continuous branching corresponds to the well-known Yule--Furry process with an initial Poisson population. The Poisson branching point process provides a useful description for many problems in various scientific disciplines, such as the behavior of electron multipliers, neutron chain reactions, and cosmic ray showers

  18. Investigation of Mediational Processes Using Parallel Process Latent Growth Curve Modeling

    Science.gov (United States)

    Cheong, JeeWon; MacKinnon, David P.; Khoo, Siek Toon

    2010-01-01

    This study investigated a method to evaluate mediational processes using latent growth curve modeling. The mediator and the outcome measured across multiple time points were viewed as 2 separate parallel processes. The mediational process was defined as the independent variable influencing the growth of the mediator, which, in turn, affected the growth of the outcome. To illustrate modeling procedures, empirical data from a longitudinal drug prevention program, Adolescents Training and Learning to Avoid Steroids, were used. The program effects on the growth of the mediator and the growth of the outcome were examined first in a 2-group structural equation model. The mediational process was then modeled and tested in a parallel process latent growth curve model by relating the prevention program condition, the growth rate factor of the mediator, and the growth rate factor of the outcome. PMID:20157639

  19. A Campbell random process

    International Nuclear Information System (INIS)

    Reuss, J.D.; Misguich, J.H.

    1993-02-01

    The Campbell process is a stationary random process which can have various correlation functions, according to the choice of an elementary response function. The statistical properties of this process are presented. A numerical algorithm and a subroutine for generating such a process is built up and tested, for the physically interesting case of a Campbell process with Gaussian correlations. The (non-Gaussian) probability distribution appears to be similar to the Gamma distribution

  20. Weak convergence of marked point processes generated by crossings of multivariate jump processes

    DEFF Research Database (Denmark)

    Tamborrino, Massimiliano; Sacerdote, Laura; Jacobsen, Martin

    2014-01-01

    We consider the multivariate point process determined by the crossing times of the components of a multivariate jump process through a multivariate boundary, assuming to reset each component to an initial value after its boundary crossing. We prove that this point process converges weakly...... process converging to a multivariate Ornstein–Uhlenbeck process is discussed as a guideline for applying diffusion limits for jump processes. We apply our theoretical findings to neural network modeling. The proposed model gives a mathematical foundation to the generalization of the class of Leaky...

  1. Business process quality management

    NARCIS (Netherlands)

    Reijers, H.A.; Mendling, J.; Recker, J.; Brocke, vom J.; Rosemann, M.

    2010-01-01

    Abstract Process modeling is a central element in any approach to Business Process Management (BPM). However, what hinders both practitioners and aca demics is the lack of support for assessing the quality of process models — let alone realizing high quality process models. Existing frameworks are

  2. Distributed genetic process mining

    NARCIS (Netherlands)

    Bratosin, C.C.; Sidorova, N.; Aalst, van der W.M.P.

    2010-01-01

    Process mining aims at discovering process models from data logs in order to offer insight into the real use of information systems. Most of the existing process mining algorithms fail to discover complex constructs or have problems dealing with noise and infrequent behavior. The genetic process

  3. 7 Processes that Enable NASA Software Engineering Technologies: Value-Added Process Engineering

    Science.gov (United States)

    Housch, Helen; Godfrey, Sally

    2011-01-01

    The presentation reviews Agency process requirements and the purpose, benefits, and experiences or seven software engineering processes. The processes include: product integration, configuration management, verification, software assurance, measurement and analysis, requirements management, and planning and monitoring.

  4. Motivated information processing and group decision-making : Effects of process accountability on information processing and decision quality

    NARCIS (Netherlands)

    Scholten, Lotte; van Knippenberg, Daan; Nijstad, Bernard A.; De Dreu, Carsten K. W.

    Integrating dual-process models [Chaiken, S., & Trope, Y. (Eds.). (1999). Dual-process theories in social psychology. NewYork: Guilford Press] with work on information sharing and group decision-making [Stasser, G., & Titus, W. (1985). Pooling of unshared information in group decision making: biased

  5. FFTF gas processing systems

    International Nuclear Information System (INIS)

    Halverson, T.G.

    1977-01-01

    The design and operation of the two radioactive gas processing systems at the Fast Flux Test Facility (FFTF) exemplifies the concept that will be used in the first generation of Liquid Metal Fast Breeder Reactors (LMFBR's). The two systems, the Radioactive Argon Processing System (RAPS) and the Cell Atmosphere Processing System (CAPS), process the argon and nitrogen used in the FFTF for cover gas on liquid metal systems and as inert atmospheres in steel lined cells housing sodium equipment. The RAPS specifically processes the argon cover gas from the reactor coolant system, providing for decontamination and eventual reuse. The CAPS processes radioactive gasses from inerted cells and other liquid metal cover gas systems, providing for decontamination and ultimate discharge to the atmosphere. The cryogenic processing of waste gas by both systems is described

  6. Sustainable Process Synthesis-Intensification

    DEFF Research Database (Denmark)

    Babi, Deenesh Kavi; Holtbruegge, Johannes; Lutze, Philip

    2014-01-01

    Sustainable process design can be achieved by performing process synthesis and process intensification together. This approach first defines a design target through a sustainability analysis and then finds design alternatives that match the target through process intensification. A systematic......, multi-stage framework for process synthesis- intensification that identifies more sustainable process designs has been developed. At stages 1-2, the working scale is at the level of unit operations, where a base case design is identified and analyzed with respect to sustainability metrics. At stages 3......, a phenomena-based process synthesis method is applied, where the phenomena involved in each tasks are identified, manipulated and recombined to generate new and/or existing unit operations configured into flowsheets that are more sustainable from those found in the previous levels. An overview of the key...

  7. Feller processes: the next generation in modeling. Brownian motion, Lévy processes and beyond.

    Directory of Open Access Journals (Sweden)

    Björn Böttcher

    Full Text Available We present a simple construction method for Feller processes and a framework for the generation of sample paths of Feller processes. The construction is based on state space dependent mixing of Lévy processes. Brownian Motion is one of the most frequently used continuous time Markov processes in applications. In recent years also Lévy processes, of which Brownian Motion is a special case, have become increasingly popular. Lévy processes are spatially homogeneous, but empirical data often suggest the use of spatially inhomogeneous processes. Thus it seems necessary to go to the next level of generalization: Feller processes. These include Lévy processes and in particular brownian motion as special cases but allow spatial inhomogeneities. Many properties of Feller processes are known, but proving the very existence is, in general, very technical. Moreover, an applicable framework for the generation of sample paths of a Feller process was missing. We explain, with practitioners in mind, how to overcome both of these obstacles. In particular our simulation technique allows to apply Monte Carlo methods to Feller processes.

  8. Standard Model processes

    CERN Document Server

    Mangano, M.L.; Aguilar-Saavedra, Juan Antonio; Alekhin, S.; Badger, S.; Bauer, C.W.; Becher, T.; Bertone, V.; Bonvini, M.; Boselli, S.; Bothmann, E.; Boughezal, R.; Cacciari, M.; Carloni Calame, C.M.; Caola, F.; Campbell, J.M.; Carrazza, S.; Chiesa, M.; Cieri, L.; Cimaglia, F.; Febres Cordero, F.; Ferrarese, P.; D'Enterria, D.; Ferrera, G.; Garcia i Tormo, X.; Garzelli, M.V.; Germann, E.; Hirschi, V.; Han, T.; Ita, H.; Jäger, B.; Kallweit, S.; Karlberg, A.; Kuttimalai, S.; Krauss, F.; Larkoski, A.J.; Lindert, J.; Luisoni, G.; Maierhöfer, P.; Mattelaer, O.; Martinez, H.; Moch, S.; Montagna, G.; Moretti, M.; Nason, P.; Nicrosini, O.; Oleari, C.; Pagani, D.; Papaefstathiou, A.; Petriello, F.; Piccinini, F.; Pierini, M.; Pierog, T.; Pozzorini, S.; Re, E.; Robens, T.; Rojo, J.; Ruiz, R.; Sakurai, K.; Salam, G.P.; Salfelder, L.; Schönherr, M.; Schulze, M.; Schumann, S.; Selvaggi, M.; Shivaji, A.; Siodmok, A.; Skands, P.; Torrielli, P.; Tramontano, F.; Tsinikos, I.; Tweedie, B.; Vicini, A.; Westhoff, S.; Zaro, M.; Zeppenfeld, D.; CERN. Geneva. ATS Department

    2017-06-22

    This report summarises the properties of Standard Model processes at the 100 TeV pp collider. We document the production rates and typical distributions for a number of benchmark Standard Model processes, and discuss new dynamical phenomena arising at the highest energies available at this collider. We discuss the intrinsic physics interest in the measurement of these Standard Model processes, as well as their role as backgrounds for New Physics searches.

  9. Classical higher-order processes

    DEFF Research Database (Denmark)

    Montesi, Fabrizio

    2017-01-01

    Classical Processes (CP) is a calculus where the proof theory of classical linear logic types processes à la Π-calculus, building on a Curry-Howard correspondence between session types and linear propositions. We contribute to this research line by extending CP with process mobility, inspired...... by the Higher-Order Π-calculus. The key to our calculus is that sequents are asymmetric: one side types sessions as in CP and the other types process variables, which can be instantiated with process values. The controlled interaction between the two sides ensures that process variables can be used at will......, but always respecting the linear usage of sessions expected by the environment....

  10. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  11. Quality Control in Automated Manufacturing Processes – Combined Features for Image Processing

    Directory of Open Access Journals (Sweden)

    B. Kuhlenkötter

    2006-01-01

    Full Text Available In production processes the use of image processing systems is widespread. Hardware solutions and cameras respectively are available for nearly every application. One important challenge of image processing systems is the development and selection of appropriate algorithms and software solutions in order to realise ambitious quality control for production processes. This article characterises the development of innovative software by combining features for an automatic defect classification on product surfaces. The artificial intelligent method Support Vector Machine (SVM is used to execute the classification task according to the combined features. This software is one crucial element for the automation of a manually operated production process

  12. The creation of process redesigns by selecting, transforming and replacing process parts

    NARCIS (Netherlands)

    Netjes, M.; Reijers, H.A.; Aalst, van der W.M.P.

    2008-01-01

    For companies to sustain competitive advantages, it is required to redesign and improve business processes continuously by monitoring and analyzing process enactment results. Furthermore, organizational structures must be redesigned according to the changes in business processes. However, there are

  13. Hierarchical process memory: memory as an integral component of information processing

    Science.gov (United States)

    Hasson, Uri; Chen, Janice; Honey, Christopher J.

    2015-01-01

    Models of working memory commonly focus on how information is encoded into and retrieved from storage at specific moments. However, in the majority of real-life processes, past information is used continuously to process incoming information across multiple timescales. Considering single unit, electrocorticography, and functional imaging data, we argue that (i) virtually all cortical circuits can accumulate information over time, and (ii) the timescales of accumulation vary hierarchically, from early sensory areas with short processing timescales (tens to hundreds of milliseconds) to higher-order areas with long processing timescales (many seconds to minutes). In this hierarchical systems perspective, memory is not restricted to a few localized stores, but is intrinsic to information processing that unfolds throughout the brain on multiple timescales. “The present contains nothing more than the past, and what is found in the effect was already in the cause.”Henri L Bergson PMID:25980649

  14. Process mining applied to the test process of wafer steppers in ASML

    NARCIS (Netherlands)

    Rozinat, A.; Jong, de I.S.M.; Günther, C.W.; Aalst, van der W.M.P.

    2009-01-01

    Process mining techniques attempt to extract nontrivial and useful information from event logs. For example, there are many process mining techniques to automatically discover a process model describing the causal dependencies between activities. Several successful case studies have been reported in

  15. Welding process

    International Nuclear Information System (INIS)

    Abdul Nassir Ibrahim; Azali Muhammad; Ab. Razak Hamzah; Abd. Aziz Mohamed; Mohamad Pauzi Ismail

    2008-01-01

    For the final chapter of this book, there is basic introduction on welding process. The good radiography must know somehow on welding process so that they can know what kind of welding that must rejected or not. All of the exposure technique that mention in earlier chapter almost applicable in this field because welding process is critical problem if there is no inspection will be done. So, for this chapter, all the discontinuity that usually appeared will be discussed and there is another discontinuity maybe not to important and do not give big impact if found it, do not described here. On top of that, the decision to accept or reject based on code, standard and specification that agreed by both to make sure that decision that agreed is corrected and more meaningful.

  16. Multivariate supOU processes

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole Eiler; Stelzer, Robert

    Univariate superpositions of Ornstein-Uhlenbeck (OU) type processes, called supOU processes, provide a class of continuous time processes capable of exhibiting long memory behaviour. This paper introduces multivariate supOU processes and gives conditions for their existence and finiteness...... of moments. Moreover, the second order moment structure is explicitly calculated, and examples exhibit the possibility of long range dependence. Our supOU processes are defined via homogeneous and factorisable Lévy bases. We show that the behaviour of supOU processes is particularly nice when the mean...... reversion parameter is restricted to normal matrices and especially to strictly negative definite ones.For finite variation Lévy bases we are able to give conditions for supOU processes to have locally bounded càdlàg paths of finite variation and to show an analogue of the stochastic differential equation...

  17. Multivariate supOU processes

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole Eiler; Stelzer, Robert

    2011-01-01

    Univariate superpositions of Ornstein–Uhlenbeck-type processes (OU), called supOU processes, provide a class of continuous time processes capable of exhibiting long memory behavior. This paper introduces multivariate supOU processes and gives conditions for their existence and finiteness of moments....... Moreover, the second-order moment structure is explicitly calculated, and examples exhibit the possibility of long-range dependence. Our supOU processes are defined via homogeneous and factorizable Lévy bases. We show that the behavior of supOU processes is particularly nice when the mean reversion...... parameter is restricted to normal matrices and especially to strictly negative definite ones. For finite variation Lévy bases we are able to give conditions for supOU processes to have locally bounded càdlàg paths of finite variation and to show an analogue of the stochastic differential equation of OU...

  18. Rapid process development of chromatographic process using direct analysis in real time mass spectrometry as a process analytical technology tool.

    Science.gov (United States)

    Yan, Binjun; Chen, Teng; Xu, Zhilin; Qu, Haibin

    2014-06-01

    The concept of quality by design (QbD) is widely applied in the process development of pharmaceuticals. However, the additional cost and time have caused some resistance about QbD implementation. To show a possible solution, this work proposed a rapid process development method, which used direct analysis in real time mass spectrometry (DART-MS) as a process analytical technology (PAT) tool for studying the chromatographic process of Ginkgo biloba L., as an example. The breakthrough curves were fast determined by DART-MS at-line. A high correlation coefficient of 0.9520 was found between the concentrations of ginkgolide A determined by DART-MS and HPLC. Based on the PAT tool, the impacts of process parameters on the adsorption capacity were discovered rapidly, which showed a decreased adsorption capacity with the increase of the flow rate. This work has shown the feasibility and advantages of integrating PAT into QbD implementation for rapid process development. Copyright © 2014 Elsevier B.V. All rights reserved.

  19. Recollection is a continuous process: implications for dual-process theories of recognition memory.

    Science.gov (United States)

    Mickes, Laura; Wais, Peter E; Wixted, John T

    2009-04-01

    Dual-process theory, which holds that recognition decisions can be based on recollection or familiarity, has long seemed incompatible with signal detection theory, which holds that recognition decisions are based on a singular, continuous memory-strength variable. Formal dual-process models typically regard familiarity as a continuous process (i.e., familiarity comes in degrees), but they construe recollection as a categorical process (i.e., recollection either occurs or does not occur). A continuous process is characterized by a graded relationship between confidence and accuracy, whereas a categorical process is characterized by a binary relationship such that high confidence is associated with high accuracy but all lower degrees of confidence are associated with chance accuracy. Using a source-memory procedure, we found that the relationship between confidence and source-recollection accuracy was graded. Because recollection, like familiarity, is a continuous process, dual-process theory is more compatible with signal detection theory than previously thought.

  20. Fractional Poisson process (II)

    International Nuclear Information System (INIS)

    Wang Xiaotian; Wen Zhixiong; Zhang Shiying

    2006-01-01

    In this paper, we propose a stochastic process W H (t)(H-bar (12,1)) which we call fractional Poisson process. The process W H (t) is self-similar in wide sense, displays long range dependence, and has more fatter tail than Gaussian process. In addition, it converges to fractional Brownian motion in distribution

  1. Making process improvement 'stick'.

    Science.gov (United States)

    Studer, Quint

    2014-06-01

    To sustain gains from a process improvement initiative, healthcare organizations should: Explain to staff why a process improvement initiative is needed. Encourage leaders within the organization to champion the process improvement, and tie their evaluations to its outcomes. Ensure that both leaders and employees have the skills to help sustain the sought-after process improvements.

  2. Process Improvement Essentials

    CERN Document Server

    Persse, James R

    2006-01-01

    Process Improvement Essentials combines the foundation needed to understand process improvement theory with the best practices to help individuals implement process improvement initiatives in their organization. The three leading programs: ISO 9001:2000, CMMI, and Six Sigma--amidst the buzz and hype--tend to get lumped together under a common label. This book delivers a combined guide to all three programs, compares their applicability, and then sets the foundation for further exploration.

  3. Integrated Renovation Process

    DEFF Research Database (Denmark)

    Galiotto, Nicolas; Heiselberg, Per; Knudstrup, Mary-Ann

    2016-01-01

    renovation to be overcome. The homeowners were better integrated and their preferences and immaterial values were better taken into account. To keep the decision-making process economically viable and timely, the process as known today still needs to be improved, and new tools need to be developed....... This paper presents a new scheme: the integrated renovation process. One successful case study is introduced, and recommendations for future developments needed in the field are provided....

  4. Business Process Quality Computation : Computing Non-Functional Requirements to Improve Business Processes

    NARCIS (Netherlands)

    Heidari, F.

    2015-01-01

    Business process modelling is an important part of system design. When designing or redesigning a business process, stakeholders specify, negotiate, and agree on business requirements to be satisfied, including non-functional requirements that concern the quality of the business process. This thesis

  5. Specification of e-business process model for PayPal online payment process using Reo

    NARCIS (Netherlands)

    M. Xie

    2005-01-01

    textabstractE-business process modeling allows business analysts to better understand and analyze the business processes, and eventually to use software systems to automate (parts of) these business processes to achieve higher profit. To support e-business process modeling, many business process

  6. Honing process optimization algorithms

    Science.gov (United States)

    Kadyrov, Ramil R.; Charikov, Pavel N.; Pryanichnikova, Valeria V.

    2018-03-01

    This article considers the relevance of honing processes for creating high-quality mechanical engineering products. The features of the honing process are revealed and such important concepts as the task for optimization of honing operations, the optimal structure of the honing working cycles, stepped and stepless honing cycles, simulation of processing and its purpose are emphasized. It is noted that the reliability of the mathematical model determines the quality parameters of the honing process control. An algorithm for continuous control of the honing process is proposed. The process model reliably describes the machining of a workpiece in a sufficiently wide area and can be used to operate the CNC machine CC743.

  7. Sustainable process synthesis–intensification

    DEFF Research Database (Denmark)

    Babi, Deenesh Kavi; Holtbruegge, Johannes; Lutze, Philip

    2015-01-01

    Chemical industry is facing global challenges such as the need to find sustainable production processes.Process intensification as part of process synthesis has the potential to find truly innovative and moresustainable solutions. In this paper, a computer-aided, multi-level, multi-scale framework...... for synthesis,design and intensification of processes, for identifying more sustainable alternatives is presented. Withinthe framework, a three stage work-flow has been implemented where, in the first “synthesis” stage anoptimal processing route is synthesized through a network superstructure optimization...

  8. Data processing made simple

    CERN Document Server

    Wooldridge, Susan

    2013-01-01

    Data Processing: Made Simple, Second Edition presents discussions of a number of trends and developments in the world of commercial data processing. The book covers the rapid growth of micro- and mini-computers for both home and office use; word processing and the 'automated office'; the advent of distributed data processing; and the continued growth of database-oriented systems. The text also discusses modern digital computers; fundamental computer concepts; information and data processing requirements of commercial organizations; and the historical perspective of the computer industry. The

  9. Participative Facility Planning for Obstetrical and Neonatal Care Processes: Beginning of Life Process

    Directory of Open Access Journals (Sweden)

    Jori Reijula

    2016-01-01

    Full Text Available Introduction. Old hospitals may promote inefficient patient care processes and safety. A new, functionally planned hospital presents a chance to create an environment that supports streamlined, patient-centered healthcare processes and adapts to users’ needs. This study depicts the phases of a facility planning project for pregnant women and newborn care processes (beginning of life process at Turku University Hospital. Materials and Methods. Project design reports and meeting documents were utilized to assess the beginning of life process as well as the work processes of the Women’s and Children’s Hospital. Results. The main elements of the facility design (FD project included rigorous preparation for the FD phase, functional planning throughout the FD process, and setting key values: (1 family-centered care, (2 Lean thinking and Lean tools as the framework for the FD process, (3 safety, and (4 cooperation. Conclusions. A well-prepared FD project with sufficient insight into functional planning, Lean thinking, and user-centricity seemed to facilitate the actual FD process. Although challenges occurred, the key values were not forgone and were successfully incorporated into the new hospital building.

  10. Signals, processes, and systems an interactive multimedia introduction to signal processing

    CERN Document Server

    Karrenberg, Ulrich

    2013-01-01

    This is a very new concept for learning Signal Processing, not only from the physically-based scientific fundamentals, but also from the didactic perspective, based on modern results of brain research. The textbook together with the DVD form a learning system that provides investigative studies and enables the reader to interactively visualize even complex processes. The unique didactic concept is built on visualizing signals and processes on the one hand, and on graphical programming of signal processing systems on the other. The concept has been designed especially for microelectronics, computer technology and communication. The book allows to develop, modify, and optimize useful applications using DasyLab - a professional and globally supported software for metrology and control engineering. With the 3rd edition, the software is also suitable for 64 bit systems running on Windows 7. Real signals can be acquired, processed and played on the sound card of your computer. The book provides more than 200 pre-pr...

  11. Modular toolkit for Data Processing (MDP: a Python data processing framework

    Directory of Open Access Journals (Sweden)

    Tiziano Zito

    2009-01-01

    Full Text Available Modular toolkit for Data Processing (MDP is a data processing framework written in Python. From the user's perspective, MDP is a collection of supervised and unsupervised learning algorithms and other data processing units that can be combined into data processing sequences and more complex feed-forward network architectures. Computations are performed efficiently in terms of speed and memory requirements. From the scientific developer's perspective, MDP is a modular framework, which can easily be expanded. The implementation of new algorithms is easy and intuitive. The new implemented units are then automatically integrated with the rest of the library. MDP has been written in the context of theoretical research in neuroscience, but it has been designed to be helpful in any context where trainable data processing algorithms are used. Its simplicity on the user's side, the variety of readily available algorithms, and the reusability of the implemented units make it also a useful educational tool.

  12. ARTIST process. A novel chemical process for treatment of spent nuclear fuel

    International Nuclear Information System (INIS)

    Tachimori, Shoichi

    2001-10-01

    A new chemical process, ARTIST process, is proposed for the treatment of spent nuclear fuel. The main concept of the ARTIST process is to recover and stock all actinides (Ans) as two groups, uranium (U) and a mixture of transuranics (TRU), to preserve their resource value and to dispose solely fission products (FPs). The process is composed of two main steps, an U exclusive isolation and a total recovery of TRU; which copes with the nuclear non-proliferation measures, and additionally of Pu separation process and soft N-donor process if requested, and optionally of processes for separation of long-lived FPs. These An products: U-product and TRU-product, are to be solidified by calcination and allowed to the interim stockpile for future utilization. These separations are achieved by use of amidic extractants in accord with the CHON principle. The technical feasibility of the ARTIST process was explained by the performance of both the branched alkyl monoamides in extracting U and suppressing the extraction of tetravalent Ans due to the steric effect and the diglycolic amide (TODGA) in thorough extraction of all TRU by tridentate fashion. When these TRU are requested to put into reactors, LWR or FBR, for power generation or the Accelerator - Driven System (ADS) for transmutation, Pu (Np) or Am-Cm (Np) are to be extracted from the TRU-product. (author)

  13. Exploiting process cubes, analytic workflows and process mining for business process reporting : a case study in education

    NARCIS (Netherlands)

    Bolt Iriondo, Alfredo; de Leoni, Massimiliano; van der Aalst, Wil M.P.; Gorissen, Pierre; Ceravolo, P.; Rinderle-Ma, S.

    2015-01-01

    Business Process Intelligence (BPI) is an emerging topic that has gained popularity in the last decade. It is driven by the need for analysis techniques that allow businesses to understand and improve their processes. One of the most common applications of BPI is reporting, which consists on the

  14. On Intelligent Design and Planning Method of Process Route Based on Gun Breech Machining Process

    Science.gov (United States)

    Hongzhi, Zhao; Jian, Zhang

    2018-03-01

    The paper states an approach of intelligent design and planning of process route based on gun breech machining process, against several problems, such as complex machining process of gun breech, tedious route design and long period of its traditional unmanageable process route. Based on gun breech machining process, intelligent design and planning system of process route are developed by virtue of DEST and VC++. The system includes two functional modules--process route intelligent design and its planning. The process route intelligent design module, through the analysis of gun breech machining process, summarizes breech process knowledge so as to complete the design of knowledge base and inference engine. And then gun breech process route intelligently output. On the basis of intelligent route design module, the final process route is made, edited and managed in the process route planning module.

  15. A systematic synthesis and design methodology to achieve process intensification in (bio) chemical processes

    DEFF Research Database (Denmark)

    Lutze, Philip; Roman Martinez, Alicia; Woodley, John

    2012-01-01

    Process intensification (PI) has the potential to improve existing processes or create new process options, which are needed in order to produce products using more sustainable methods. In principle, an enormous number of process options can be generated but where and how the process should be in...

  16. Process Mining Methodology for Health Process Tracking Using Real-Time Indoor Location Systems

    Science.gov (United States)

    Fernandez-Llatas, Carlos; Lizondo, Aroa; Monton, Eduardo; Benedi, Jose-Miguel; Traver, Vicente

    2015-01-01

    The definition of efficient and accurate health processes in hospitals is crucial for ensuring an adequate quality of service. Knowing and improving the behavior of the surgical processes in a hospital can improve the number of patients that can be operated on using the same resources. However, the measure of this process is usually made in an obtrusive way, forcing nurses to get information and time data, affecting the proper process and generating inaccurate data due to human errors during the stressful journey of health staff in the operating theater. The use of indoor location systems can take time information about the process in an unobtrusive way, freeing nurses, allowing them to engage in purely welfare work. However, it is necessary to present these data in a understandable way for health professionals, who cannot deal with large amounts of historical localization log data. The use of process mining techniques can deal with this problem, offering an easily understandable view of the process. In this paper, we present a tool and a process mining-based methodology that, using indoor location systems, enables health staff not only to represent the process, but to know precise information about the deployment of the process in an unobtrusive and transparent way. We have successfully tested this tool in a real surgical area with 3613 patients during February, March and April of 2015. PMID:26633395

  17. Process Mining Methodology for Health Process Tracking Using Real-Time Indoor Location Systems.

    Science.gov (United States)

    Fernandez-Llatas, Carlos; Lizondo, Aroa; Monton, Eduardo; Benedi, Jose-Miguel; Traver, Vicente

    2015-11-30

    The definition of efficient and accurate health processes in hospitals is crucial for ensuring an adequate quality of service. Knowing and improving the behavior of the surgical processes in a hospital can improve the number of patients that can be operated on using the same resources. However, the measure of this process is usually made in an obtrusive way, forcing nurses to get information and time data, affecting the proper process and generating inaccurate data due to human errors during the stressful journey of health staff in the operating theater. The use of indoor location systems can take time information about the process in an unobtrusive way, freeing nurses, allowing them to engage in purely welfare work. However, it is necessary to present these data in a understandable way for health professionals, who cannot deal with large amounts of historical localization log data. The use of process mining techniques can deal with this problem, offering an easily understandable view of the process. In this paper, we present a tool and a process mining-based methodology that, using indoor location systems, enables health staff not only to represent the process, but to know precise information about the deployment of the process in an unobtrusive and transparent way. We have successfully tested this tool in a real surgical area with 3613 patients during February, March and April of 2015.

  18. Discovering Process Reference Models from Process Variants Using Clustering Techniques

    NARCIS (Netherlands)

    Li, C.; Reichert, M.U.; Wombacher, Andreas

    2008-01-01

    In today's dynamic business world, success of an enterprise increasingly depends on its ability to react to changes in a quick and flexible way. In response to this need, process-aware information systems (PAIS) emerged, which support the modeling, orchestration and monitoring of business processes

  19. Thin film processes II

    CERN Document Server

    Kern, Werner

    1991-01-01

    This sequel to the 1978 classic, Thin Film Processes, gives a clear, practical exposition of important thin film deposition and etching processes that have not yet been adequately reviewed. It discusses selected processes in tutorial overviews with implementation guide lines and an introduction to the literature. Though edited to stand alone, when taken together, Thin Film Processes II and its predecessor present a thorough grounding in modern thin film techniques.Key Features* Provides an all-new sequel to the 1978 classic, Thin Film Processes* Introduces new topics, and sever

  20. Business process transformation

    CERN Document Server

    Grover, Varun

    2015-01-01

    Featuring contributions from prominent thinkers and researchers, this volume in the ""Advances in Management Information Systems"" series provides a rich set of conceptual, empirical, and introspective studies that epitomize fundamental knowledge in the area of Business Process Transformation. Processes are interpreted broadly to include operational and managerial processes within and between organizations, as well as those involved in knowledge generation. Transformation includes radical and incremental change, its conduct, management, and outcome. The editors and contributing authors pay clo

  1. Shell coal gasification process

    Energy Technology Data Exchange (ETDEWEB)

    Hennekes, B. [Shell Global Solutions (US) Inc. (United States). Technology Marketing

    2002-07-01

    The presentation, on which 17 slides/overheads are included in the papers, explained the principles of the Shell coal gasification process and the methods incorporated for control of sulfur dioxide, nitrogen oxides, particulates and mercury. The economics of the process were discussed. The differences between gasification and burning, and the differences between the Shell process and other processes were discussed.

  2. Closed-Loop Process Control for Electron Beam Freeform Fabrication and Deposition Processes

    Science.gov (United States)

    Taminger, Karen M. (Inventor); Hafley, Robert A. (Inventor); Martin, Richard E. (Inventor); Hofmeister, William H. (Inventor)

    2013-01-01

    A closed-loop control method for an electron beam freeform fabrication (EBF(sup 3)) process includes detecting a feature of interest during the process using a sensor(s), continuously evaluating the feature of interest to determine, in real time, a change occurring therein, and automatically modifying control parameters to control the EBF(sup 3) process. An apparatus provides closed-loop control method of the process, and includes an electron gun for generating an electron beam, a wire feeder for feeding a wire toward a substrate, wherein the wire is melted and progressively deposited in layers onto the substrate, a sensor(s), and a host machine. The sensor(s) measure the feature of interest during the process, and the host machine continuously evaluates the feature of interest to determine, in real time, a change occurring therein. The host machine automatically modifies control parameters to the EBF(sup 3) apparatus to control the EBF(sup 3) process in a closed-loop manner.

  3. Issues Management Process Course # 38401

    Energy Technology Data Exchange (ETDEWEB)

    Binion, Ula Marie [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2018-02-01

    The purpose of this training it to advise Issues Management Coordinators (IMCs) on the revised Contractor Assurance System (CAS) Issues Management (IM) process. Terminal Objectives: Understand the Laboratory’s IM process; Understand your role in the Laboratory’s IM process. Learning Objectives: Describe the IM process within the context of the CAS; Describe the importance of implementing an institutional IM process at LANL; Describe the process flow for the Laboratory’s IM process; Apply the definition of an issue; Use available resources to determine initial screening risk levels for issues; Describe the required major process steps for each risk level; Describe the personnel responsibilities for IM process implementation; Access available resources to support IM process implementation.

  4. Organizing Process

    DEFF Research Database (Denmark)

    Hull Kristensen, Peer; Bojesen, Anders

    This paper invites to discuss the processes of individualization and organizing being carried out under what we might see as an emerging regime of change. The underlying argumentation is that in certain processes of change, competence becomes questionable at all times. The hazy characteristics...... of this regime of change are pursued through a discussion of competencies as opposed to qualifications illustrated by distinct cases from the Danish public sector in the search for repetitive mechanisms. The cases are put into a general perspective by drawing upon experiences from similar change processes...... in MNCs. The paper concludes by asking whether we can escape from a regime of competence in a world defined by a rhetoric of change and create a more promising world in which doubt and search serve as a strategy for gaining knowledge and professionalism that improve on our capability for mutualism....

  5. The SILVA atomic process

    International Nuclear Information System (INIS)

    Cazalet, J.

    1997-01-01

    The SILVA laser isotope separation process is based on the laser selective photo-ionization of uranium atomic vapour; the process is presently under development by CEA and COGEMA in France, with the aim to reduce by a factor three the cost of uranium enrichment. The two main components of a SILVA process plant are the lasers (copper vapour lasers and dye lasers) and the separator for the vaporization (with a high energy electron beam), ionization and separation operations. Researches on the SILVA process started in 1985 and the technical and economical feasibility is to be demonstrated in 1997. The progresses of similar rival processes and other processes are discussed and the remaining research stages and themes of the SILVA program are presented

  6. Badge Office Process Analysis

    Energy Technology Data Exchange (ETDEWEB)

    Haurykiewicz, John Paul [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Dinehart, Timothy Grant [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Parker, Robert Young [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2016-05-12

    The purpose of this process analysis was to analyze the Badge Offices’ current processes from a systems perspective and consider ways of pursuing objectives set forth by SEC-PS, namely increased customer flow (throughput) and reduced customer wait times. Information for the analysis was gathered for the project primarily through Badge Office Subject Matter Experts (SMEs), and in-person observation of prevailing processes. Using the information gathered, a process simulation model was constructed to represent current operations and allow assessment of potential process changes relative to factors mentioned previously. The overall purpose of the analysis was to provide SEC-PS management with information and recommendations to serve as a basis for additional focused study and areas for potential process improvements in the future.

  7. Water And Waste Water Processing

    International Nuclear Information System (INIS)

    Yang, Byeong Ju

    1988-04-01

    This book shows US the distribution diagram of water and waste water processing with device of water processing, and device of waste water processing, property of water quality like measurement of pollution of waste water, theoretical Oxygen demand, and chemical Oxygen demand, processing speed like zero-order reactions and enzyme reactions, physical processing of water and waste water, chemical processing of water and waste water like neutralization and buffering effect, biological processing of waste water, ammonia removal, and sludges processing.

  8. Specification of e-business process model for PayPal online payment process using Reo

    OpenAIRE

    Xie, M.

    2005-01-01

    textabstractE-business process modeling allows business analysts to better understand and analyze the business processes, and eventually to use software systems to automate (parts of) these business processes to achieve higher profit. To support e-business process modeling, many business process modeling languages have been used as tools. However, many existing business process modeling languages lack (a) formal semantics, (b) formal computational model, and (c) an integrated view of the busi...

  9. Translating Message Sequence Charts to other Process Languages using Process

    DEFF Research Database (Denmark)

    Lassen, Kristian Bisgaard; van Dongen, Boudewijn F.

    2008-01-01

    stakeholders. Often such discussions lead to more complete behavioral models described by e.g. Event-driven Process Chains (EPCs), UML activity diagrams, BPMN models, Petri nets, etc. Process mining on the other hand, deals with the problem of constructing complete behavioral models by analyzing event logs...

  10. Pennsylvania's partnering process

    International Nuclear Information System (INIS)

    Latham, J.W.

    1996-01-01

    Pennsylvania is committed to finding a site for a low-level radioactive waste (LLRW) disposal facility through an innovative voluntary process. The Pennsylvania Department of Environmental Protection (DEP) and Chem-Nuclear Systems, Inc. (CNSI) developed the Community Partnering Plan with extensive public participation. The Community Partnering Plan outlines a voluntary process that empowers municipalities to evaluate the advantages and disadvantages of hosting the facility. DEP and CNSI began developing the Community Partnering Plan in July 1995. Before then, CNSI was using a screening process prescribed by state law and regulations to find a location for the facility. So far, approximately 78 percent of the Commonwealth has been identified as disqualified as a site for the LLRW disposal facility. The siting effort will now focus on identifying volunteer host municipalities in the remaining 22 percent of the state. This combination of technical screening and voluntary consideration makes Pennsylvania's process unique. A volunteered site will have to meet the same tough requirements for protecting people and the environment as a site chosen through the screening process. Protection of public health and safety continues to be the foundation of the state's siting efforts. The Community Partnering Plan offers a window of opportunity. If Pennsylvania does not find volunteer municipalities with suitable sites by the end of 1997, it probably will return to a technical screening process

  11. Raw material changes and their processing parameters in an extrusion cooking process

    DEFF Research Database (Denmark)

    Cheng, Hongyuan; Friis, Alan

    In this work, the effects of raw material and process parameters on product expansion in a fish feed extrusion process were investigated. Four different recipes were studied with a pilot-scale twin-screw co-rotating extruder according to a set of pre-defined processing conditions. In the four rec...

  12. Process monitoring for intelligent manufacturing processes - Methodology and application to Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas

    Process monitoring provides important information on the product, process and manufacturing system during part manufacturing. Such information can be used for process optimization and detection of undesired processing conditions to initiate timely actions for avoidance of defects, thereby improving...... quality assurance. This thesis is aimed at a systematic development of process monitoring solutions, constituting a key element of intelligent manufacturing systems towards zero defect manufacturing. A methodological approach of general applicability is presented in this concern.The approach consists...... of six consecutive steps for identification of product Vital Quality Characteristics (VQCs) and Key Process Variables (KPVs), selection and characterization of sensors, optimization of sensors placement, validation of the monitoring solutions, definition of the reference manufacturing performance...

  13. Laser dimpling process parameters selection and optimization using surrogate-driven process capability space

    Science.gov (United States)

    Ozkat, Erkan Caner; Franciosa, Pasquale; Ceglarek, Dariusz

    2017-08-01

    Remote laser welding technology offers opportunities for high production throughput at a competitive cost. However, the remote laser welding process of zinc-coated sheet metal parts in lap joint configuration poses a challenge due to the difference between the melting temperature of the steel (∼1500 °C) and the vapourizing temperature of the zinc (∼907 °C). In fact, the zinc layer at the faying surface is vapourized and the vapour might be trapped within the melting pool leading to weld defects. Various solutions have been proposed to overcome this problem over the years. Among them, laser dimpling has been adopted by manufacturers because of its flexibility and effectiveness along with its cost advantages. In essence, the dimple works as a spacer between the two sheets in lap joint and allows the zinc vapour escape during welding process, thereby preventing weld defects. However, there is a lack of comprehensive characterization of dimpling process for effective implementation in real manufacturing system taking into consideration inherent changes in variability of process parameters. This paper introduces a methodology to develop (i) surrogate model for dimpling process characterization considering multiple-inputs (i.e. key control characteristics) and multiple-outputs (i.e. key performance indicators) system by conducting physical experimentation and using multivariate adaptive regression splines; (ii) process capability space (Cp-Space) based on the developed surrogate model that allows the estimation of a desired process fallout rate in the case of violation of process requirements in the presence of stochastic variation; and, (iii) selection and optimization of the process parameters based on the process capability space. The proposed methodology provides a unique capability to: (i) simulate the effect of process variation as generated by manufacturing process; (ii) model quality requirements with multiple and coupled quality requirements; and (iii

  14. Contaminated nickel scrap processing

    International Nuclear Information System (INIS)

    Compere, A.L.; Griffith, W.L.; Hayden, H.W.; Johnson, J.S. Jr.; Wilson, D.F.

    1994-12-01

    The DOE will soon choose between treating contaminated nickel scrap as a legacy waste and developing high-volume nickel decontamination processes. In addition to reducing the volume of legacy wastes, a decontamination process could make 200,000 tons of this strategic metal available for domestic use. Contaminants in DOE nickel scrap include 234 Th, 234 Pa, 137 Cs, 239 Pu (trace), 60 Co, U, 99 Tc, and 237 Np (trace). This report reviews several industrial-scale processes -- electrorefining, electrowinning, vapormetallurgy, and leaching -- used for the purification of nickel. Conventional nickel electrolysis processes are particularly attractive because they use side-stream purification of process solutions to improve the purity of nickel metal. Additionally, nickel purification by electrolysis is effective in a variety of electrolyte systems, including sulfate, chloride, and nitrate. Conventional electrorefining processes typically use a mixed electrolyte which includes sulfate, chloride, and borate. The use of an electrorefining or electrowinning system for scrap nickel recovery could be combined effectively with a variety of processes, including cementation, solvent extraction, ion exchange, complex-formation, and surface sorption, developed for uranium and transuranic purification. Selected processes were reviewed and evaluated for use in nickel side-stream purification. 80 refs

  15. Wetland Groundwater Processes

    National Research Council Canada - National Science Library

    Williams, Greg

    1993-01-01

    This technical note summarizes hydrologic and hydraulic (H AND H) processes and the related terminology that will likely be encountered during an evaluation of the effect of ground-water processes on wetland function...

  16. Manufacturing Process Selection of Composite Bicycle’s Crank Arm using Analytical Hierarchy Process (AHP)

    Science.gov (United States)

    Luqman, M.; Rosli, M. U.; Khor, C. Y.; Zambree, Shayfull; Jahidi, H.

    2018-03-01

    Crank arm is one of the important parts in a bicycle that is an expensive product due to the high cost of material and production process. This research is aimed to investigate the potential type of manufacturing process to fabricate composite bicycle crank arm and to describe an approach based on analytical hierarchy process (AHP) that assists decision makers or manufacturing engineers in determining the most suitable process to be employed in manufacturing of composite bicycle crank arm at the early stage of the product development process to reduce the production cost. There are four types of processes were considered, namely resin transfer molding (RTM), compression molding (CM), vacuum bag molding and filament winding (FW). The analysis ranks these four types of process for its suitability in the manufacturing of bicycle crank arm based on five main selection factors and 10 sub factors. Determining the right manufacturing process was performed based on AHP process steps. Consistency test was performed to make sure the judgements are consistent during the comparison. The results indicated that the compression molding was the most appropriate manufacturing process because it has the highest value (33.6%) among the other manufacturing processes.

  17. Advanced materials processing

    International Nuclear Information System (INIS)

    Giamei, A.F.

    1993-01-01

    Advanced materials will require improved processing methods due to high melting points, low toughness or ductility values, high reactivity with air or ceramics and typically complex crystal structures with significant anisotropy in flow and/or fracture stress. Materials for structural applications at elevated temperature in critical systems will require processing with a high degree of control. This requires an improved understanding of the relationship between process variables and microstructure to enable control systems to achieve consistently high quality. One avenue to the required level of understanding is computer simulation. Past attempts to do process modeling have been hampered by incomplete data regarding thermophysical or mechanical material behavior. Some of the required data can be calculated. Due to the advances in software and hardware, accuracy and costs are in the realm of acquiring experimental data. Such calculations can, for example, be done at an atomic level to compute lattice energy, fault energies, density of states and charge densities. These can lead to fundamental information about the competition between slip and fracture, anisotropy of bond strength (and therefore cleavage strength), cohesive strength, adhesive strength, elastic modulus, thermal expansion and possibly other quantities which are difficult (and therefore expensive to measure). Some of these quantities can be fed into a process model. It is probable that temperature dependencies can be derived numerically as well. Examples are given of the beginnings of such an approach for Ni 3 Al and MoSi 2 . Solidification problems are examples of the state-of-the-art process modeling and adequately demonstrate the need for extensive input data. Such processes can be monitored in terms of interfacial position vs. time, cooling rate and thermal gradient

  18. Optimization of a novel enzyme treatment process for early-stage processing of sheepskins.

    Science.gov (United States)

    Lim, Y F; Bronlund, J E; Allsop, T F; Shilton, A N; Edmonds, R L

    2010-01-01

    An enzyme treatment process for early-stage processing of sheepskins has been previously reported by the Leather and Shoe Research Association of New Zealand (LASRA) as an alternative to current industry operations. The newly developed process had marked benefits over conventional processing in terms of a lowered energy usage (73%), processing time (47%) as well as water use (49%), but had been developed as a "proof of principle''. The objective of this work was to develop the process further to a stage ready for adoption by industry. Mass balancing was used to investigate potential modifications for the process based on the understanding developed from a detailed analysis of preliminary design trials. Results showed that a configuration utilising a 2 stage counter-current system for the washing stages and segregation and recycling of enzyme float prior to dilution in the neutralization stage was a significant improvement. Benefits over conventional processing include a reduction of residual TDS by 50% at the washing stages and 70% savings on water use overall. Benefits over the un-optimized LASRA process are reduction of solids in product after enzyme treatment and neutralization stages by 30%, additional water savings of 21%, as well as 10% savings of enzyme usage.

  19. Rational Unified Process

    OpenAIRE

    Kopal, Nils

    2016-01-01

    In this German seminar paper, which was written in the year 2011 at the University of Duisburg for a Bachelor Colloquium in Applied computer science, we show a brief overview of the Rational Unified Process (RUP). Thus, interested students or generally interested people in software development gain a first impression of RUP. The paper includes a survey and overview of the underlying process structure, the phases of the process, its workflows, and describes the always by the RUP developers pos...

  20. gProcess and ESIP Platforms for Satellite Imagery Processing over the Grid

    Science.gov (United States)

    Bacu, Victor; Gorgan, Dorian; Rodila, Denisa; Pop, Florin; Neagu, Gabriel; Petcu, Dana

    2010-05-01

    The Environment oriented Satellite Data Processing Platform (ESIP) is developed through the SEE-GRID-SCI (SEE-GRID eInfrastructure for regional eScience) co-funded by the European Commission through FP7 [1]. The gProcess Platform [2] is a set of tools and services supporting the development and the execution over the Grid of the workflow based processing, and particularly the satelite imagery processing. The ESIP [3], [4] is build on top of the gProcess platform by adding a set of satellite image processing software modules and meteorological algorithms. The satellite images can reveal and supply important information on earth surface parameters, climate data, pollution level, weather conditions that can be used in different research areas. Generally, the processing algorithms of the satellite images can be decomposed in a set of modules that forms a graph representation of the processing workflow. Two types of workflows can be defined in the gProcess platform: abstract workflow (PDG - Process Description Graph), in which the user defines conceptually the algorithm, and instantiated workflow (iPDG - instantiated PDG), which is the mapping of the PDG pattern on particular satellite image and meteorological data [5]. The gProcess platform allows the definition of complex workflows by combining data resources, operators, services and sub-graphs. The gProcess platform is developed for the gLite middleware that is available in EGEE and SEE-GRID infrastructures [6]. gProcess exposes the specific functionality through web services [7]. The Editor Web Service retrieves information on available resources that are used to develop complex workflows (available operators, sub-graphs, services, supported resources, etc.). The Manager Web Service deals with resources management (uploading new resources such as workflows, operators, services, data, etc.) and in addition retrieves information on workflows. The Executor Web Service manages the execution of the instantiated workflows

  1. Business Process Inventory

    Data.gov (United States)

    Office of Personnel Management — Inventory of maps and descriptions of the business processes of the U.S. Office of Personnel Management (OPM), with an emphasis on the processes of the Office of the...

  2. Eye Tracking Meets the Process of Process Modeling: a Visual Analytic Approach

    DEFF Research Database (Denmark)

    Burattin, Andrea; Kaiser, M.; Neurauter, Manuel

    2017-01-01

    Research on the process of process modeling (PPM) studies how process models are created. It typically uses the logs of the interactions with the modeling tool to assess the modeler’s behavior. In this paper we suggest to introduce an additional stream of data (i.e., eye tracking) to improve the ...

  3. Empirical evaluation of the Process Overview Measure for assessing situation awareness in process plants.

    Science.gov (United States)

    Lau, Nathan; Jamieson, Greg A; Skraaning, Gyrd

    2016-03-01

    The Process Overview Measure is a query-based measure developed to assess operator situation awareness (SA) from monitoring process plants. A companion paper describes how the measure has been developed according to process plant properties and operator cognitive work. The Process Overview Measure demonstrated practicality, sensitivity, validity and reliability in two full-scope simulator experiments investigating dramatically different operational concepts. Practicality was assessed based on qualitative feedback of participants and researchers. The Process Overview Measure demonstrated sensitivity and validity by revealing significant effects of experimental manipulations that corroborated with other empirical results. The measure also demonstrated adequate inter-rater reliability and practicality for measuring SA in full-scope simulator settings based on data collected on process experts. Thus, full-scope simulator studies can employ the Process Overview Measure to reveal the impact of new control room technology and operational concepts on monitoring process plants. Practitioner Summary: The Process Overview Measure is a query-based measure that demonstrated practicality, sensitivity, validity and reliability for assessing operator situation awareness (SA) from monitoring process plants in representative settings.

  4. Technology or Process First?

    DEFF Research Database (Denmark)

    Siurdyban, Artur Henryk; Svejvig, Per; Møller, Charles

    Enterprise Systems Management (ESM) and Business Pro- cess Management (BPM), although highly correlated, have evolved as alternative and mutually exclusive approaches to corporate infrastruc- ture. As a result, companies struggle to nd the right balance between technology and process factors...... in infrastructure implementation projects. The purpose of this paper is articulate a need and a direction to medi- ate between the process-driven and the technology-driven approaches. Using a cross-case analysis, we gain insight into two examples of sys- tems and process implementation. We highlight the dierences...... between them using strategic alignment, Enterprise Systems and Business Process Management theories. We argue that the insights from these cases can lead to a better alignment between process and technology. Implications for practice include the direction towards a closer integration of process...

  5. Sustainable Process Synthesis-Intensification

    DEFF Research Database (Denmark)

    Babi, Deenesh Kavi

    The chemical and biochemical industry needs major reductions in energy consumption, waste generation, number of equipment used in the construction of plants and capital/operational cost. These required reductions can be addressed through process intensification that is the efficient use of raw....... Therefore sustainable process design can be achieved by performing process syn-thesis and process intensification together. The main contribution of this work is the development of a systematic computer-aided multi-scale, multi-level framework for performing process synthesis-intensification that aims...... designs that otherwise could not be found from the higher scales. The framework is applied to three case studies related to the chemical and bioprocess industry in order to test the applicability of the framework for covering a wide range of applications, showing that process intensification provides...

  6. Remarks to a process-overlapping description of cost structures of energy conversion processes

    International Nuclear Information System (INIS)

    Barnert, H.

    1986-03-01

    The cost of energy conversion processes are more and more determined by capital expenses. These are partly used to improve the efficiency. With a mathematical formula for the relation between capital costs and efficiency a process-over-laping description is proposed and proved at 10 typically chosen energy conversion processes. The result is a classification of enery conversion processes in categories of efficiency-producing and efficiency-independent capital expenditures. Another result is that process-overlapping the relative capital cost supplement is described by the (1-eta)/eta-law. (orig.) [de

  7. Renewal processes

    CERN Document Server

    Mitov, Kosto V

    2014-01-01

    This monograph serves as an introductory text to classical renewal theory and some of its applications for graduate students and researchers in mathematics and probability theory. Renewal processes play an important part in modeling many phenomena in insurance, finance, queuing systems, inventory control and other areas. In this book, an overview of univariate renewal theory is given and renewal processes in the non-lattice and lattice case are discussed. A pre-requisite is a basic knowledge of probability theory.

  8. Transnational Learning Processes

    DEFF Research Database (Denmark)

    Nedergaard, Peter

    This paper analyses and compares the transnational learning processes in the employment field in the European Union and among the Nordic countries. Based theoretically on a social constructivist model of learning and methodologically on a questionnaire distributed to the relevant participants......, a number of hypotheses concerning transnational learning processes are tested. The paper closes with a number of suggestions regarding an optimal institutional setting for facilitating transnational learning processes.Key words: Transnational learning, Open Method of Coordination, Learning, Employment......, European Employment Strategy, European Union, Nordic countries....

  9. Software Process Validation: Quantitatively Measuring the Correspondence of a Process to a Model

    National Research Council Canada - National Science Library

    Cook, Jonathan E; Wolf, Alexander L

    1997-01-01

    .... When process models and process executions diverge, something significant is happening. The authors have developed techniques for uncovering and measuring the discrepancies between models and executions, which they call process validation...

  10. A computer-aided approach for achieving sustainable process design by process intensification

    DEFF Research Database (Denmark)

    Anantasarn, Nateetorn; Suriyapraphadilok, Uthaiporn; Babi, Deenesh Kavi

    2017-01-01

    to generate flowsheet alternatives that satisfy the design targets thereby, minimizing and/or eliminating the process hot-spots. The application of the framework is highlighted through the production of para-xylene via toluene methylation where more sustainable flowsheet alternatives that consist of hybrid......Process intensification can be applied to achieve sustainable process design. In this paper, a systematic, 3-stage synthesis-intensification framework is applied to achieve more sustainable design. In stage 1, the synthesis stage, an objective function and design constraints are defined and a base...... case is synthesized. In stage 2, the design and analysis stage, the base case is analyzed using economic and environmental analyses to identify process hot-spots that are translated into design targets. In stage 3, the innovation design stage, phenomena-based process intensification is performed...

  11. Laser material processing

    CERN Document Server

    Steen, William

    2010-01-01

    This text moves from the basics of laser physics to detailed treatments of all major materials processing techniques for which lasers are now essential. New chapters cover laser physics, drilling, micro- and nanomanufacturing and biomedical laser processing.

  12. Cascading activation from lexical processing to letter-level processing in written word production.

    Science.gov (United States)

    Buchwald, Adam; Falconer, Carolyn

    2014-01-01

    Descriptions of language production have identified processes involved in producing language and the presence and type of interaction among those processes. In the case of spoken language production, consensus has emerged that there is interaction among lexical selection processes and phoneme-level processing. This issue has received less attention in written language production. In this paper, we present a novel analysis of the writing-to-dictation performance of an individual with acquired dysgraphia revealing cascading activation from lexical processing to letter-level processing. The individual produced frequent lexical-semantic errors (e.g., chipmunk → SQUIRREL) as well as letter errors (e.g., inhibit → INBHITI) and had a profile consistent with impairment affecting both lexical processing and letter-level processing. The presence of cascading activation is suggested by lower letter accuracy on words that are more weakly activated during lexical selection than on those that are more strongly activated. We operationalize weakly activated lexemes as those lexemes that are produced as lexical-semantic errors (e.g., lethal in deadly → LETAHL) compared to strongly activated lexemes where the intended target word (e.g., lethal) is the lexeme selected for production.

  13. Explicit and Implicit Processes Constitute the Fast and Slow Processes of Sensorimotor Learning.

    Science.gov (United States)

    McDougle, Samuel D; Bond, Krista M; Taylor, Jordan A

    2015-07-01

    A popular model of human sensorimotor learning suggests that a fast process and a slow process work in parallel to produce the canonical learning curve (Smith et al., 2006). Recent evidence supports the subdivision of sensorimotor learning into explicit and implicit processes that simultaneously subserve task performance (Taylor et al., 2014). We set out to test whether these two accounts of learning processes are homologous. Using a recently developed method to assay explicit and implicit learning directly in a sensorimotor task, along with a computational modeling analysis, we show that the fast process closely resembles explicit learning and the slow process approximates implicit learning. In addition, we provide evidence for a subdivision of the slow/implicit process into distinct manifestations of motor memory. We conclude that the two-state model of motor learning is a close approximation of sensorimotor learning, but it is unable to describe adequately the various implicit learning operations that forge the learning curve. Our results suggest that a wider net be cast in the search for the putative psychological mechanisms and neural substrates underlying the multiplicity of processes involved in motor learning. Copyright © 2015 the authors 0270-6474/15/359568-12$15.00/0.

  14. Contaminated nickel scrap processing

    Energy Technology Data Exchange (ETDEWEB)

    Compere, A.L.; Griffith, W.L.; Hayden, H.W.; Johnson, J.S. Jr.; Wilson, D.F.

    1994-12-01

    The DOE will soon choose between treating contaminated nickel scrap as a legacy waste and developing high-volume nickel decontamination processes. In addition to reducing the volume of legacy wastes, a decontamination process could make 200,000 tons of this strategic metal available for domestic use. Contaminants in DOE nickel scrap include {sup 234}Th, {sup 234}Pa, {sup 137}Cs, {sup 239}Pu (trace), {sup 60}Co, U, {sup 99}Tc, and {sup 237}Np (trace). This report reviews several industrial-scale processes -- electrorefining, electrowinning, vapormetallurgy, and leaching -- used for the purification of nickel. Conventional nickel electrolysis processes are particularly attractive because they use side-stream purification of process solutions to improve the purity of nickel metal. Additionally, nickel purification by electrolysis is effective in a variety of electrolyte systems, including sulfate, chloride, and nitrate. Conventional electrorefining processes typically use a mixed electrolyte which includes sulfate, chloride, and borate. The use of an electrorefining or electrowinning system for scrap nickel recovery could be combined effectively with a variety of processes, including cementation, solvent extraction, ion exchange, complex-formation, and surface sorption, developed for uranium and transuranic purification. Selected processes were reviewed and evaluated for use in nickel side-stream purification. 80 refs.

  15. Business Process Reengineering: A Primer for the Marine Corps' Process Owner

    National Research Council Canada - National Science Library

    Brewster, Rollin

    1997-01-01

    .... Business Process Reengineering (BPR) is a technique used by the private sector to achieve order of magnitude improvements in organizational performance by leveraging information technology to enable the holistic redesign of business processes...

  16. States in Process Calculi

    Directory of Open Access Journals (Sweden)

    Christoph Wagner

    2014-08-01

    Full Text Available Formal reasoning about distributed algorithms (like Consensus typically requires to analyze global states in a traditional state-based style. This is in contrast to the traditional action-based reasoning of process calculi. Nevertheless, we use domain-specific variants of the latter, as they are convenient modeling languages in which the local code of processes can be programmed explicitly, with the local state information usually managed via parameter lists of process constants. However, domain-specific process calculi are often equipped with (unlabeled reduction semantics, building upon a rich and convenient notion of structural congruence. Unfortunately, the price for this convenience is that the analysis is cumbersome: the set of reachable states is modulo structural congruence, and the processes' state information is very hard to identify. We extract from congruence classes of reachable states individual state-informative representatives that we supply with a proper formal semantics. As a result, we can now freely switch between the process calculus terms and their representatives, and we can use the stateful representatives to perform assertional reasoning on process calculus models.

  17. Multi-Wave Mixing Processes

    CERN Document Server

    Zhang, Yanpeng

    2009-01-01

    "Multi-Wave Mixing Processes - From Ultrafast Polarization Beats to Electromagnetically Induced Transparency" discusses the interactions of efficient multi-wave mixing (MWM) processes enhanced by atomic coherence in multilevel atomic systems. It covers topics in five major areas: attosecond and femtosecond polarization beats of four-wave mixing (FWM) processes; heterodyne detection of FWM, six-wave mixing (SWM) and eight-wave mixing (EWM) processes; Raman and Rayleigh enhanced polarization beats; coexistence and interactions of MWM processes via electromagnetically induced transparency(EIT); multi-dressing MWM processes. The book is intended for researchers, advanced undergraduate and graduate students in Nonlinear Optics. Dr. Yanpeng Zhang is a professor at the Key Laboratory for Physical Electronics and Devices of the Ministry of Education, Xi'an Jiaotong University. Dr. Min Xiao is a professor of Physics at University of Arkansas, Fayetteville, U.S.A.

  18. Sociotechnical design processes and working environment: The case of a continuous process wok

    DEFF Research Database (Denmark)

    Broberg, Ole

    2000-01-01

    A five-year design process of a continuous process wok has been studied with the aim of elucidating the conditions for integrating working environment aspects. The design process is seen as a network building activity and as a social shaping process of the artefact. A working environment log...... is suggested as a tool designers can use to integrate considerations of future operators' working environment....

  19. Example process hazard analysis of a Department of Energy water chlorination process

    Energy Technology Data Exchange (ETDEWEB)

    1993-09-01

    On February 24, 1992, the Occupational Safety and Health Administration (OSHA) released a revised version of Section 29 Code of Federal Regulations CFR Part 1910 that added Section 1910.119, entitled ``Process Safety Management of Highly Hazardous Chemicals`` (the PSM Rule). Because US Department of Energy (DOE) Orders 5480.4 and 5483.1A prescribe OSHA 29 CFR 1910 as a standard in DOE, the PSM Rule is mandatory in the DOE complex. A major element in the PSM Rule is the process hazard analysis (PrHA), which is required for all chemical processes covered by the PSM Rule. The PrHA element of the PSM Rule requires the selection and application of appropriate hazard analysis methods to systematically identify hazards and potential accident scenarios associated with processes involving highly hazardous chemicals (HHCs). The analysis in this report is an example PrHA performed to meet the requirements of the PSM Rule. The PrHA method used in this example is the hazard and operability (HAZOP) study, and the process studied is the new Hanford 300-Area Water Treatment Facility chlorination process, which is currently in the design stage. The HAZOP study was conducted on May 18--21, 1993, by a team from the Westinghouse Hanford Company (WHC), Battelle-Columbus, the DOE, and Pacific Northwest Laboratory (PNL). The chlorination process was chosen as the example process because it is common to many DOE sites, and because quantities of chlorine at those sites generally exceed the OSHA threshold quantities (TQs).

  20. Study for process and equipment design of wet gelation stages in vibropacking process

    International Nuclear Information System (INIS)

    Tanimoto, Ryoji; Kikuchi, Toshiaki; Tanaka, Hirokazu; Amino, Masaki; Yanai, Minoru

    2004-02-01

    Process and layout design of external wet gelation stages in vibropacking process was examined for the feasibility study of commercialized FBR cycle system. In this study, following process stages for the oxide core fuel production line were covered, that is, solidification, washing, drying, calcination, reduction, sintering stages including interim storage of sintering particles and reagent recovery stage. The main results obtained by this study are as follows: (1) Based on the process examination results conducted previously, process-flow, mass-balance and number of production line/equipment were clarified. The process is covered from the receive tank of feed solution to the interim storage equipment. Reagent recovery process-flow, mass-balance were also clarified. And preliminary design of the main equipment was reexamined. (2) Normal operation procedure and the procedure after process failure were summarized along with a remote automated operation procedure. Operation sequence of each production line was mapped out by using a time-chart. (3) Design outline of reagent recovery equipments, installed to recover waste liquid from the wet gelation stages in the view of environmental impact were examined. Effective techniques such as collection of solvent, residue waste treatment method were examined its applicability and selected. Schematic block diagram was presented. (4) Analytical items and analyzing apparatus were extracted taking into account of quality control and process management. Analytical sample taking position and frequency of sampling were also examined. (5) A schematic layout drawing of main manufacturing process and reagent recovery process was presented taking into account of material handling. (6) A feature of the operating rate at each process stage was examined by analyzing failure rate reliability of each component. applying the reliability-centred method. (RCM), the operating rate was evaluated and annual maintenance period was estimated using

  1. Semi-Markov processes

    CERN Document Server

    Grabski

    2014-01-01

    Semi-Markov Processes: Applications in System Reliability and Maintenance is a modern view of discrete state space and continuous time semi-Markov processes and their applications in reliability and maintenance. The book explains how to construct semi-Markov models and discusses the different reliability parameters and characteristics that can be obtained from those models. The book is a useful resource for mathematicians, engineering practitioners, and PhD and MSc students who want to understand the basic concepts and results of semi-Markov process theory. Clearly defines the properties and

  2. A Petri Net-Based Software Process Model for Developing Process-Oriented Information Systems

    Science.gov (United States)

    Li, Yu; Oberweis, Andreas

    Aiming at increasing flexibility, efficiency, effectiveness, and transparency of information processing and resource deployment in organizations to ensure customer satisfaction and high quality of products and services, process-oriented information systems (POIS) represent a promising realization form of computerized business information systems. Due to the complexity of POIS, explicit and specialized software process models are required to guide POIS development. In this chapter we characterize POIS with an architecture framework and present a Petri net-based software process model tailored for POIS development with consideration of organizational roles. As integrated parts of the software process model, we also introduce XML nets, a variant of high-level Petri nets as basic methodology for business processes modeling, and an XML net-based software toolset providing comprehensive functionalities for POIS development.

  3. CMOS/SOS processing

    Science.gov (United States)

    Ramondetta, P.

    1980-01-01

    Report describes processes used in making complementary - metal - oxide - semiconductor/silicon-on-sapphire (CMOS/SOS) integrated circuits. Report lists processing steps ranging from initial preparation of sapphire wafers to final mapping of "good" and "bad" circuits on a wafer.

  4. Generating process model collections

    NARCIS (Netherlands)

    Yan, Z.; Dijkman, R.M.; Grefen, P.W.P.J.

    2017-01-01

    Business process management plays an important role in the management of organizations. More and more organizations describe their operations as business processes. It is common for organizations to have collections of thousands of business processes, but for reasons of confidentiality these

  5. Mining processes in dentistry

    NARCIS (Netherlands)

    Mans, R.S.; Reijers, H.A.; van Genuchten, M.; Wismeijer, D.

    2012-01-01

    Business processes in dentistry are quickly evolving towards "digital dentistry". This means that many steps in the dental process will increasingly deal with computerized information or computerized half products. A complicating factor in the improvement of process performance in dentistry,

  6. Process based analysis of manually controlled drilling processes for bone

    Science.gov (United States)

    Teicher, Uwe; Achour, Anas Ben; Nestler, Andreas; Brosius, Alexander; Lauer, Günter

    2018-05-01

    The machining operation drilling is part of the standard repertoire for medical applications. This machining cycle, which is usually a multi-stage process, generates the geometric element for the subsequent integration of implants, which are screwed into the bone in subsequent processes. In addition to the form, shape and position of the generated drill hole, it is also necessary to use a technology that ensures an operation with minimal damage. A surface damaged by excessive mechanical and thermal energy input shows a deterioration in the healing capacity of implants and represents a structure with complications for inflammatory reactions. The resulting loads are influenced by the material properties of the bone, the used technology and the tool properties. An important aspect of the process analysis is the fact that machining of bone is in most of the cases a manual process that depends mainly on the skills of the operator. This includes, among other things, the machining time for the production of a drill hole, since manual drilling is a force-controlled process. Experimental work was carried out on the bone of a porcine mandible in order to investigate the interrelation of the applied load during drilling. It can be shown that the load application can be subdivided according to the working feed direction. The entire drilling process thus consists of several time domains, which can be divided into the geometry-generating feed motion and a retraction movement of the tool. It has been shown that the removal of the tool from the drill hole has a significant influence on the mechanical load input. This fact is proven in detail by a new evaluation methodology. The causes of this characteristic can also be identified, as well as possible ways of reducing the load input.

  7. Pyro-processes and the wastes

    International Nuclear Information System (INIS)

    Kurata, Masaki; Tokiwai, Moriyasu; Inoue, Tadashi; Nishimura, Tomohiro

    2000-01-01

    Reprocessing using pyrometallurgical processes is generally considered to have economical benefits comparing with conventional aqueous processes because of the combination of simpler process and equipments, less criticality, and more compact facilities. On the other hand, the pyrometallurgical processes must generate peculiar wastes and R and D on those wastes is slightly inferior, as compared with the main processes. In this paper, process flows of major pyrometallurgical processes are firstly summarized and, then, the present R and D condition on the wastes are shown. (author)

  8. Chemical process hazards analysis

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1996-02-01

    The Office of Worker Health and Safety (EH-5) under the Assistant Secretary for the Environment, Safety and Health of the US Department (DOE) has published two handbooks for use by DOE contractors managing facilities and processes covered by the Occupational Safety and Health Administration (OSHA) Rule for Process Safety Management of Highly Hazardous Chemicals (29 CFR 1910.119), herein referred to as the PSM Rule. The PSM Rule contains an integrated set of chemical process safety management elements designed to prevent chemical releases that can lead to catastrophic fires, explosions, or toxic exposures. The purpose of the two handbooks, ``Process Safety Management for Highly Hazardous Chemicals`` and ``Chemical Process Hazards Analysis,`` is to facilitate implementation of the provisions of the PSM Rule within the DOE. The purpose of this handbook ``Chemical Process Hazards Analysis,`` is to facilitate, within the DOE, the performance of chemical process hazards analyses (PrHAs) as required under the PSM Rule. It provides basic information for the performance of PrHAs, and should not be considered a complete resource on PrHA methods. Likewise, to determine if a facility is covered by the PSM rule, the reader should refer to the handbook, ``Process Safety Management for Highly Hazardous Chemicals`` (DOE- HDBK-1101-96). Promulgation of the PSM Rule has heightened the awareness of chemical safety management issues within the DOE. This handbook is intended for use by DOE facilities and processes covered by the PSM rule to facilitate contractor implementation of the PrHA element of the PSM Rule. However, contractors whose facilities and processes not covered by the PSM Rule may also use this handbook as a basis for conducting process hazards analyses as part of their good management practices. This handbook explains the minimum requirements for PrHAs outlined in the PSM Rule. Nowhere have requirements been added beyond what is specifically required by the rule.

  9. Software Process Improvement Defined

    DEFF Research Database (Denmark)

    Aaen, Ivan

    2002-01-01

    This paper argues in favor of the development of explanatory theory on software process improvement. The last one or two decades commitment to prescriptive approaches in software process improvement theory may contribute to the emergence of a gulf dividing theorists and practitioners....... It is proposed that this divide be met by the development of theory evaluating prescriptive approaches and informing practice with a focus on the software process policymaking and process control aspects of improvement efforts...

  10. Modeling multiphase materials processes

    CERN Document Server

    Iguchi, Manabu

    2010-01-01

    ""Modeling Multiphase Materials Processes: Gas-Liquid Systems"" describes the methodology and application of physical and mathematical modeling to multi-phase flow phenomena in materials processing. The book focuses on systems involving gas-liquid interaction, the most prevalent in current metallurgical processes. The performance characteristics of these processes are largely dependent on transport phenomena. This volume covers the inherent characteristics that complicate the modeling of transport phenomena in such systems, including complex multiphase structure, intense turbulence, opacity of

  11. Fuel processing

    International Nuclear Information System (INIS)

    Allardice, R.H.

    1990-01-01

    The technical and economic viability of the fast breeder reactor as an electricity generating system depends not only upon the reactor performance but also on a capability to recycle plutonium efficiently, reliably and economically through the reactor and fuel cycle facilities. Thus the fuel cycle is an integral and essential part of the system. Fuel cycle research and development has focused on demonstrating that the challenging technical requirements of processing plutonium fuel could be met and that the sometimes conflicting requirements of the fuel developer, fuel fabricator and fuel reprocessor could be reconciled. Pilot plant operation and development and design studies have established both the technical and economic feasibility of the fuel cycle but scope for further improvement exists through process intensification and flowsheet optimization. These objectives and the increasing processing demands made by the continuing improvement to fuel design and irradiation performance provide an incentive for continuing fuel cycle development work. (author)

  12. From mineral processing to waste treatment: an open-mind process simulator

    International Nuclear Information System (INIS)

    Guillaneau, J.C.; Brochot, S.; Durance, M.V.; Villeneuve, J.; Fourniguet, G.; Vedrine, H.; Sandvik, K.; Reuter, M.

    1999-01-01

    More than two hundred companies are using the USIM PAC process simulator within the mineral industry world-wide. Either for design or plant adaptation, simulation is increasingly supporting the process Engineer in his activities. From the mineral field, new domains have been concerned by this model-based approach as new models are developed and new applications involving solid waste appears. Examples are presented in bio-processing, steel-making flue dust treatment for zinc valorisation, soil decontamination or urban waste valorisation (sorting, composting and incineration). (author)

  13. Serial Learning Process: Test of Chaining, Position, and Dual-Process Hypotheses

    Science.gov (United States)

    Giurintano, S. L.

    1973-01-01

    The chaining, position, and dual-process hypotheses of serial learning (SL) as well as serial recall, reordering, and relearning of paired-associate learning were examined to establish learning patterns. Results provide evidence for dual-process hypothesis. (DS)

  14. Nonhomogeneous fractional Poisson processes

    International Nuclear Information System (INIS)

    Wang Xiaotian; Zhang Shiying; Fan Shen

    2007-01-01

    In this paper, we propose a class of non-Gaussian stationary increment processes, named nonhomogeneous fractional Poisson processes W H (j) (t), which permit the study of the effects of long-range dependance in a large number of fields including quantum physics and finance. The processes W H (j) (t) are self-similar in a wide sense, exhibit more fatter tail than Gaussian processes, and converge to the Gaussian processes in distribution in some cases. In addition, we also show that the intensity function λ(t) strongly influences the existence of the highest finite moment of W H (j) (t) and the behaviour of the tail probability of W H (j) (t)

  15. Processed and ultra-processed foods are associated with lower-quality nutrient profiles in children from Colombia.

    Science.gov (United States)

    Cornwell, Brittany; Villamor, Eduardo; Mora-Plazas, Mercedes; Marin, Constanza; Monteiro, Carlos A; Baylin, Ana

    2018-01-01

    To determine if processed and ultra-processed foods consumed by children in Colombia are associated with lower-quality nutrition profiles than less processed foods. We obtained information on sociodemographic and anthropometric variables and dietary information through dietary records and 24 h recalls from a convenience sample of the Bogotá School Children Cohort. Foods were classified into three categories: (i) unprocessed and minimally processed foods, (ii) processed culinary ingredients and (iii) processed and ultra-processed foods. We also examined the combination of unprocessed foods and processed culinary ingredients. Representative sample of children from low- to middle-income families in Bogotá, Colombia. Children aged 5-12 years in 2011 Bogotá School Children Cohort. We found that processed and ultra-processed foods are of lower dietary quality in general. Nutrients that were lower in processed and ultra-processed foods following adjustment for total energy intake included: n-3 PUFA, vitamins A, B12, C and E, Ca and Zn. Nutrients that were higher in energy-adjusted processed and ultra-processed foods compared with unprocessed foods included: Na, sugar and trans-fatty acids, although we also found that some healthy nutrients, including folate and Fe, were higher in processed and ultra-processed foods compared with unprocessed and minimally processed foods. Processed and ultra-processed foods generally have unhealthy nutrition profiles. Our findings suggest the categorization of foods based on processing characteristics is promising for understanding the influence of food processing on children's dietary quality. More studies accounting for the type and degree of food processing are needed.

  16. A taxonomy of business processes

    OpenAIRE

    ANGEL ANTONIO DIAZ; Luis Eduardo Solís

    2004-01-01

    This study aims to gain a better understanding of key business processes. The processes of the firm are analyzed, proposing a classification of eight generic intra-organizational processes, and eleven generic inter-organizational processes, as well as criteria for the determination of the criticality of these processes and key performance indicators. Using these criteria critical intra-organizational and inter-organizational processes are identified in sixteen industrial sectors. Through a be...

  17. Neuroscientific Model of Motivational Process

    OpenAIRE

    Kim, Sung-il

    2013-01-01

    Considering the neuroscientific findings on reward, learning, value, decision-making, and cognitive control, motivation can be parsed into three sub processes, a process of generating motivation, a process of maintaining motivation, and a process of regulating motivation. I propose a tentative neuroscientific model of motivational processes which consists of three distinct but continuous sub processes, namely reward-driven approach, value-based decision-making, and goal-directed control. Rewa...

  18. Food processing and allergenicity.

    Science.gov (United States)

    Verhoeckx, Kitty C M; Vissers, Yvonne M; Baumert, Joseph L; Faludi, Roland; Feys, Marcel; Flanagan, Simon; Herouet-Guicheney, Corinne; Holzhauser, Thomas; Shimojo, Ryo; van der Bolt, Nieke; Wichers, Harry; Kimber, Ian

    2015-06-01

    Food processing can have many beneficial effects. However, processing may also alter the allergenic properties of food proteins. A wide variety of processing methods is available and their use depends largely on the food to be processed. In this review the impact of processing (heat and non-heat treatment) on the allergenic potential of proteins, and on the antigenic (IgG-binding) and allergenic (IgE-binding) properties of proteins has been considered. A variety of allergenic foods (peanuts, tree nuts, cows' milk, hens' eggs, soy, wheat and mustard) have been reviewed. The overall conclusion drawn is that processing does not completely abolish the allergenic potential of allergens. Currently, only fermentation and hydrolysis may have potential to reduce allergenicity to such an extent that symptoms will not be elicited, while other methods might be promising but need more data. Literature on the effect of processing on allergenic potential and the ability to induce sensitisation is scarce. This is an important issue since processing may impact on the ability of proteins to cause the acquisition of allergic sensitisation, and the subject should be a focus of future research. Also, there remains a need to develop robust and integrated methods for the risk assessment of food allergenicity. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  19. Monitoring of operating processes

    International Nuclear Information System (INIS)

    Barry, R.F.

    1981-01-01

    Apparatus is described for monitoring the processes of a nuclear reactor to detect off-normal operation of any process and for testing the monitoring apparatus. The processes are evaluated by response to their paramters, such as temperature, pressure, etc. The apparatus includes a pair of monitoring paths or signal processing units. Each unit includes facilities for receiving on a time-sharing basis, a status binary word made up of digits each indicating the status of a process, whether normal or off-normal, and test-signal binary words simulating the status binary words. The status words and test words are processed in succession during successive cycles. During each cycle, the two units receive the same status word and the same test word. The test words simulate the status words both when they indicate normal operation and when they indicate off-normal operation. Each signal-processing unit includes a pair of memories. Each memory receives a status word or a test word, as the case may be, and converts the received word into a converted status word or a converted test word. The memories of each monitoring unit operate into a non-coincidence which signals non-coincidence of the converted word out of one memory of a signal-processing unit not identical to the converted word of the other memory of the same unit

  20. Process cubes : slicing, dicing, rolling up and drilling down event data for process mining

    NARCIS (Netherlands)

    Aalst, van der W.M.P.

    2013-01-01

    Recent breakthroughs in process mining research make it possible to discover, analyze, and improve business processes based on event data. The growth of event data provides many opportunities but also imposes new challenges. Process mining is typically done for an isolated well-defined process in

  1. Gas processing device

    International Nuclear Information System (INIS)

    Kobayashi, Yoshihiro; Seki, Eiji.

    1991-01-01

    State of electric discharge is detected based on a gas pressure in a sealed container and a discharging current flowing between both of electrodes. When electric arc discharges occur, introduction of gases to be processed is stopped and a voltage applied to both of the electrodes is interrupted. Then, when the gas pressure in the sealed container is lowered to a predetermined value, a power source voltage is applied again to both of the electrodes to recover glow discharges, and the introduction of the gas to be processed is started. With such steps, even if electric arc discharges occur, they are eliminated automatically and, accordingly, normal glow discharges can be recovered, to prevent failures of the device due to electric arc discharges. The glow discharges are recovered automatically without stopping the operation of the gas processing device, and gas injection and solidification processing can be conducted continuously and stably. (T.M.)

  2. Preliminary evaluation of alternative waste form solidification processes. Volume II. Evaluation of the processes

    International Nuclear Information System (INIS)

    1980-08-01

    This Volume II presents engineering feasibility evaluations of the eleven processes for solidification of nuclear high-level liquid wastes (HHLW) described in Volume I of this report. Each evaluation was based in a systematic assessment of the process in respect to six principal evaluation criteria: complexity of process; state of development; safety; process requirements; development work required; and facility requirements. The principal criteria were further subdivided into a total of 22 subcriteria, each of which was assigned a weight. Each process was then assigned a figure of merit, on a scale of 1 to 10, for each of the subcriteria. A total rating was obtained for each process by summing the products of the subcriteria ratings and the subcriteria weights. The evaluations were based on the process descriptions presented in Volume I of this report, supplemented by information obtained from the literature, including publications by the originators of the various processes. Waste form properties were, in general, not evaluated. This document describes the approach which was taken, the developent and application of the rating criteria and subcriteria, and the evaluation results. A series of appendices set forth summary descriptions of the processes and the ratings, together with the complete numerical ratings assigned; two appendices present further technical details on the rating process

  3. Dosimetry for radiation processing

    DEFF Research Database (Denmark)

    Miller, Arne

    1986-01-01

    During the past few years significant advances have taken place in the different areas of dosimetry for radiation processing, mainly stimulated by the increased interest in radiation for food preservation, plastic processing and sterilization of medical products. Reference services both...... and sterilization dosimetry, optichromic dosimeters in the shape of small tubes for food processing, and ESR spectroscopy of alanine for reference dosimetry. In this paper the special features of radiation processing dosimetry are discussed, several commonly used dosimeters are reviewed, and factors leading...

  4. Process equipment waste and process waste liquid collection systems

    International Nuclear Information System (INIS)

    1990-06-01

    The US DOE has prepared an environmental assessment for construction related to the Process Equipment Waste (PEW) and Process Waste Liquid (PWL) Collection System Tasks at the Idaho Chemical Processing Plant. This report describes and evaluates the environmental impacts of the proposed action (and alternatives). The purpose of the proposed action would be to ensure that the PEW and PWL collection systems, a series of enclosed process hazardous waste, and radioactive waste lines and associated equipment, would be brought into compliance with applicable State and Federal hazardous waste regulations. This would be accomplished primarily by rerouting the lines to stay within the buildings where the lined floors of the cells and corridors would provide secondary containment. Leak detection would be provided via instrumented collection sumps locate din the cells and corridors. Hazardous waste transfer lines that are routed outside buildings will be constructed using pipe-in-pipe techniques with leak detection instrumentation in the interstitial area. The need for the proposed action was identified when a DOE-sponsored Resource Conservation and Recovery Act (RCRA) compliance assessment of the ICPP facilities found that singly-contained waste lines ran buried in the soil under some of the original facilities. These lines carried wastes with a pH of less than 2.0, which were hazardous waste according to the RCRA standards. 20 refs., 7 figs., 1 tab

  5. A DMAIC approach for process capability improvement an engine crankshaft manufacturing process

    Science.gov (United States)

    Sharma, G. V. S. S.; Rao, P. Srinivasa

    2014-05-01

    The define-measure-analyze-improve-control (DMAIC) approach is a five-strata approach, namely DMAIC. This approach is the scientific approach for reducing the deviations and improving the capability levels of the manufacturing processes. The present work elaborates on DMAIC approach applied in reducing the process variations of the stub-end-hole boring operation of the manufacture of crankshaft. This statistical process control study starts with selection of the critical-to-quality (CTQ) characteristic in the define stratum. The next stratum constitutes the collection of dimensional measurement data of the CTQ characteristic identified. This is followed by the analysis and improvement strata where the various quality control tools like Ishikawa diagram, physical mechanism analysis, failure modes effects analysis and analysis of variance are applied. Finally, the process monitoring charts are deployed at the workplace for regular monitoring and control of the concerned CTQ characteristic. By adopting DMAIC approach, standard deviation is reduced from 0.003 to 0.002. The process potential capability index ( C P) values improved from 1.29 to 2.02 and the process performance capability index ( C PK) values improved from 0.32 to 1.45, respectively.

  6. A systematic synthesis and design methodology to achieve process intensification in (bio) chemical processes

    DEFF Research Database (Denmark)

    Lutze, Philip; Román-Martinez, Alicia; Woodley, John

    2010-01-01

    Process intensification (PI) has the potential to improve existing processes or create new process options which are needed in order to produce products using more sustainable methods. PI creates an enormous number of process options. In order to manage the complexity of options in which a feasib...

  7. Radiation processing of minimally processed fruits and vegetables to ensure microbiological safety

    International Nuclear Information System (INIS)

    Bandekar, J.R.; Saroj, S.D.; Shashidhar, R.; Dhokane, V.S.; Hajare, S.N.; Nagar, V.; Sharma, A.

    2009-01-01

    Minimally processed fruits and vegetables are in demand as they offer ready rich source of nutrients and convenience to consumers. However, these products are often unsafe due to contamination with harmful pathogens. Therefore, a study was carried out to analyze microbiological quality of minimally processed fruits, vegetables and sprouts and to optimize radiation dose necessary to ensure safety of these commodities. Microbiological quality of these products was found to be poor. Decimal reduction dose (D 10 ) for Salmonella Typhimurium and Listeria monocytogenes in these minimally processed foods (MPF) were in the range of 164 to 588 Gy. Radiation processing with 2 kGy dose of gamma radiation resulted in 5 log reduction of S. Typhimurium and 4 log reduction of L. monocytogenes. The treatment did not significantly affect nutritional, organoleptic and textural properties. These results suggest that radiation processing can ensure safety of these products. (author)

  8. Property optimization of nanostructured ARB-processed Al by post-process deformation

    DEFF Research Database (Denmark)

    Huang, Xiaoxu; Kamikawa, Naoya; Hansen, Niels

    2008-01-01

    The effect of post-process deformation on the mechanical properties of nanostructured aluminum (99.2% purity) has been investigated by cold rolling of samples which have been processed by accumulative roll bonding (ARB) to a strain of epsilon(vM) = 4.8. Samples have been cold rolled to 10, 15...... material. In contrary, cold rolling to large strain (50%) results in significant strengthening. This leads to the suggestion of a transition strain within the range of 25-35% reduction by rolling. The microstructural evolution during post-process deformation has been followed by transmission electron...

  9. Generic Health Management: A System Engineering Process Handbook Overview and Process

    Science.gov (United States)

    Wilson, Moses Lee; Spruill, Jim; Hong, Yin Paw

    1995-01-01

    Health Management, a System Engineering Process, is one of those processes-techniques-and-technologies used to define, design, analyze, build, verify, and operate a system from the viewpoint of preventing, or minimizing, the effects of failure or degradation. It supports all ground and flight elements during manufacturing, refurbishment, integration, and operation through combined use of hardware, software, and personnel. This document will integrate Health Management Processes (six phases) into five phases in such a manner that it is never a stand alone task/effort which separately defines independent work functions.

  10. Classical higher-order processes

    DEFF Research Database (Denmark)

    Montesi, Fabrizio

    2017-01-01

    Classical Processes (CP) is a calculus where the proof theory of classical linear logic types processes à la Π-calculus, building on a Curry-Howard correspondence between session types and linear propositions. We contribute to this research line by extending CP with process mobility, inspired by ...

  11. Real-time radar signal processing using GPGPU (general-purpose graphic processing unit)

    Science.gov (United States)

    Kong, Fanxing; Zhang, Yan Rockee; Cai, Jingxiao; Palmer, Robert D.

    2016-05-01

    This study introduces a practical approach to develop real-time signal processing chain for general phased array radar on NVIDIA GPUs(Graphical Processing Units) using CUDA (Compute Unified Device Architecture) libraries such as cuBlas and cuFFT, which are adopted from open source libraries and optimized for the NVIDIA GPUs. The processed results are rigorously verified against those from the CPUs. Performance benchmarked in computation time with various input data cube sizes are compared across GPUs and CPUs. Through the analysis, it will be demonstrated that GPGPUs (General Purpose GPU) real-time processing of the array radar data is possible with relatively low-cost commercial GPUs.

  12. What do information reuse and automated processing require in engineering design? Semantic process

    Directory of Open Access Journals (Sweden)

    Ossi Nykänen

    2011-12-01

    Full Text Available Purpose: The purpose of this study is to characterize, analyze, and demonstrate machine-understandable semantic process for validating, integrating, and processing technical design information. This establishes both a vision and tools for information reuse and semi-automatic processing in engineering design projects, including virtual machine laboratory applications with generated components.Design/methodology/approach: The process model has been developed iteratively in terms of action research, constrained by the existing technical design practices and assumptions (design documents, expert feedback, available technologies (pre-studies and experiments with scripting and pipeline tools, benchmarking with other process models and methods (notably the RUP and DITA, and formal requirements (computability and the critical information paths for the generated applications. In practice, the work includes both quantitative and qualitative components.Findings: Technical design processes may be greatly enhanced in terms of semantic process thinking, by enriching design information, and automating information validation and transformation tasks. Contemporary design information, however, is mainly intended for human consumption, and needs to be explicitly enriched with the currently missing data and interfaces. In practice, this may require acknowledging the role of technical information or knowledge engineer, to lead the development of the semantic design information process in a design organization. There is also a trade-off between machine-readability and system complexity that needs to be studied further, both empirically and in theory.Research limitations/implications: The conceptualization of the semantic process is essentially an abstraction based on the idea of progressive design. While this effectively allows implementing semantic processes with, e.g., pipeline technologies, the abstraction is valid only when technical design is organized into

  13. Customer Innovation Process Leadership

    DEFF Research Database (Denmark)

    Lindgren, Peter; Jørgensen, Jacob Høj; Goduscheit, René Chester

    2007-01-01

    Innovation leadership has traditionally been focused on leading the companies' product development fast, cost effectively and with an optimal performance driven by technological inventions or by customers´ needs. To improve the efficiency of the product development process focus has been on diffe......Innovation leadership has traditionally been focused on leading the companies' product development fast, cost effectively and with an optimal performance driven by technological inventions or by customers´ needs. To improve the efficiency of the product development process focus has been...... on different types of organisational setup to the product development model and process. The globalization and enhanced competitive markets are however changing the innovation game and the challenge to innovation leadership Excellent product development innovation and leadership seems not any longer to enough...... another outlook to future innovation leadership - Customer Innovation Process Leadership - CIP-leadership. CIP-leadership moves the company's innovation process closer to the customer innovation process and discusses how companies can be involved and innovate in customers' future needs and lead...

  14. Multi-enzyme Process Modeling

    DEFF Research Database (Denmark)

    Andrade Santacoloma, Paloma de Gracia

    are affected (in a positive or negative way) by the presence of the other enzymes and compounds in the media. In this thesis the concept of multi-enzyme in-pot term is adopted for processes that are carried out by the combination of enzymes in a single reactor and implemented at pilot or industrial scale...... features of the process and provides the information required to structure the process model by using a step-by-step procedure with the required tools and methods. In this way, this framework increases efficiency of the model development process with respect to time and resources needed (fast and effective....... In this way the model parameters that drives the main dynamic behavior can be identified and thus a better understanding of this type of processes. In order to develop, test and verify the methodology, three case studies were selected, specifically the bi-enzyme process for the production of lactobionic acid...

  15. Business process intelligence

    NARCIS (Netherlands)

    Castellanos, M.; Alves De Medeiros, A.K.; Mendling, J.; Weber, B.; Weijters, A.J.M.M.; Cardoso, J.; Aalst, van der W.M.P.

    2009-01-01

    Business Process Intelligence (BPI,) is an emerging area that is getting increasingly popularfor enterprises. The need to improve business process efficiency, to react quickly to changes and to meet regulatory compliance is among the main drivers for BPI. BPI refers to the application of Business

  16. Continuous affine processes

    DEFF Research Database (Denmark)

    Buchardt, Kristian

    2016-01-01

    Affine processes possess the property that expectations of exponential affine transformations are given by a set of Riccati differential equations, which is the main feature of this popular class of processes. In this paper we generalise these results for expectations of more general transformati...

  17. DWPF process control

    International Nuclear Information System (INIS)

    Heckendoin, F.M. II

    1983-01-01

    The Defense Waste Processing Facility (DWPF) for waste vitrification at the Savannah River Plant (SRP) is in the final design stage. Instrumentation to provide the parameter sensing required to assure the quality of the two-foot-diameter, ten-foot-high waste canister is in the final stage of development. All step of the process and instrumentation are now operating as nearly full-scale prototypes at SRP. Quality will be maintained by assuring that only the intended material enters the canisters, and by sensing the resultant condition of the filled canisters. Primary emphasis will be on instrumentation of the process

  18. Quantum Information Processing

    CERN Document Server

    Leuchs, Gerd

    2005-01-01

    Quantum processing and communication is emerging as a challenging technique at the beginning of the new millennium. This is an up-to-date insight into the current research of quantum superposition, entanglement, and the quantum measurement process - the key ingredients of quantum information processing. The authors further address quantum protocols and algorithms. Complementary to similar programmes in other countries and at the European level, the German Research Foundation (DFG) started a focused research program on quantum information in 1999. The contributions - written by leading experts - bring together the latest results in quantum information as well as addressing all the relevant questions

  19. A Robust Process Analytical Technology (PAT) System Design for Crystallization Processes

    DEFF Research Database (Denmark)

    Abdul Samad, Noor Asma Fazli Bin; Sin, Gürkan; Gernaey, Krist

    2013-01-01

    A generic computer-aided framework for systematic design of a process monitoring and control system for crystallization processes has been developed to study various aspects of crystallization operations. The design framework contains a generic multidimensional modelling framework, a tool for gen...

  20. Process for recovering yttrium and lanthanides from wet-process phosphoric acid

    Energy Technology Data Exchange (ETDEWEB)

    Janssen, J.A.; Weterings, C.A.

    1983-06-28

    Process for recovering yttrium and lanthanides from wet-process phosphoric acid by adding a flocculant to the phosphoric acid, separating out the resultant precipitate and then recovering yttrium and lanthanides from the precipitate. Uranium is recovered from the remaining phosphoric acid.

  1. Process-aware information systems : bridging people and software through process technology

    NARCIS (Netherlands)

    Dumas, M.; Aalst, van der W.M.P.; Hofstede, ter A.H.M.

    2005-01-01

    A unifying foundation to design and implement process-aware information systems This publication takes on the formidable task of establishing a unifying foundation and set of common underlying principles to effectively model, design, and implement process-aware information systems. Authored by

  2. Process evaluation of discharge planning implementation in healthcare using normalization process theory.

    Science.gov (United States)

    Nordmark, Sofi; Zingmark, Karin; Lindberg, Inger

    2016-04-27

    Discharge planning is a care process that aims to secure the transfer of care for the patient at transition from home to the hospital and back home. Information exchange and collaboration between care providers are essential, but deficits are common. A wide range of initiatives to improve the discharge planning process have been developed and implemented for the past three decades. However, there are still high rates of reported medical errors and adverse events related to failures in the discharge planning. Using theoretical frameworks such as Normalization Process Theory (NPT) can support evaluations of complex interventions and processes in healthcare. The aim of this study was to explore the embedding and integration of the DPP from the perspective of registered nurses, district nurses and homecare organizers. The study design was explorative, using the NPT as a framework to explore the embedding and integration of the DPP. Data consisted of written documentation from; workshops with staff, registered adverse events and system failures, web based survey and individual interviews with staff. Using the NPT as a framework to explore the embedding and integration of discharge planning after 10 years in use showed that the staff had reached a consensus of opinion of what the process was (coherence) and how they evaluated the process (reflexive monitoring). However, they had not reached a consensus of opinion of who performed the process (cognitive participation) and how it was performed (collective action). This could be interpreted as the process had not become normalized in daily practice. The result shows necessity to observe the implementation of old practices to better understand the needs of new ones before developing and implementing new practices or supportive tools within healthcare to reach the aim of development and to accomplish sustainable implementation. The NPT offers a generalizable framework for analysis, which can explain and shape the

  3. Software engineering processes principles and applications

    CERN Document Server

    Wang, Yingxu

    2000-01-01

    Fundamentals of the Software Engineering ProcessIntroductionA Unified Framework of the Software Engineering ProcessProcess AlgebraProcess-Based Software EngineeringSoftware Engineering Process System ModelingThe CMM ModelThe ISO 9001 ModelThe BOOTSTRAP ModelThe ISO/IEC 15504 (SPICE) ModelThe Software Engineering Process Reference Model: SEPRMSoftware Engineering Process System AnalysisBenchmarking the SEPRM ProcessesComparative Analysis of Current Process ModelsTransformation of Capability Levels Between Current Process ModelsSoftware Engineering Process EstablishmentSoftware Process Establish

  4. Managing performance through business processes from BPM to the practice of process management

    CERN Document Server

    Thiault, Dominique

    2012-01-01

    Centred on the performance of the company, this book is a practical guide that organises Business Process Management (BPM) around these major subjects, such as process management, process governance, or the setting up a successful process approach in the company. Each of these subjects is introduced didactically, alternating examples and in-depth information. Relying on implementation recommendations, practical sheets, and illustrations, managing performance through processes can be used, first, to increase the chances that the objectives will be reached and to improve company performance (industrial organisation, service organisation, private or public sector) and to offer methods, rules, models, and practical supports that can easily be reused. The result of a combination of several experiences in the field and of rewarding encounters with managers, experts, and high-level executives, this book durably places the processes in their managerial dimension. It also presents an opening to a systematic approach t...

  5. Integer-valued trawl processes

    DEFF Research Database (Denmark)

    Barndorff-Nielsen, Ole E.; Lunde, Asger; Shephard, Neil

    2014-01-01

    the probabilistic properties of such processes in detail and, in addition, study volatility modulation and multivariate extensions within the new modelling framework. Moreover, we describe how the parameters of a trawl process can be estimated and obtain promising estimation results in our simulation study. Finally......This paper introduces a new continuous-time framework for modelling serially correlated count and integer-valued data. The key component in our new model is the class of integer-valued trawl processes, which are serially correlated, stationary, infinitely divisible processes. We analyse...

  6. Linearity in Process Languages

    DEFF Research Database (Denmark)

    Nygaard, Mikkel; Winskel, Glynn

    2002-01-01

    The meaning and mathematical consequences of linearity (managing without a presumed ability to copy) are studied for a path-based model of processes which is also a model of affine-linear logic. This connection yields an affine-linear language for processes, automatically respecting open......-map bisimulation, in which a range of process operations can be expressed. An operational semantics is provided for the tensor fragment of the language. Different ways to make assemblies of processes lead to different choices of exponential, some of which respect bisimulation....

  7. ECONOMIC ESSENCE OF MODERN INVESTMENT PROCESSES IN THE GRAIN PROCESSING INDUSTRY

    Directory of Open Access Journals (Sweden)

    Inna Kanashkina

    2015-11-01

    Full Text Available The subject of the research is theoretical and methodological bases of formation of effective investment in priorities feed processing industry in Ukraine. The object of research is the investment process in feed processing Ukrainian industry, the main direction and the way to increase the efficiency of the investment process in the industry. The aim is to develop an integrated approach to the study of the economic substance of investments to improve the methods to determine their effectiveness, the study of factors of investment environment, study methodology for determining business risk, development of proposals of the priority areas of investing in feed processing industry, the formation of effective investment strategies for its development in the conditions of market transformation. Methods. We used the following methods: dialectical, abstract logic, Economics and Statistics and the systemic-functional methods of knowledge of economic processes. The dialectical method has allowed the author to analyze the development of research in the last five years, identified reserves and propose ways for its further development. Abstract-logical method of waste classification of the factors influencing the efficiency of the investment process in the industry, the methodological approaches to the formation of the components of the conceptual apparatus studied category. Economic-statistical method used in the study and synthesis of trends and patterns of the dynamics of the industry at the present stage. Systemic-functional method allowed to generalize the theoretical and methodological foundations of development effectiveness feed processing industry. The study also used methods: a comparative analysis – for comparing actual data reporting and previous years; model approach – to determine the entrepreneurial risk in the enterprises of the industry; expert assessments and cost approaches to identify key trends and ways to improve the country

  8. Nonhomogeneous fractional Poisson processes

    Energy Technology Data Exchange (ETDEWEB)

    Wang Xiaotian [School of Management, Tianjin University, Tianjin 300072 (China)]. E-mail: swa001@126.com; Zhang Shiying [School of Management, Tianjin University, Tianjin 300072 (China); Fan Shen [Computer and Information School, Zhejiang Wanli University, Ningbo 315100 (China)

    2007-01-15

    In this paper, we propose a class of non-Gaussian stationary increment processes, named nonhomogeneous fractional Poisson processes W{sub H}{sup (j)}(t), which permit the study of the effects of long-range dependance in a large number of fields including quantum physics and finance. The processes W{sub H}{sup (j)}(t) are self-similar in a wide sense, exhibit more fatter tail than Gaussian processes, and converge to the Gaussian processes in distribution in some cases. In addition, we also show that the intensity function {lambda}(t) strongly influences the existence of the highest finite moment of W{sub H}{sup (j)}(t) and the behaviour of the tail probability of W{sub H}{sup (j)}(t)

  9. Sustaining high energy efficiency in existing processes with advanced process integration technology

    International Nuclear Information System (INIS)

    Zhang, Nan; Smith, Robin; Bulatov, Igor; Klemeš, Jiří Jaromír

    2013-01-01

    Highlights: ► Process integration with better modelling and more advanced solution methods. ► Operational changes for better environmental performance through optimisation. ► Identification of process integration technology for operational optimisation. ► Systematic implementation procedure of process integration technology. ► A case study with crude oil distillation to demonstrate the operational flexibility. -- Abstract: To reduce emissions in the process industry, much emphasis has been put on making step changes in emission reduction, by developing new process technology and making renewable energy more affordable. However, the energy saving potential of existing systems cannot be simply ignored. In recent years, there have been significant advances in process integration technology with better modelling techniques and more advanced solution methods. These methods have been applied to the new design and retrofit studies in the process industry. Here attempts are made to apply these technologies to improve the environmental performance of existing facilities with operational changes. An industrial project was carried out to demonstrate the importance and effectiveness of exploiting the operational flexibility for energy conservation. By applying advanced optimisation technique to integrate the operation of distillation and heat recovery in a crude oil distillation unit, the energy consumption was reduced by 8% without capital expenditure. It shows that with correctly identified technology and the proper execution procedure, significant energy savings and emission reduction can be achieved very quickly without major capital expenditure. This allows the industry to improve its economic and environment performance at the same time.

  10. EDITORIAL: Industrial Process Tomography

    Science.gov (United States)

    Anton Johansen, Geir; Wang, Mi

    2008-09-01

    There has been tremendous development within measurement science and technology over the past couple of decades. New sensor technologies and compact versatile signal recovery electronics are continuously expanding the limits of what can be measured and the accuracy with which this can be done. Miniaturization of sensors and the use of nanotechnology push these limits further. Also, thanks to powerful and cost-effective computer systems, sophisticated measurement and reconstruction algorithms previously only accessible in advanced laboratories are now available for in situ online measurement systems. The process industries increasingly require more process-related information, motivated by key issues such as improved process control, process utilization and process yields, ultimately driven by cost-effectiveness, quality assurance, environmental and safety demands. Industrial process tomography methods have taken advantage of the general progress in measurement science, and aim at providing more information, both quantitatively and qualitatively, on multiphase systems and their dynamics. The typical approach for such systems has been to carry out one local or bulk measurement and assume that this is representative of the whole system. In some cases, this is sufficient. However, there are many complex systems where the component distribution varies continuously and often unpredictably in space and time. The foundation of industrial tomography is to conduct several measurements around the periphery of a multiphase process, and use these measurements to unravel the cross-sectional distribution of the process components in time and space. This information is used in the design and optimization of industrial processes and process equipment, and also to improve the accuracy of multiphase system measurements in general. In this issue we are proud to present a selection of the 145 papers presented at the 5th World Congress on Industrial Process Tomography in Bergen

  11. Cementation process study

    International Nuclear Information System (INIS)

    Park, H.H.; Han, K.W.; Ahn, S.J.; Choi, K.S.; Lee, M.W.; Ryu, Y.K.

    1985-01-01

    In the cementation process study, in 1984, design of the waste treatment simulator was finished for the first step. We can experience not only the operation of solidification system but the design and construction of comming large scale plant through the design of cementation process. (Author)

  12. Using Unified Modelling Language (UML) as a process-modelling technique for clinical-research process improvement.

    Science.gov (United States)

    Kumarapeli, P; De Lusignan, S; Ellis, T; Jones, B

    2007-03-01

    The Primary Care Data Quality programme (PCDQ) is a quality-improvement programme which processes routinely collected general practice computer data. Patient data collected from a wide range of different brands of clinical computer systems are aggregated, processed, and fed back to practices in an educational context to improve the quality of care. Process modelling is a well-established approach used to gain understanding and systematic appraisal, and identify areas of improvement of a business process. Unified modelling language (UML) is a general purpose modelling technique used for this purpose. We used UML to appraise the PCDQ process to see if the efficiency and predictability of the process could be improved. Activity analysis and thinking-aloud sessions were used to collect data to generate UML diagrams. The UML model highlighted the sequential nature of the current process as a barrier for efficiency gains. It also identified the uneven distribution of process controls, lack of symmetric communication channels, critical dependencies among processing stages, and failure to implement all the lessons learned in the piloting phase. It also suggested that improved structured reporting at each stage - especially from the pilot phase, parallel processing of data and correctly positioned process controls - should improve the efficiency and predictability of research projects. Process modelling provided a rational basis for the critical appraisal of a clinical data processing system; its potential maybe underutilized within health care.

  13. Extraction process

    International Nuclear Information System (INIS)

    Rendall, J.S.; Cahalan, M.J.

    1979-01-01

    A process is described for extracting at least two desired constituents from a mineral, using a liquid reagent which produces the constituents, or compounds thereof, in separable form and independently extracting those constituents, or compounds. The process is especially valuable for the extraction of phosphoric acid and metal values from acidulated phosphate rock, the slurry being contacted with selective extractants for phosphoric acid and metal (e.g. uranium) values. In an example, uranium values are oxidized to uranyl form and extracted using an ion exchange resin. (U.K.)

  14. Film processing

    International Nuclear Information System (INIS)

    Abdul Nassir Ibrahim; Azali Muhammad; Ab. Razak Hamzah; Abd. Aziz Mohamed; Mohamad Pauzi Ismail

    2008-01-01

    The processing was made not only to show what are in the film but also to produce radiograph with high quality where the information gathered really presented level of the quality of the object inspected. Besides that, good procedure will make the film with good quality can keep the film in long time for reference. Here, more detailed on how the dark room functioned and its design. So, the good procedure while processed the film will be discussed detailed in this chapter from entering the dark room to exit from there.

  15. Processed and ultra-processed food products: consumption trends in Canada from 1938 to 2011.

    Science.gov (United States)

    Moubarac, Jean-Claude; Batal, Malek; Martins, Ana Paula Bortoletto; Claro, Rafael; Levy, Renata Bertazzi; Cannon, Geoffrey; Monteiro, Carlos

    2014-01-01

    A classification of foods based on the nature, extent, and purpose of industrial food processing was used to assess changes in household food expenditures and dietary energy availability between 1938 and 2011 in Canada. Food acquisitions from six household food budget surveys (1938/1939 , 1953, 1969, 1984, 2001, and 2011) were classified into unprocessed or minimally processed foods, processed culinary ingredients, and ready-to-consume processed or ultra-processed products. Contributions of each group to household food expenditures, and to dietary energy availability (kcal per capita) were calculated. During the period studied, household expenditures and dietary energy availability fell for both unprocessed or minimally processed foods and culinary ingredients, and rose for ready-to-consume products. The caloric share of foods fell from 34.3% to 25.6% and from 37% to 12.7% for culinary ingredients. The share of ready-to-consume products rose from 28.7% to 61.7%, and the increase was especially noteworthy for those that were ultra-processed. The most important factor that has driven changes in Canadian dietary patterns between 1938 and 2011 is the replacement of unprocessed or minimally processed foods and culinary ingredients used in the preparation of dishes and meals; these have been displaced by ready-to-consume ultra-processed products. Nutrition research and practice should incorporate information about food processing into dietary assessments.

  16. Process control device

    International Nuclear Information System (INIS)

    Hayashi, Toshifumi; Kobayashi, Hiroshi.

    1994-01-01

    A process control device comprises a memory device for memorizing a plant operation target, a plant state or a state of equipments related with each other as control data, a read-only memory device for storing programs, a plant instrumentation control device or other process control devices, an input/output device for performing input/output with an operator, and a processing device which conducts processing in accordance with the program and sends a control demand or a display demand to the input/output device. The program reads out control data relative to a predetermined operation target, compares and verify them with actual values to read out control data to be a practice premise condition which is further to be a practice premise condition if necessary, thereby automatically controlling the plant or requiring or displaying input. Practice presuming conditions for the operation target can be examined succesively in accordance with the program without constituting complicated logical figures and AND/OR graphs. (N.H.)

  17. Third party processing

    International Nuclear Information System (INIS)

    Clark, S.

    1997-01-01

    The importance of Canada's natural gas industry to remain competitive on a global level was discussed. Third party processing is a tool that the Canadian gas industry can use to overcome the relative disadvantage of smaller, and therefore more expensive, gas processing plants in Canada, and to maintain, and even improve, its competitive position vis-a-vis its US counterparts. The principal role of a third party processor is to provide midstream services such as raw gas gathering, field compression, gas processing, sales gas transmission, and natural gas liquids fractionation. Some third party processors also provide marketing services. Third party processors add value to the gas producer by reducing risk, reducing cost, improving reliability, and improving netbacks. The many variables involved in determining the economic viability of third party processing, including the quantity and deliverability of the raw resource, facility capacity, capital investment, operating costs, technology, fee structures, operational reliability, and speed, among others, were examined and the significance of each variable was explained

  18. The Constitutional Amendment Process

    Science.gov (United States)

    Chism, Kahlil

    2005-01-01

    This article discusses the constitutional amendment process. Although the process is not described in great detail, Article V of the United States Constitution allows for and provides instruction on amending the Constitution. While the amendment process currently consists of six steps, the Constitution is nevertheless quite difficult to change.…

  19. Monitoring a PVC batch process with multivariate statistical process control charts

    NARCIS (Netherlands)

    Tates, A. A.; Louwerse, D. J.; Smilde, A. K.; Koot, G. L. M.; Berndt, H.

    1999-01-01

    Multivariate statistical process control charts (MSPC charts) are developed for the industrial batch production process of poly(vinyl chloride) (PVC). With these MSPC charts different types of abnormal batch behavior were detected on-line. With batch contribution plots, the probable causes of these

  20. Using Process Mining to Analyze and Improve Process Flexibility (Position Paper)

    NARCIS (Netherlands)

    van der Aalst, Wil; Günther, Christian; Recker, Jan; Reichert, M.U.; Regev, Gil; Soffer, Pnina; Schmidt, Rainer

    Contemporary information systems struggle with the requirement to provide flexibility and process support while still enforcing some degree of control. Workflow management systems are typically considered as too restrictive while groupware applications (e.g., e-mail) tend to offer hardly any process

  1. Data-Driven Process Control and Exception Handling in Process Management Systems

    NARCIS (Netherlands)

    Rinderle, S.B.; Reichert, M.U.; Dubois, E.; Pohl, K.

    Business processes are often characterized by high variability and dynamics, which cannot be always captured in contemporary process management systems (PMS). Adaptive PMS have emerged in recent years, but do not completely solve this problem. In particular, users are not adequately supported in

  2. FAST PYROLYSIS PROCESS OF ORANGE SOLID WASTE. FACTORS INFLUENCE IN THE PROCESS

    Directory of Open Access Journals (Sweden)

    Leonardo Aguiar Trujillo

    2015-04-01

    Full Text Available The orange processing industry generates high volumes of solid residue. This residue has been used in animal feeding and biochemical processes. A possible energy use of the waste can be thermochemical fast pyrolysis process. The objective was to determine the influence of the heating rate and temperature in the process of rapid pyrolysis of orange solid residue. In the process a design, 2k full factorial experiment was used, evaluating the influence of the independent variables and its interactions on the answers, using a 95 % significance level. We found that temperature is the most significant influence on the responses parameter having significant influence on the yields to: gas, coal, tar and the calorific value of the gas and the heating rate does not influence the answers. Finally, the interaction affects the gas yield. The results obtained in this study are: Rgas (19 – 38 %, Rchar (25 – 42 %, Ralq (6 – 12 %, PCIgas entre (140 – 1050 kJ/m3N.

  3. Electromagnetic Processing of Materials Materials Processing by Using Electric and Magnetic Functions

    CERN Document Server

    Asai, Shigeo

    2012-01-01

    This book is both a course book and a monograph. In fact, it has developed from notes given to graduate course students on materials processing in the years 1989 to 2006. Electromagnetic Processing of Materials (EPM), originates from a branch of materials science and engineering developed in the 1980s as a field aiming to create new materials and/or design processes by making use of various functions which appear when applying the electric and magnetic fields to materials. It is based on transport phenomena, materials processing and magnetohydrodynamics. The first chapter briefly introduces the history, background and technology of EPM. In the second chapter, the concept of transport phenomena is concisely introduced and in the third chapter the essential part of magnetohydrodynamics is transcribed and readers are shown that the concept of transport phenomena does not only apply to heat, mass and momentum, but also magnetic field. The fourth chapter describes electromagnetic processing of electrica...

  4. Preliminary process simulation and analysis of GMODS: Processing of plutonium surplus materials

    International Nuclear Information System (INIS)

    Ferrada, J.J.; Nehls, J.W. Jr.; Welch, T.D.; Giardina, J.L.; Forsberg, C.W.; Maliyekkel, A.T.

    1996-01-01

    To address growing concerns in the areas of arms control, control of fissile materials, waste management, and environment and health, the US Department of Energy is studying and evaluating various options for the control and disposal of surplus fissile materials (SFMs). One of the options under consideration is the Glass Material Oxidation and Dissolution System (GMODS) which directly converts plutonium-bearing materials such as metals, ceramics, and organics into a durable-high-quality glass for long-term storage or a waste form for disposal. This study undertook the development of a computer simulation of the GMODS process using FLOW. That computer simulation was used to perform an assessment of how GMODS would handle the treatment of plutonium, rich scrap (RS) and lead scrap (LS), and identify critical process parameters. Among the key process parameters affecting the glass formation were processing temperatures, additives, and the effects of varying them on the final product. This assessment looked at the quantity of glass produced, the quality of the final glass form, and the effect of blending different groups of the feed streams on the glass produced. The model also provided a way to study the current process assumptions and determine in which areas more experimental studies are required. The simulation showed that the glass chemistry postulated in the models is workable. It is expected that the glass chemistry assumed during the modeling process can be verified by the results of the laboratory experiments that are currently being conducted relating to the GMODS process.Further waste characterization, especially of the SFM waste streams not studied in this report, will provide more nearly accurate results and give a more detailed evaluation of the GMODS process

  5. Preliminary process simulation and analysis of GMODS: Processing of plutonium surplus materials

    Energy Technology Data Exchange (ETDEWEB)

    Ferrada, J.J.; Nehls, J.W. Jr.; Welch, T.D.; Giardina, J.L.; Forsberg, C.W. [Oak Ridge National Lab., TN (United States); Maliyekkel, A.T. [Oak Ridge Associated Universities, TN (United States)

    1996-01-02

    To address growing concerns in the areas of arms control, control of fissile materials, waste management, and environment and health, the US Department of Energy is studying and evaluating various options for the control and disposal of surplus fissile materials (SFMs). One of the options under consideration is the Glass Material Oxidation and Dissolution System (GMODS) which directly converts plutonium-bearing materials such as metals, ceramics, and organics into a durable-high-quality glass for long-term storage or a waste form for disposal. This study undertook the development of a computer simulation of the GMODS process using FLOW. That computer simulation was used to perform an assessment of how GMODS would handle the treatment of plutonium, rich scrap (RS) and lead scrap (LS), and identify critical process parameters. Among the key process parameters affecting the glass formation were processing temperatures, additives, and the effects of varying them on the final product. This assessment looked at the quantity of glass produced, the quality of the final glass form, and the effect of blending different groups of the feed streams on the glass produced. The model also provided a way to study the current process assumptions and determine in which areas more experimental studies are required. The simulation showed that the glass chemistry postulated in the models is workable. It is expected that the glass chemistry assumed during the modeling process can be verified by the results of the laboratory experiments that are currently being conducted relating to the GMODS process.Further waste characterization, especially of the SFM waste streams not studied in this report, will provide more nearly accurate results and give a more detailed evaluation of the GMODS process.

  6. Refractometry in process engineering

    Energy Technology Data Exchange (ETDEWEB)

    Roepscher, H

    1980-02-01

    Following a brief historical introduction into general refractometry, the limiting angle refractometer is dealt with in the first section and the differential refractometer in the second section, as well as process engineering information on this measuring method being given. An attempt is made with an extensive close-to-practice description to introduce the planner and technician to this physical measuring method in process engineering in order that they be able to use it themselves if necessary. When properly applied, it can be a valuable help to process control in compliance with process automization.

  7. The Critical Design Process

    DEFF Research Database (Denmark)

    Brunsgaard, Camilla; Knudstrup, Mary-Ann; Heiselberg, Per

    2014-01-01

    within Danish tradition of architecture and construction. The objective of the research presented in this paper, is to compare the different design processes behind the making of passive houses in a Danish context. We evaluated the process with regard to the integrated and traditional design process....... Data analysis showed that the majority of the consortiums worked in an integrated manner; though there was room for improvment. Additionally, the paper discusses the challanges of implementing the integrated design process in practice and suggests ways of overcomming some of the barriers . In doing so...

  8. Nontraditional machining processes research advances

    CERN Document Server

    2013-01-01

    Nontraditional machining employs processes that remove material by various methods involving thermal, electrical, chemical and mechanical energy or even combinations of these. Nontraditional Machining Processes covers recent research and development in techniques and processes which focus on achieving high accuracies and good surface finishes, parts machined without burrs or residual stresses especially with materials that cannot be machined by conventional methods. With applications to the automotive, aircraft and mould and die industries, Nontraditional Machining Processes explores different aspects and processes through dedicated chapters. The seven chapters explore recent research into a range of topics including laser assisted manufacturing, abrasive water jet milling and hybrid processes. Students and researchers will find the practical examples and new processes useful for both reference and for developing further processes. Industry professionals and materials engineers will also find Nontraditional M...

  9. Gasoline from coal in the state of Illinois: feasibility study. Volume I. Design. [KBW gasification process, ICI low-pressure methanol process and Mobil M-gasoline process

    Energy Technology Data Exchange (ETDEWEB)

    1980-01-01

    Volume 1 describes the proposed plant: KBW gasification process, ICI low-pressure methanol process and Mobil M-gasoline process, and also with ancillary processes, such as oxygen plant, shift process, RECTISOL purification process, sulfur recovery equipment and pollution control equipment. Numerous engineering diagrams are included. (LTN)

  10. Processer og procesledelse

    DEFF Research Database (Denmark)

    Madsen, Benedicte

    Bogen udfolder, nuancerer og konkretiserer procesledelse ift. mentale, relationelle og organisatoriske processer. Eksempler på kapitel-overskrifter: Procesbegrebet, Rammesætning, Kontraktredskabet, Mødeledelse, Samtaler, Reflekterende positioner og processer, Konflikthåndtering og teamudvikling......, Invitation til det dialogiske rum - om at arbejde over og under stregen, og Mentale omstruktureringer....

  11. Organic waste incineration processes

    Energy Technology Data Exchange (ETDEWEB)

    Lemort, F.; Charvillat, J.P.; Nabot, J.P. [CEA Valrho, Bagnols sur Ceze Cedex (France); Chateauvieux, H.; Thiebaut, C. [CEA Valduc, 21 - Is-sur-Tille (France)

    2001-07-01

    Nuclear activities produce organic waste compatible with thermal processes designed to obtain a significant weight and volume reduction as well as to stabilize the inorganic residue in a form suitable for various interim storage or disposal routes. Several processes may be implemented (e.g. excess air, plasma, fluidized bed or rotating furnace) depending on the nature of the waste and the desired objectives. The authors focus on the IRIS rotating-kiln process, which was used for the first time with radioactive materials during the first half of 1999. IRIS is capable of processing highly chlorinated and {alpha}-contaminated waste at a rate of several kilograms per hour, while limiting corrosion due to chlorine as well as mechanical entrainment of radioactive particles in the off-gas stream. Although operated industrially, the process is under continual development to improve its performance and adapt it to a wider range of industrial applications. The main focus of attention today is on adapting the pyrolytic processes to waste with highly variable compositions and to enhance the efficiency of the off-gas purification systems. These subjects are of considerable interest for a large number of heat treatment processes (including all off-gas treatment systems) for which extremely durable, high-performance and low-flow electrostatic precipitators are now being developed. (author)

  12. Organic waste incineration processes

    International Nuclear Information System (INIS)

    Lemort, F.; Charvillat, J.P.; Nabot, J.P.; Chateauvieux, H.; Thiebaut, C.

    2001-01-01

    Nuclear activities produce organic waste compatible with thermal processes designed to obtain a significant weight and volume reduction as well as to stabilize the inorganic residue in a form suitable for various interim storage or disposal routes. Several processes may be implemented (e.g. excess air, plasma, fluidized bed or rotating furnace) depending on the nature of the waste and the desired objectives. The authors focus on the IRIS rotating-kiln process, which was used for the first time with radioactive materials during the first half of 1999. IRIS is capable of processing highly chlorinated and α-contaminated waste at a rate of several kilograms per hour, while limiting corrosion due to chlorine as well as mechanical entrainment of radioactive particles in the off-gas stream. Although operated industrially, the process is under continual development to improve its performance and adapt it to a wider range of industrial applications. The main focus of attention today is on adapting the pyrolytic processes to waste with highly variable compositions and to enhance the efficiency of the off-gas purification systems. These subjects are of considerable interest for a large number of heat treatment processes (including all off-gas treatment systems) for which extremely durable, high-performance and low-flow electrostatic precipitators are now being developed. (author)

  13. How yogurt is processed

    Science.gov (United States)

    This month’s Processing column on the theme of “How Is It Processed?” focuses on yogurt. Yogurt is known for its health-promoting properties. This column will provide a brief overview of the history of yogurt and the current market. It will also unveil both traditional and modern yogurt processing t...

  14. Process Development of Porcelain Ceramic Material with Binder Jetting Process for Dental Applications

    Science.gov (United States)

    Miyanaji, Hadi; Zhang, Shanshan; Lassell, Austin; Zandinejad, Amirali; Yang, Li

    2016-03-01

    Custom ceramic structures possess significant potentials in many applications such as dentistry and aerospace where extreme environments are present. Specifically, highly customized geometries with adequate performance are needed for various dental prostheses applications. This paper demonstrates the development of process and post-process parameters for a dental porcelain ceramic material using binder jetting additive manufacturing (AM). Various process parameters such as binder amount, drying power level, drying time and powder spread speed were studied experimentally for their effect on geometrical and mechanical characteristics of green parts. In addition, the effects of sintering and printing parameters on the qualities of the densified ceramic structures were also investigated experimentally. The results provide insights into the process-property relationships for the binder jetting AM process, and some of the challenges of the process that need to be further characterized for the successful adoption of the binder jetting technology in high quality ceramic fabrications are discussed.

  15. NTP comparison process

    Science.gov (United States)

    Corban, Robert

    The systems engineering process for the concept definition phase of the program involves requirements definition, system definition, and consistent concept definition. The requirements definition process involves obtaining a complete understanding of the system requirements based on customer needs, mission scenarios, and nuclear thermal propulsion (NTP) operating characteristics. A system functional analysis is performed to provide a comprehensive traceability and verification of top-level requirements down to detailed system specifications and provides significant insight into the measures of system effectiveness to be utilized in system evaluation. The second key element in the process is the definition of system concepts to meet the requirements. This part of the process involves engine system and reactor contractor teams to develop alternative NTP system concepts that can be evaluated against specific attributes, as well as a reference configuration against which to compare system benefits and merits. Quality function deployment (QFD), as an excellent tool within Total Quality Management (TQM) techniques, can provide the required structure and provide a link to the voice of the customer in establishing critical system qualities and their relationships. The third element of the process is the consistent performance comparison. The comparison process involves validating developed concept data and quantifying system merits through analysis, computer modeling, simulation, and rapid prototyping of the proposed high risk NTP subsystems. The maximum amount possible of quantitative data will be developed and/or validated to be utilized in the QFD evaluation matrix. If upon evaluation of a new concept or its associated subsystems determine to have substantial merit, those features will be incorporated into the reference configuration for subsequent system definition and comparison efforts.

  16. Identification of wastewater processes

    DEFF Research Database (Denmark)

    Carstensen, Niels Jacob

    The introduction of on-line sensors for monitoring of nutrient salts concentrations on wastewater treatment plants with nutrient removal, opens a wide new area of modelling wastewater processes. The subject of this thesis is the formulation of operational dynamic models based on time series...... of ammonia, nitrate, and phosphate concentrations, which are measured in the aeration tanks of the biological nutrient removal system. The alternatign operation modes of the BIO-DENITRO and BIO-DENIPHO processes are of particular interest. Time series models of the hydraulic and biological processes are very......-known theory of the processes with the significant effects found in data. These models are called grey box models, and they contain rate expressions for the processes of influent load of nutrients, transport of nutrients between the aeration tanks, hydrolysis and growth of biomass, nitrification...

  17. Integrated biofuels process synthesis

    DEFF Research Database (Denmark)

    Torres-Ortega, Carlo Edgar; Rong, Ben-Guang

    2017-01-01

    Second and third generation bioethanol and biodiesel are more environmentally friendly fuels than gasoline and petrodiesel, andmore sustainable than first generation biofuels. However, their production processes are more complex and more expensive. In this chapter, we describe a two-stage synthesis......% used for bioethanol process), and steam and electricity from combustion (54%used as electricity) in the bioethanol and biodiesel processes. In the second stage, we saved about 5% in equipment costs and 12% in utility costs for bioethanol separation. This dual synthesis methodology, consisting of a top......-level screening task followed by a down-level intensification task, proved to be an efficient methodology for integrated biofuel process synthesis. The case study illustrates and provides important insights into the optimal synthesis and intensification of biofuel production processes with the proposed synthesis...

  18. Algorithm of automatic generation of technology process and process relations of automotive wiring harnesses

    Institute of Scientific and Technical Information of China (English)

    XU Benzhu; ZHU Jiman; LIU Xiaoping

    2012-01-01

    Identifying each process and their constraint relations from the complex wiring harness drawings quickly and accurately is the basis for formulating process routes. According to the knowledge of automotive wiring harness and the characteristics of wiring harness components, we established the model of wiring harness graph. Then we research the algorithm of identifying technology processes automatically, finally we describe the relationships between processes by introducing the constraint matrix, which is in or- der to lay a good foundation for harness process planning and production scheduling.

  19. Fundamentals of business process management

    NARCIS (Netherlands)

    Dumas, Marlon; La Rosa, Marcello; Mendling, Jan; Reijers, Hajo A.

    2018-01-01

    This textbook covers the entire Business Process Management (BPM) lifecycle, from process identification to process monitoring, covering along the way process modelling, analysis, redesign and automation. Concepts, methods and tools from business management, computer science and industrial

  20. Styrene process condensate treatment with a combination process of UF and NF for reuse.

    Science.gov (United States)

    Wang, Aijun; Liu, Guangmin; Huang, Jin; Wang, Lijuan; Li, Guangbin; Su, Xudong; Qi, Hong

    2013-01-15

    Aiming at reusing the SPC to save water resource and heat energy, a combination treatment process of UF/NF was applied to remove inorganic irons, suspended particles and little amount of organic contaminants in this article. To achieve the indexes of CODM≤5.00 mg L(-1), oil≤2.00 mg L(-1), conductivity≤10.00 μs cm(-1), pH of 6.0-8.0, the NF membrane process was adopted. It was necessary to employ a pretreatment process to reduce NF membrane fouling. Hence UF membrane as an efficient pretreatment unit was proposed to remove the inorganic particles, such as iron oxide catalyst, to meet the influent demands of NF. The effluent of UF, which was less than 0.02 mg L(-1) of total iron, went into a security filter and then was pumped into the NF process unit. High removal efficiencies of CODM, oil and conductivity were achieved by using NF process. The ABS grafting copolymerization experiment showed that the effluent of the combination process met the criteria of ABS production process, meanwhile the process could alleviate the environment pollution. It was shown that this combination process concept was feasible and successful in treating the SPC. Copyright © 2012 Elsevier B.V. All rights reserved.

  1. A case study: application of statistical process control tool for determining process capability and sigma level.

    Science.gov (United States)

    Chopra, Vikram; Bairagi, Mukesh; Trivedi, P; Nagar, Mona

    2012-01-01

    Statistical process control is the application of statistical methods to the measurement and analysis of variation process. Various regulatory authorities such as Validation Guidance for Industry (2011), International Conference on Harmonisation ICH Q10 (2009), the Health Canada guidelines (2009), Health Science Authority, Singapore: Guidance for Product Quality Review (2008), and International Organization for Standardization ISO-9000:2005 provide regulatory support for the application of statistical process control for better process control and understanding. In this study risk assessments, normal probability distributions, control charts, and capability charts are employed for selection of critical quality attributes, determination of normal probability distribution, statistical stability, and capability of production processes, respectively. The objective of this study is to determine tablet production process quality in the form of sigma process capability. By interpreting data and graph trends, forecasting of critical quality attributes, sigma process capability, and stability of process were studied. The overall study contributes to an assessment of process at the sigma level with respect to out-of-specification attributes produced. Finally, the study will point to an area where the application of quality improvement and quality risk assessment principles for achievement of six sigma-capable processes is possible. Statistical process control is the most advantageous tool for determination of the quality of any production process. This tool is new for the pharmaceutical tablet production process. In the case of pharmaceutical tablet production processes, the quality control parameters act as quality assessment parameters. Application of risk assessment provides selection of critical quality attributes among quality control parameters. Sequential application of normality distributions, control charts, and capability analyses provides a valid statistical

  2. A comprehensive analysis of the IMRT dose delivery process using statistical process control (SPC)

    Energy Technology Data Exchange (ETDEWEB)

    Gerard, Karine; Grandhaye, Jean-Pierre; Marchesi, Vincent; Kafrouni, Hanna; Husson, Francois; Aletti, Pierre [Research Center for Automatic Control (CRAN), Nancy University, CNRS, 54516 Vandoeuvre-les-Nancy (France); Department of Medical Physics, Alexis Vautrin Cancer Center, 54511 Vandoeuvre-les-Nancy Cedex (France) and DOSIsoft SA, 94230 Cachan (France); Research Laboratory for Innovative Processes (ERPI), Nancy University, EA 3767, 5400 Nancy Cedex (France); Department of Medical Physics, Alexis Vautrin Cancer Center, 54511 Vandoeuvre-les-Nancy Cedex (France); DOSIsoft SA, 94230 Cachan (France); Research Center for Automatic Control (CRAN), Nancy University, CNRS, 54516 Vandoeuvre-les-Nancy, France and Department of Medical Physics, Alexis Vautrin Cancer Center, 54511 Vandoeuvre-les-Nancy Cedex (France)

    2009-04-15

    The aim of this study is to introduce tools to improve the security of each IMRT patient treatment by determining action levels for the dose delivery process. To achieve this, the patient-specific quality control results performed with an ionization chamber--and which characterize the dose delivery process--have been retrospectively analyzed using a method borrowed from industry: Statistical process control (SPC). The latter consisted in fulfilling four principal well-structured steps. The authors first quantified the short term variability of ionization chamber measurements regarding the clinical tolerances used in the cancer center ({+-}4% of deviation between the calculated and measured doses) by calculating a control process capability (C{sub pc}) index. The C{sub pc} index was found superior to 4, which implies that the observed variability of the dose delivery process is not biased by the short term variability of the measurement. Then, the authors demonstrated using a normality test that the quality control results could be approximated by a normal distribution with two parameters (mean and standard deviation). Finally, the authors used two complementary tools--control charts and performance indices--to thoroughly analyze the IMRT dose delivery process. Control charts aim at monitoring the process over time using statistical control limits to distinguish random (natural) variations from significant changes in the process, whereas performance indices aim at quantifying the ability of the process to produce data that are within the clinical tolerances, at a precise moment. The authors retrospectively showed that the analysis of three selected control charts (individual value, moving-range, and EWMA control charts) allowed efficient drift detection of the dose delivery process for prostate and head-and-neck treatments before the quality controls were outside the clinical tolerances. Therefore, when analyzed in real time, during quality controls, they should

  3. A comprehensive analysis of the IMRT dose delivery process using statistical process control (SPC).

    Science.gov (United States)

    Gérard, Karine; Grandhaye, Jean-Pierre; Marchesi, Vincent; Kafrouni, Hanna; Husson, François; Aletti, Pierre

    2009-04-01

    The aim of this study is to introduce tools to improve the security of each IMRT patient treatment by determining action levels for the dose delivery process. To achieve this, the patient-specific quality control results performed with an ionization chamber--and which characterize the dose delivery process--have been retrospectively analyzed using a method borrowed from industry: Statistical process control (SPC). The latter consisted in fulfilling four principal well-structured steps. The authors first quantified the short-term variability of ionization chamber measurements regarding the clinical tolerances used in the cancer center (+/- 4% of deviation between the calculated and measured doses) by calculating a control process capability (C(pc)) index. The C(pc) index was found superior to 4, which implies that the observed variability of the dose delivery process is not biased by the short-term variability of the measurement. Then, the authors demonstrated using a normality test that the quality control results could be approximated by a normal distribution with two parameters (mean and standard deviation). Finally, the authors used two complementary tools--control charts and performance indices--to thoroughly analyze the IMRT dose delivery process. Control charts aim at monitoring the process over time using statistical control limits to distinguish random (natural) variations from significant changes in the process, whereas performance indices aim at quantifying the ability of the process to produce data that are within the clinical tolerances, at a precise moment. The authors retrospectively showed that the analysis of three selected control charts (individual value, moving-range, and EWMA control charts) allowed efficient drift detection of the dose delivery process for prostate and head-and-neck treatments before the quality controls were outside the clinical tolerances. Therefore, when analyzed in real time, during quality controls, they should improve the

  4. CIMOSA process classification for business process mapping in non-manufacturing firms: A case study

    Science.gov (United States)

    Latiffianti, Effi; Siswanto, Nurhadi; Wiratno, Stefanus Eko; Saputra, Yudha Andrian

    2017-11-01

    A business process mapping is one important means to enable an enterprise to effectively manage the value chain. One of widely used approaches to classify business process for mapping purpose is Computer Integrated Manufacturing System Open Architecture (CIMOSA). CIMOSA was initially designed for Computer Integrated Manufacturing (CIM) system based enterprises. This paper aims to analyze the use of CIMOSA process classification for business process mapping in the firms that do not fall within the area of CIM. Three firms of different business area that have used CIMOSA process classification were observed: an airline firm, a marketing and trading firm for oil and gas products, and an industrial estate management firm. The result of the research has shown that CIMOSA can be used in non-manufacturing firms with some adjustment. The adjustment includes addition, reduction, or modification of some processes suggested by CIMOSA process classification as evidenced by the case studies.

  5. Experimental research of solid waste drying in the process of thermal processing

    Science.gov (United States)

    Bukhmirov, V. V.; Kolibaba, O. B.; Gabitov, R. N.

    2015-10-01

    The convective drying process of municipal solid waste layer as a polydispersed multicomponent porous structure is studied. On the base of the experimental data criterial equations for calculating heat transfer and mass transfer processes in the layer, depending on the humidity of the material, the speed of the drying agent and the layer height are obtained. These solutions are used in the thermal design of reactors for the thermal processing of multicomponent organic waste.

  6. Approximate simulation of Hawkes processes

    DEFF Research Database (Denmark)

    Møller, Jesper; Rasmussen, Jakob Gulddahl

    2006-01-01

    Hawkes processes are important in point process theory and its applications, and simulation of such processes are often needed for various statistical purposes. This article concerns a simulation algorithm for unmarked and marked Hawkes processes, exploiting that the process can be constructed...... as a Poisson cluster process. The algorithm suffers from edge effects but is much faster than the perfect simulation algorithm introduced in our previous work Møller and Rasmussen (2004). We derive various useful measures for the error committed when using the algorithm, and we discuss various empirical...... results for the algorithm compared with perfect simulations. Extensions of the algorithm and the results to more general types of marked point processes are also discussed....

  7. Conceptual models of information processing

    Science.gov (United States)

    Stewart, L. J.

    1983-01-01

    The conceptual information processing issues are examined. Human information processing is defined as an active cognitive process that is analogous to a system. It is the flow and transformation of information within a human. The human is viewed as an active information seeker who is constantly receiving, processing, and acting upon the surrounding environmental stimuli. Human information processing models are conceptual representations of cognitive behaviors. Models of information processing are useful in representing the different theoretical positions and in attempting to define the limits and capabilities of human memory. It is concluded that an understanding of conceptual human information processing models and their applications to systems design leads to a better human factors approach.

  8. Simulation of the Nitriding Process

    Science.gov (United States)

    Krukovich, M. G.

    2004-01-01

    Simulation of the nitriding process makes it possible to solve many practical problems of process control, prediction of results, and development of new treatment modes and treated materials. The presented classification systematizes nitriding processes and processes based on nitriding, enables consideration of the theory and practice of an individual process in interrelation with other phenomena, outlines ways for intensification of various process variants, and gives grounds for development of recommendations for controlling the structure and properties of the obtained layers. The general rules for conducting the process and formation of phases in the layer and properties of the treated surfaces are used to create a prediction computational model based on analytical, numerical, and empirical approaches.

  9. Perspectives on Multienzyme Process Technology

    DEFF Research Database (Denmark)

    Santacoloma, Paloma A.; Woodley, John M.

    2014-01-01

    . One consequence is that decisions about the format of the biocatalyst and reactor type as well as the process flowsheet require more extensive knowledge. In this chapter, some of the background to these decisions and decision-making tools to help establish effective multienzyme processes in a timely......There is little doubt that chemical processing of the future will involve an increasing number of biocatalytic processes using more than one enzyme. There are good reasons for developing such innovative biocatalytic processes and interesting new biocatalyst and process options will be introduced...

  10. Representative process sampling - in practice

    DEFF Research Database (Denmark)

    Esbensen, Kim; Friis-Pedersen, Hans Henrik; Julius, Lars Petersen

    2007-01-01

    Didactic data sets representing a range of real-world processes are used to illustrate "how to do" representative process sampling and process characterisation. The selected process data lead to diverse variogram expressions with different systematics (no range vs. important ranges; trends and....../or periodicity; different nugget effects and process variations ranging from less than one lag to full variogram lag). Variogram data analysis leads to a fundamental decomposition into 0-D sampling vs. 1-D process variances, based on the three principal variogram parameters: range, sill and nugget effect...

  11. The Multi-perspective Process Explorer

    NARCIS (Netherlands)

    Mannhardt, Felix; De Leoni, Massimiliano; Reijers, Hajo A.

    2015-01-01

    Organizations use process mining techniques to analyze event data recorded by their information systems. Multi-perspective process mining techniques make use of data attributes attached to events to analyze processes from multiple perspectives. Applying those multi-perspective process mining

  12. The "Process" of Process Use: Methods for Longitudinal Assessment in a Multisite Evaluation

    Science.gov (United States)

    Shaw, Jessica; Campbell, Rebecca

    2014-01-01

    Process use refers to the ways in which stakeholders and/or evaluands change as a function of participating in evaluation activities. Although the concept of process use has been well discussed in the literature, exploration of methodological strategies for the measurement and assessment of process use has been limited. Typically, empirical…

  13. Semisolid Metal Processing Consortium

    Energy Technology Data Exchange (ETDEWEB)

    Apelian,Diran

    2002-01-10

    Mathematical modeling and simulations of semisolid filling processes remains a critical issue in understanding and optimizing the process. Semisolid slurries are non-Newtonian materials that exhibit complex rheological behavior. There the way these slurries flow in cavities is very different from the way liquid in classical casting fills cavities. Actually filling in semisolid processing is often counter intuitive

  14. Process research and development

    Science.gov (United States)

    Bickler, D. B.

    1986-01-01

    The following major processes involved in the production of crystalline-silicon solar cells were discussed: surface preparation, junction formation, metallization, and assembly. The status of each of these processes, and the sequence in which these processes are applied, were described as they were in 1975, as they were in 1985, and what they might be in the future.

  15. 7 CFR 932.14 - Process.

    Science.gov (United States)

    2010-01-01

    ... 7 Agriculture 8 2010-01-01 2010-01-01 false Process. 932.14 Section 932.14 Agriculture Regulations... Handling Definitions § 932.14 Process. Process means to change olives in any way from their natural condition by any commercial process. ...

  16. METAL PLATING PROCESS

    Science.gov (United States)

    Walker, D.E.; Noland, R.A.

    1958-08-12

    A process ts described for obtaining a closely bonded coating of steel or iron on uranium. The process consists of providing, between the steel and uramium. a layer of silver. amd then pressure rolling tbe assembly at about 600 deg C until a reduction of from l0 to 50% has been obtained.

  17. USAF Logistics Process Optimization Study for the Aircraft Asset Sustainment Process. Volume 3. Future to be Asset Sustainment Process Model

    National Research Council Canada - National Science Library

    Adamson, Anthony

    1998-01-01

    .... It is published as three separate volumes. Volume I, USAF Logistics Process Optimization Study for the Aircraft Asset Sustainment Process -- Phase II Report, discusses the result and cost/benefit analysis of testing three initiatives...

  18. Business Process Modeling: Perceived Benefits

    Science.gov (United States)

    Indulska, Marta; Green, Peter; Recker, Jan; Rosemann, Michael

    The process-centered design of organizations and information systems is globally seen as an appropriate response to the increased economic pressure on organizations. At the methodological core of process-centered management is process modeling. However, business process modeling in large initiatives can be a time-consuming and costly exercise, making it potentially difficult to convince executive management of its benefits. To date, and despite substantial interest and research in the area of process modeling, the understanding of the actual benefits of process modeling in academia and practice is limited. To address this gap, this paper explores the perception of benefits derived from process modeling initiatives, as reported through a global Delphi study. The study incorporates the views of three groups of stakeholders - academics, practitioners and vendors. Our findings lead to the first identification and ranking of 19 unique benefits associated with process modeling. The study in particular found that process modeling benefits vary significantly between practitioners and academics. We argue that the variations may point to a disconnect between research projects and practical demands.

  19. Estimation of zirconium in various process streams in molten salt electrorefining process

    International Nuclear Information System (INIS)

    Suganthi, S.; Vandarkuzhali, S.; Venkatesh, P.; Prabhakara Reddy, B.; Nagarajan, K.

    2012-01-01

    Molten salt electrorefining process is a non-aqueous pyrochemical process suitable for reprocessing spent metallic fuel. In this process the spent fuel is taken at the anode and the fuel elements are selectively electrotransported to a suitable cathode (either a solid steel cathode or liquid cadmium cathode) using molten LiCl-KCI as electrolyte. We have demonstrated electrorefining of UZr alloy at engineering scale level. 1 Kg U-6%Zr alloy was taken at the anode and pure uranium was recovered at a steel cathode using molten LiCIKCI-5%UCI 3 as electrolyte at 773 K. In this paper we present the method of dissolution, sample preparation and estimation of zirconium in various process streams in the electrorefining experiments carried out in our laboratory

  20. Modeling biochemical transformation processes and information processing with Narrator.

    Science.gov (United States)

    Mandel, Johannes J; Fuss, Hendrik; Palfreyman, Niall M; Dubitzky, Werner

    2007-03-27

    Software tools that model and simulate the dynamics of biological processes and systems are becoming increasingly important. Some of these tools offer sophisticated graphical user interfaces (GUIs), which greatly enhance their acceptance by users. Such GUIs are based on symbolic or graphical notations used to describe, interact and communicate the developed models. Typically, these graphical notations are geared towards conventional biochemical pathway diagrams. They permit the user to represent the transport and transformation of chemical species and to define inhibitory and stimulatory dependencies. A critical weakness of existing tools is their lack of supporting an integrative representation of transport, transformation as well as biological information processing. Narrator is a software tool facilitating the development and simulation of biological systems as Co-dependence models. The Co-dependence Methodology complements the representation of species transport and transformation together with an explicit mechanism to express biological information processing. Thus, Co-dependence models explicitly capture, for instance, signal processing structures and the influence of exogenous factors or events affecting certain parts of a biological system or process. This combined set of features provides the system biologist with a powerful tool to describe and explore the dynamics of life phenomena. Narrator's GUI is based on an expressive graphical notation which forms an integral part of the Co-dependence Methodology. Behind the user-friendly GUI, Narrator hides a flexible feature which makes it relatively easy to map models defined via the graphical notation to mathematical formalisms and languages such as ordinary differential equations, the Systems Biology Markup Language or Gillespie's direct method. This powerful feature facilitates reuse, interoperability and conceptual model development. Narrator is a flexible and intuitive systems biology tool. It is

  1. Managing Software Process Evolution

    DEFF Research Database (Denmark)

    This book focuses on the design, development, management, governance and application of evolving software processes that are aligned with changing business objectives, such as expansion to new domains or shifting to global production. In the context of an evolving business world, it examines...... the complete software process lifecycle, from the initial definition of a product to its systematic improvement. In doing so, it addresses difficult problems, such as how to implement processes in highly regulated domains or where to find a suitable notation system for documenting processes, and provides...... essential insights and tips to help readers manage process evolutions. And last but not least, it provides a wealth of examples and cases on how to deal with software evolution in practice. Reflecting these topics, the book is divided into three parts. Part 1 focuses on software business transformation...

  2. Quantum independent increment processes

    CERN Document Server

    Franz, Uwe

    2006-01-01

    This is the second of two volumes containing the revised and completed notes of lectures given at the school "Quantum Independent Increment Processes: Structure and Applications to Physics". This school was held at the Alfried-Krupp-Wissenschaftskolleg in Greifswald in March, 2003, and supported by the Volkswagen Foundation. The school gave an introduction to current research on quantum independent increment processes aimed at graduate students and non-specialists working in classical and quantum probability, operator algebras, and mathematical physics. The present second volume contains the following lectures: "Random Walks on Finite Quantum Groups" by Uwe Franz and Rolf Gohm, "Quantum Markov Processes and Applications in Physics" by Burkhard Kümmerer, Classical and Free Infinite Divisibility and Lévy Processes" by Ole E. Barndorff-Nielsen, Steen Thorbjornsen, and "Lévy Processes on Quantum Groups and Dual Groups" by Uwe Franz.

  3. Beryllium chemistry and processing

    CERN Document Server

    Walsh, Kenneth A

    2009-01-01

    This book introduces beryllium; its history, its chemical, mechanical, and physical properties including nuclear properties. The 29 chapters include the mineralogy of beryllium and the preferred global sources of ore bodies. The identification and specifics of the industrial metallurgical processes used to form oxide from the ore and then metal from the oxide are thoroughly described. The special features of beryllium chemistry are introduced, including analytical chemical practices. Beryllium compounds of industrial interest are identified and discussed. Alloying, casting, powder processing, forming, metal removal, joining and other manufacturing processes are covered. The effect of composition and process on the mechanical and physical properties of beryllium alloys assists the reader in material selection. The physical metallurgy chapter brings conformity between chemical and physical metallurgical processing of beryllium, metal, alloys, and compounds. The environmental degradation of beryllium and its all...

  4. Branching processes in biology

    CERN Document Server

    Kimmel, Marek

    2015-01-01

    This book provides a theoretical background of branching processes and discusses their biological applications. Branching processes are a well-developed and powerful set of tools in the field of applied probability. The range of applications considered includes molecular biology, cellular biology, human evolution and medicine. The branching processes discussed include Galton-Watson, Markov, Bellman-Harris, Multitype, and General Processes. As an aid to understanding specific examples, two introductory chapters, and two glossaries are included that provide background material in mathematics and in biology. The book will be of interest to scientists who work in quantitative modeling of biological systems, particularly probabilists, mathematical biologists, biostatisticians, cell biologists, molecular biologists, and bioinformaticians. The authors are a mathematician and cell biologist who have collaborated for more than a decade in the field of branching processes in biology for this new edition. This second ex...

  5. Process querying : enabling business intelligence through query-based process analytics

    NARCIS (Netherlands)

    Polyvyanyy, A.; Ouyang, C.; Barros, A.; van der Aalst, W.M.P.

    2017-01-01

    The volume of process-related data is growing rapidly: more and more business operations are being supported and monitored by information systems. Industry 4.0 and the corresponding industrial Internet of Things are about to generate new waves of process-related data, next to the abundance of event

  6. Component processes underlying future thinking.

    Science.gov (United States)

    D'Argembeau, Arnaud; Ortoleva, Claudia; Jumentier, Sabrina; Van der Linden, Martial

    2010-09-01

    This study sought to investigate the component processes underlying the ability to imagine future events, using an individual-differences approach. Participants completed several tasks assessing different aspects of future thinking (i.e., fluency, specificity, amount of episodic details, phenomenology) and were also assessed with tasks and questionnaires measuring various component processes that have been hypothesized to support future thinking (i.e., executive processes, visual-spatial processing, relational memory processing, self-consciousness, and time perspective). The main results showed that executive processes were correlated with various measures of future thinking, whereas visual-spatial processing abilities and time perspective were specifically related to the number of sensory descriptions reported when specific future events were imagined. Furthermore, individual differences in self-consciousness predicted the subjective feeling of experiencing the imagined future events. These results suggest that future thinking involves a collection of processes that are related to different facets of future-event representation.

  7. Future Trends in Process Automation

    OpenAIRE

    Jämsä-Jounela, Sirkka-Liisa

    2007-01-01

    The importance of automation in the process industries has increased dramatically in recent years. In the highly industrialized countries, process automation serves to enhance product quality, master the whole range of products, improve process safety and plant availability, efficiently utilize resources and lower emissions. In the rapidly developing countries, mass production is the main motivation for applying process automation. The greatest demand for process automation is in the chemical...

  8. Fuel production from coal by the Mobil Oil process using nuclear high-temperature process heat

    International Nuclear Information System (INIS)

    Hoffmann, G.

    1982-01-01

    Two processes for the production of liquid hydrocarbons are presented: Direct conversion of coal into fuel (coal hydrogenation) and indirect conversion of coal into fuel (syngas production, methanol synthesis, Mobil Oil process). Both processes have several variants in which nuclear process heat may be used; in most cases, the nuclear heat is introduced in the gas production stage. The following gas production processes are compared: LURGI coal gasification process; steam reformer methanation, with and without coal hydrogasification and steam gasification of coal. (orig./EF) [de

  9. Processing Proteases

    DEFF Research Database (Denmark)

    Ødum, Anders Sebastian Rosenkrans

    -terminal of the scissile bond, leaving C-terminal fusions to have non-native C-termini after processing. A solution yielding native C-termini would allow novel expression and purification systems for therapeutic proteins and peptides.The peptidyl-Lys metallopeptidase (LysN) of the fungus Armillaria mellea (Am) is one...... of few known proteases to have substrate specificity for the C-terminal side of the scissile bond. LysN exhibits specificity for lysine, and has primarily been used to complement trypsin in to proteomic studies. A working hypothesis during this study was the potential of LysN as a processing protease...

  10. Business process technology and the cloud : defining a business process cloud platform

    OpenAIRE

    Stoitsev, V.; Grefen, P.W.P.J.

    2012-01-01

    The present state of the integration between business process technology and the Cloud is vague and not well defined. Industry research organizations predict that enterprises will be moving in both these directions in the next few years. This will increase the need for a clear integration between these two areas. Apart from this, many current problems with automated business processes stem from the poor connection between business application systems and the needed business process support, a...

  11. Electro/powder separation process

    International Nuclear Information System (INIS)

    Dunn, J.P.

    1977-01-01

    A report is presented to introduce the ELECTRO/POWDER process to the P/M Industry. The process effectively uses electrostatic forces to convey, sort, meter, and blend fine powders. The major advantages of this separating process consist of the processing of primary particles, low particle energy due to particle velocity control and the pattern of particle movement over the sieve (vertical oscillation of particles above the sieve aperture). The report briefly describes the forces involved in both mechanical and sieving devices, with major emphasis on the operating principles of this process. Sieve separation of particulates is basically the result of two physical separating processes which occur simultaneously or independently; separation (dispersion) of particulates from each other and the size separation by passage through fixed apertures. In order to accomplish this goal, mechanical sieving devices utilize various motions to induce shear forces between the sieve surface and the particulates, and between the particulates themselves. It is noted that the ELECTRO/POWDER process is making steady progress in becoming an industrial tool for sieving and feeding of fine particles. Its potential extends into both the blending and admixing of powders, either by incorporating two opposing feeders, one being charged with the opposite polarity or by modifying the ELECTRO/SIEVE to incorporate more than one input and a solid electrode to replace the sieve electrode

  12. Reviving Markov processes and applications

    International Nuclear Information System (INIS)

    Cai, H.

    1988-01-01

    In this dissertation we study a procedure which restarts a Markov process when the process is killed by some arbitrary multiplicative functional. The regenerative nature of this revival procedure is characterized through a Markov renewal equation. An interesting duality between the revival procedure and the classical killing operation is found. Under the condition that the multiplicative functional possesses an intensity, the generators of the revival process can be written down explicitly. An intimate connection is also found between the perturbation of the sample path of a Markov process and the perturbation of a generator (in Kato's sense). The applications of the theory include the study of the processes like piecewise-deterministic Markov process, virtual waiting time process and the first entrance decomposition (taboo probability)

  13. Business process modeling in healthcare.

    Science.gov (United States)

    Ruiz, Francisco; Garcia, Felix; Calahorra, Luis; Llorente, César; Gonçalves, Luis; Daniel, Christel; Blobel, Bernd

    2012-01-01

    The importance of the process point of view is not restricted to a specific enterprise sector. In the field of health, as a result of the nature of the service offered, health institutions' processes are also the basis for decision making which is focused on achieving their objective of providing quality medical assistance. In this chapter the application of business process modelling - using the Business Process Modelling Notation (BPMN) standard is described. Main challenges of business process modelling in healthcare are the definition of healthcare processes, the multi-disciplinary nature of healthcare, the flexibility and variability of the activities involved in health care processes, the need of interoperability between multiple information systems, and the continuous updating of scientific knowledge in healthcare.

  14. Development of the Fischer-Tropsch Process: From the Reaction Concept to the Process Book

    Directory of Open Access Journals (Sweden)

    Boyer C.

    2016-05-01

    Full Text Available The process development by IFP Energies nouvelles (IFPEN/ENI/Axens of a Fischer-Tropsch process is described. This development is based on upstream process studies to choose the process scheme, reactor technology and operating conditions, and downstream to summarize all development work in a process guide. A large amount of work was devoted to the catalyst performances on one hand and the scale-up of the slurry bubble reactor with dedicated complementary tools on the other hand. Finally, an original approach was implemented to validate both the process and catalyst on an industrial scale by combining a 20 bpd unit in ENI’s Sannazzaro refinery, with cold mock-ups equivalent to 20 and 1 000 bpd at IFPEN and a special “Large Validation Tool” (LVT which reproduces the combined effect of chemical reaction condition stress and mechanical stress equivalent to a 15 000 bpd industrial unit. Dedicated analytical techniques and a dedicated model were developed to simulate the whole process (reactor and separation train, integrating a high level of complexity and phenomena coupling to scale-up the process in a robust reliable base on an industrial scale.

  15. Process Damping Parameters

    International Nuclear Information System (INIS)

    Turner, Sam

    2011-01-01

    The phenomenon of process damping as a stabilising effect in milling has been encountered by machinists since milling and turning began. It is of great importance when milling aerospace alloys where maximum surface speed is limited by excessive tool wear and high speed stability lobes cannot be attained. Much of the established research into regenerative chatter and chatter avoidance has focussed on stability lobe theory with different analytical and time domain models developed to expand on the theory first developed by Trusty and Tobias. Process damping is a stabilising effect that occurs when the surface speed is low relative to the dominant natural frequency of the system and has been less successfully modelled and understood. Process damping is believed to be influenced by the interference of the relief face of the cutting tool with the waveform traced on the cut surface, with material properties and the relief geometry of the tool believed to be key factors governing performance. This study combines experimental trials with Finite Element (FE) simulation in an attempt to identify and understand the key factors influencing process damping performance in titanium milling. Rake angle, relief angle and chip thickness are the variables considered experimentally with the FE study looking at average radial and tangential forces and surface compressive stress. For the experimental study a technique is developed to identify the critical process damping wavelength as a means of measuring process damping performance. For the range of parameters studied, chip thickness is found to be the dominant factor with maximum stable parameters increased by a factor of 17 in the best case. Within the range studied, relief angle was found to have a lesser effect than expected whilst rake angle had an influence.

  16. Renewal Processes and Repairable Systems

    NARCIS (Netherlands)

    2003-01-01

    In this thesis we discuss the following topics: 1. Renewal reward processes The marginal distributions of renewal reward processes and its version, which we call in this thesis instantaneous reward processes, are derived. Our approach is based on the theory of point processes, especially Poisson

  17. A KPI-based process monitoring and fault detection framework for large-scale processes.

    Science.gov (United States)

    Zhang, Kai; Shardt, Yuri A W; Chen, Zhiwen; Yang, Xu; Ding, Steven X; Peng, Kaixiang

    2017-05-01

    Large-scale processes, consisting of multiple interconnected subprocesses, are commonly encountered in industrial systems, whose performance needs to be determined. A common approach to this problem is to use a key performance indicator (KPI)-based approach. However, the different KPI-based approaches are not developed with a coherent and consistent framework. Thus, this paper proposes a framework for KPI-based process monitoring and fault detection (PM-FD) for large-scale industrial processes, which considers the static and dynamic relationships between process and KPI variables. For the static case, a least squares-based approach is developed that provides an explicit link with least-squares regression, which gives better performance than partial least squares. For the dynamic case, using the kernel representation of each subprocess, an instrument variable is used to reduce the dynamic case to the static case. This framework is applied to the TE benchmark process and the hot strip mill rolling process. The results show that the proposed method can detect faults better than previous methods. Copyright © 2017 ISA. Published by Elsevier Ltd. All rights reserved.

  18. Second language processing : when are first and second languages processed similarly?

    NARCIS (Netherlands)

    Sabourin, Laura; Stowe, Laurie A.

    In this article we investigate the effects of first language (L1) on second language (L2) neural processing for two grammatical constructions (verbal domain dependency and grammatical gender), focusing on the event-related potential P600 effect, which has been found in both L1 and L2 processing.

  19. The Nursing Process

    Directory of Open Access Journals (Sweden)

    M. Hammond

    1978-09-01

    Full Text Available The essence of the nursing process can be summed up in this quotation by Sir Francis Bacon: “Human knowledge and human powers meet in one; for where the cause is not known the effect cannot be produced.” Arriving at a concise, accurate definition of the nursing process was, for me, an impossible task. It is altogether too vast and too personal a topic to contract down into a niftylooking, we-pay-lip-service-to-it cliché. So what I propose to do is to present my understanding of the nursing process throughout this essay, and then to leave the reader with some overall, general impression of what it all entails.

  20. Cryogenic process simulation

    International Nuclear Information System (INIS)

    Panek, J.; Johnson, S.

    1994-01-01

    Combining accurate fluid property databases with a commercial equation-solving software package running on a desktop computer allows simulation of cryogenic processes without extensive computer programming. Computer simulation can be a powerful tool for process development or optimization. Most engineering simulations to date have required extensive programming skills in languages such as Fortran, Pascal, etc. Authors of simulation code have also usually been responsible for choosing and writing the particular solution algorithm. This paper describes a method of simulating cryogenic processes with a commercial software package on a desktop personal computer that does not require these traditional programming tasks. Applications include modeling of cryogenic refrigerators, heat exchangers, vapor-cooled power leads, vapor pressure thermometers, and various other engineering problems

  1. Nuclear process heat

    Energy Technology Data Exchange (ETDEWEB)

    Schulten, R [Kernforschungsanlage Juelich G.m.b.H. (F.R. Germany). Inst. fuer Reaktorentwicklung

    1976-05-01

    It is anticipated that the coupled utilization of coal and nuclear energy will achieve great importance in the future, the coal serving mainly as raw material and nuclear energy more as primary energy. Prerequisite for this development is the availability of high-temperature reactors, the state of development of which is described here. Raw materials for coupled use with nuclear process heat are petroleum, natural gas, coal, lignite, and water. Steam reformers heated by nuclear process heat, which are suitable for numerous processes, are expected to find wide application. The article describes several individual methods, all based on the transport of gas in pipelines, which could be utilized for the long distance transport of 'nuclear energy'.

  2. s-process chronometers

    International Nuclear Information System (INIS)

    Beer, H.

    1983-01-01

    The radionuclei 40 K, 81 Kr, 87 Rb, 93 Zr, 107 Pd, 147 Sm, 176 Lu and 205 Pb are built up totally or partially by the s-process. Due to their long half life they are potential chronometers for the age and the development of the s-process. The usefulness of the various nuclei is discussed. For the determination of the mean age of the s-process synthesis and with it the age of the galaxy, 176 Lu is best suited. It is demonstrated that this age can be calculated solely from measured cross section and abundance ratios. Various effects which can limit the usefulness of 176 Lu as a clock are discussed. (orig.) [de

  3. Validation of radiation sterilization process

    International Nuclear Information System (INIS)

    Kaluska, I.

    2007-01-01

    The standards for quality management systems recognize that, for certain processes used in manufacturing, the effectiveness of the process cannot be fully verified by subsequent inspection and testing of the product. Sterilization is an example of such a process. For this reason, sterilization processes are validated for use, the performance of sterilization process is monitored routinely and the equipment is maintained according to ISO 13 485. Different aspects of this norm are presented

  4. Process energy analysis

    International Nuclear Information System (INIS)

    Kaiser, V.

    1993-01-01

    In Chapter 2 process energy cost analysis for chemical processing is treated in a general way, independent of the specific form of energy and power production. Especially, energy data collection and data treatment, energy accounting (metering, balance setting), specific energy input, and utility energy costs and prices are discussed. (R.P.) 14 refs., 4 figs., 16 tabs

  5. Taking the Copenhagen Process apart

    DEFF Research Database (Denmark)

    Cort, Pia

    that the Copenhagen Process has legitimately extended vocational education and training policy formation to include the EU and its new institutional settings established through the Open Method of Coordination. Furthermore, vocational education and training is being reconfigured within a neoliberal Lifelong Learning......The aim of this thesis is to analyse the EU vocational education and training policy process (The Copenhagen Process) from a critical perspective based on the policy analysis methodology, “What’s the Problem Represented to Be?” (WPR) developed by Professor Carol Bacchi. The main research question...... “How can the European vocational education and training policy process - the Copenhagen Process - be understood from a WPR perspective? “ is addressed in six articles which take apart the Copenhagen Process and deal with specific WPR questions and specific aspects of the Copenhagen Process...

  6. Service outsourcing with process views

    NARCIS (Netherlands)

    Eshuis, H.; Norta, A.H.; Kopp, O.; Pitkänen, E.

    2015-01-01

    Service outsourcing is a business paradigm in which an organization has a part of its business process performed by a service provider. Process views are pivotal to support this way of working. A process view shields secret or irrelevant details from a private business process, thus allowing an

  7. Generalised shot noise Cox processes

    DEFF Research Database (Denmark)

    Møller, Jesper; Torrisi, Giovanni Luca

    2005-01-01

    We introduce a class of cox cluster processes called generalised shot noise Cox processes (GSNCPs), which extends the definition of shot noise Cox processes (SNCPs) in two directions: the point process that drives the shot noise is not necessarily Poisson, and the kernel of the shot noise can...

  8. Energy saving processes for nitrogen removal in organic wastewater from food processing industries in Thailand.

    Science.gov (United States)

    Johansen, N H; Suksawad, N; Balslev, P

    2004-01-01

    Nitrogen removal from organic wastewater is becoming a demand in developed communities. The use of nitrite as intermediate in the treatment of wastewater has been largely ignored, but is actually a relevant energy saving process compared to conventional nitrification/denitrification using nitrate as intermediate. Full-scale results and pilot-scale results using this process are presented. The process needs some additional process considerations and process control to be utilized. Especially under tropical conditions the nitritation process will round easily, and it must be expected that many AS treatment plants in the food industry already produce NO2-N. This uncontrolled nitrogen conversion can be the main cause for sludge bulking problems. It is expected that sludge bulking problems in many cases can be solved just by changing the process control in order to run a more consequent nitritation. Theoretically this process will decrease the oxygen consumption for oxidation by 25% and the use of carbon source for the reduction will be decreased by 40% compared to the conventional process.

  9. Exhaust gas processing facility

    International Nuclear Information System (INIS)

    Terada, Shin-ichi.

    1995-01-01

    The facility of the present invention comprises a radioactive liquid storage vessel, an exhaust gas dehumidifying device for dehumidifying gases exhausted from the vessel and an exhaust gas processing device for reducing radioactive materials in the exhaust gases. A purified gas line is disposed to the radioactive liquid storage vessel for purging exhaust gases generated from the radioactive liquid, then dehumidified and condensed liquid is recovered, and exhaust gases are discharged through an exhaust gas pipe disposed downstream of the exhaust gas processing device. With such procedures, the scale of the exhaust gas processing facility can be reduced and exhaust gases can be processed efficiently. (T.M.)

  10. Neuroscientific Model of Motivational Process

    Science.gov (United States)

    Kim, Sung-il

    2013-01-01

    Considering the neuroscientific findings on reward, learning, value, decision-making, and cognitive control, motivation can be parsed into three sub processes, a process of generating motivation, a process of maintaining motivation, and a process of regulating motivation. I propose a tentative neuroscientific model of motivational processes which consists of three distinct but continuous sub processes, namely reward-driven approach, value-based decision-making, and goal-directed control. Reward-driven approach is the process in which motivation is generated by reward anticipation and selective approach behaviors toward reward. This process recruits the ventral striatum (reward area) in which basic stimulus-action association is formed, and is classified as an automatic motivation to which relatively less attention is assigned. By contrast, value-based decision-making is the process of evaluating various outcomes of actions, learning through positive prediction error, and calculating the value continuously. The striatum and the orbitofrontal cortex (valuation area) play crucial roles in sustaining motivation. Lastly, the goal-directed control is the process of regulating motivation through cognitive control to achieve goals. This consciously controlled motivation is associated with higher-level cognitive functions such as planning, retaining the goal, monitoring the performance, and regulating action. The anterior cingulate cortex (attention area) and the dorsolateral prefrontal cortex (cognitive control area) are the main neural circuits related to regulation of motivation. These three sub processes interact with each other by sending reward prediction error signals through dopaminergic pathway from the striatum and to the prefrontal cortex. The neuroscientific model of motivational process suggests several educational implications with regard to the generation, maintenance, and regulation of motivation to learn in the learning environment. PMID:23459598

  11. Neuroscientific model of motivational process.

    Science.gov (United States)

    Kim, Sung-Il

    2013-01-01

    Considering the neuroscientific findings on reward, learning, value, decision-making, and cognitive control, motivation can be parsed into three sub processes, a process of generating motivation, a process of maintaining motivation, and a process of regulating motivation. I propose a tentative neuroscientific model of motivational processes which consists of three distinct but continuous sub processes, namely reward-driven approach, value-based decision-making, and goal-directed control. Reward-driven approach is the process in which motivation is generated by reward anticipation and selective approach behaviors toward reward. This process recruits the ventral striatum (reward area) in which basic stimulus-action association is formed, and is classified as an automatic motivation to which relatively less attention is assigned. By contrast, value-based decision-making is the process of evaluating various outcomes of actions, learning through positive prediction error, and calculating the value continuously. The striatum and the orbitofrontal cortex (valuation area) play crucial roles in sustaining motivation. Lastly, the goal-directed control is the process of regulating motivation through cognitive control to achieve goals. This consciously controlled motivation is associated with higher-level cognitive functions such as planning, retaining the goal, monitoring the performance, and regulating action. The anterior cingulate cortex (attention area) and the dorsolateral prefrontal cortex (cognitive control area) are the main neural circuits related to regulation of motivation. These three sub processes interact with each other by sending reward prediction error signals through dopaminergic pathway from the striatum and to the prefrontal cortex. The neuroscientific model of motivational process suggests several educational implications with regard to the generation, maintenance, and regulation of motivation to learn in the learning environment.

  12. Development of continuous pharmaceutical production processes supported by process systems engineering methods and tools

    DEFF Research Database (Denmark)

    Gernaey, Krist; Cervera Padrell, Albert Emili; Woodley, John

    2012-01-01

    The pharmaceutical industry is undergoing a radical transition towards continuous production processes. Systematic use of process systems engineering (PSE) methods and tools form the key to achieve this transition in a structured and efficient way.......The pharmaceutical industry is undergoing a radical transition towards continuous production processes. Systematic use of process systems engineering (PSE) methods and tools form the key to achieve this transition in a structured and efficient way....

  13. Implementing process safety management in gas processing operations

    International Nuclear Information System (INIS)

    Rodman, D.L.

    1992-01-01

    The Occupational Safety and Health Administration (OSHA) standard entitled Process Safety Management of Highly Hazardous Chemicals; Explosives and Blasting Agents was finalized February 24, 1992. The purpose of the standard is to prevent or minimize consequences of catastrophic releases of toxic, flammable, or explosive chemicals. OSHA believes that its rule will accomplish this goal by requiring a comprehensive management program that integrates technologies, procedures, and management practices. Gas Processors Association (GPA) member companies are significantly impacted by this major standard, the requirements of which are extensive and complex. The purpose of this paper is to review the requirements of the standard and to discuss the elements to consider in developing and implementing a viable long term Process Safety Management Program

  14. Next generation software process improvement

    OpenAIRE

    Turnas, Daniel

    2003-01-01

    Approved for public release; distribution is unlimited Software is often developed under a process that can at best be described as ad hoc. While it is possible to develop quality software under an ad hoc process, formal processes can be developed to help increase the overall quality of the software under development. The application of these processes allows for an organization to mature. The software maturity level, and process improvement, of an organization can be measured with the Cap...

  15. Simulation of the styrene production process via catalytic dehydrogenation of ethylbenzene using CHEMCAD® process simulator

    OpenAIRE

    Pérez-Sánchez, Amaury; Sánchez, Eddy Javier Pérez; Segura Silva, Rutdali María

    2017-01-01

    Abstract Background: Process simulation has been extensively used in recent years to design, evaluate or optimize processes, systems and specific operations of the chemical industry and its related disciplines. Currently, CHEMCAD® constitute one of the most used process simulators because of the great number of chemical and petrochemical processes that can be simulated. Method: The simulation of the production process of styrene via catalytic dehydrogenation of ethyl-benzene is carried ou...

  16. Process and Economic Optimisation of a Milk Processing Plant with Solar Thermal Energy

    DEFF Research Database (Denmark)

    Bühler, Fabian; Nguyen, Tuong-Van; Elmegaard, Brian

    2016-01-01

    . Based on the case study of a dairy factory, where first a heat integration is performed to optimise the system, a model for solar thermal process integration is developed. The detailed model is based on annual hourly global direct and diffuse solar radiation, from which the radiation on a defined......This work investigates the integration of solar thermal systems for process energy use. A shift from fossil fuels to renewable energy could be beneficial both from environmental and economic perspectives, after the process itself has been optimised and efficiency measures have been implemented...... surface is calculated. Based on hourly process stream data from the dairy factory, the optimal streams for solar thermal process integration are found, with an optimal thermal storagetank volume. The last step consists of an economic optimisation of the problem to determine the optimal size...

  17. Multiphoton processes: conference proceedings

    International Nuclear Information System (INIS)

    Lambropoulos, P.; Smith, S.J.

    1984-01-01

    The chapters of this volume represent the invited papers delivered at the conference. They are arranged according to thermatic proximity beginning with atoms and continuing with molecules and surfaces. Section headings include multiphoton processes in atoms, field fluctuations and collisions in multiphoton process, and multiphoton processes in molecules and surfaces. Abstracts of individual items from the conference were prepared separately for the data base

  18. Multiphoton processes: conference proceedings

    Energy Technology Data Exchange (ETDEWEB)

    Lambropoulos, P.; Smith, S.J. (eds.)

    1984-01-01

    The chapters of this volume represent the invited papers delivered at the conference. They are arranged according to thermatic proximity beginning with atoms and continuing with molecules and surfaces. Section headings include multiphoton processes in atoms, field fluctuations and collisions in multiphoton process, and multiphoton processes in molecules and surfaces. Abstracts of individual items from the conference were prepared separately for the data base. (GHT)

  19. Design of production process main shaft process with lean manufacturing to improve productivity

    Science.gov (United States)

    Siregar, I.; Nasution, A. A.; Andayani, U.; Anizar; Syahputri, K.

    2018-02-01

    This object research is one of manufacturing companies that produce oil palm machinery parts. In the production process there is delay in the completion of the Main shaft order. Delays in the completion of the order indicate the low productivity of the company in terms of resource utilization. This study aimed to obtain a draft improvement of production processes that can improve productivity by identifying and eliminating activities that do not add value (non-value added activity). One approach that can be used to reduce and eliminate non-value added activity is Lean Manufacturing. This study focuses on the identification of non-value added activity with value stream mapping analysis tools, while the elimination of non-value added activity is done with tools 5 whys and implementation of pull demand system. Based on the research known that non-value added activity on the production process of the main shaft is 9,509.51 minutes of total lead time 10,804.59 minutes. This shows the level of efficiency (Process Cycle Efficiency) in the production process of the main shaft is still very low by 11.89%. Estimation results of improvement showed a decrease in total lead time became 4,355.08 minutes and greater process cycle efficiency that is equal to 29.73%, which indicates that the process was nearing the concept of lean production.

  20. A Simulation Study of Inter Heat Exchanger Process in SI Cycle Process for Hydrogen Production

    International Nuclear Information System (INIS)

    Shin, Jae Sun; Cho, Sung Jin; Choi, Suk Hoon; Qasim, Faraz; Lee, Euy Soo; Park, Sang Jin; Lee, Heung N.; Park, Jae Ho; Lee, Won Jae

    2014-01-01

    SI Cyclic process is one of the thermochemical hydrogen production processes using iodine and sulfur for producing hydrogen molecules from water. VHTR (Very High Temperature Reactor) can be used to supply heat to hydrogen production process, which is a high temperature nuclear reactor. IHX (Intermediate Heat Exchanger) is necessary to transfer heat to hydrogen production process safely without radioactivity. In this study, the strategy for the optimum design of IHX between SI hydrogen process and VHTR is proposed for various operating pressures of the reactor, and the different cooling fluids. Most economical efficiency of IHX is also proposed along with process conditions

  1. Development of advanced spent fuel management process. System analysis of advanced spent fuel management process

    International Nuclear Information System (INIS)

    Ro, S.G.; Kang, D.S.; Seo, C.S.; Lee, H.H.; Shin, Y.J.; Park, S.W.

    1999-03-01

    The system analysis of an advanced spent fuel management process to establish a non-proliferation model for the long-term spent fuel management is performed by comparing the several dry processes, such as a salt transport process, a lithium process, the IFR process developed in America, and DDP developed in Russia. In our system analysis, the non-proliferation concept is focused on the separation factor between uranium and plutonium and decontamination factors of products in each process, and the non-proliferation model for the long-term spent fuel management has finally been introduced. (Author). 29 refs., 17 tabs., 12 figs

  2. Real-time monitoring of clinical processes using complex event processing and transition systems.

    Science.gov (United States)

    Meinecke, Sebastian

    2014-01-01

    Dependencies between tasks in clinical processes are often complex and error-prone. Our aim is to describe a new approach for the automatic derivation of clinical events identified via the behaviour of IT systems using Complex Event Processing. Furthermore we map these events on transition systems to monitor crucial clinical processes in real-time for preventing and detecting erroneous situations.

  3. Thermodynamic analysis on theoretical models of cycle combined heat exchange process: The reversible heat exchange process

    International Nuclear Information System (INIS)

    Zhang, Chenghu; Li, Yaping

    2017-01-01

    Concept of reversible heat exchange process as the theoretical model of the cycle combined heat exchanger could be useful to determine thermodynamics characteristics and the limitation values in the isolated heat exchange system. In this study, the classification of the reversible heat exchange processes is presented, and with the numerical method, medium temperature variation tendency and the useful work production and usage in the whole process are investigated by the construction and solution of the mathematical descriptions. Various values of medium inlet temperatures and heat capacity ratio are considered to analyze the effects of process parameters on the outlet temperature lift/drop. The maximum process work transferred from the Carnot cycle region to the reverse cycle region is also researched. Moreover, influence of the separating point between different sub-processes on temperature variation profile and the process work production are analyzed. In addition, the heat-exchange-enhancement-factor is defined to study the enhancement effect of the application of the idealized process in the isolated heat exchange system, and the variation degree of this factor with process parameters change is obtained. The research results of this paper can be a theoretical guidance to construct the cycle combined heat exchange process in the practical system. - Highlights: • A theoretical model of Cycle combined heat exchange process is proposed. • The classification of reversible heat exchange process are presented. • Effects of Inlet temperatures and heat capacity ratio on process are analyzed. • Process work transmission through the whole process is studied. • Heat-exchange-enhancement-factor can be a criteria to express the application effect of the idealized process.

  4. Digital processing methods for bronchograms

    International Nuclear Information System (INIS)

    Mamilyaev, R.M.; Popova, N.P.; Matsulevich, T.V.

    1989-01-01

    The technique of digital processing of bronchograms with the aim of separating morphological details of bronchi and increasing the clarity in the outlines of contrasted bronchi, is described. The block diagram of digital processing on the automatized system of image processing is given. It is shown that digital processing of bronchograms permits to clearly outline bronchi walls and makes the measurements of bronchi diameters easier and more reliable. Considerable advantages of digital processing of images as compared with the optical methods, are shown

  5. Composing Models of Geographic Physical Processes

    Science.gov (United States)

    Hofer, Barbara; Frank, Andrew U.

    Processes are central for geographic information science; yet geographic information systems (GIS) lack capabilities to represent process related information. A prerequisite to including processes in GIS software is a general method to describe geographic processes independently of application disciplines. This paper presents such a method, namely a process description language. The vocabulary of the process description language is derived formally from mathematical models. Physical processes in geography can be described in two equivalent languages: partial differential equations or partial difference equations, where the latter can be shown graphically and used as a method for application specialists to enter their process models. The vocabulary of the process description language comprises components for describing the general behavior of prototypical geographic physical processes. These process components can be composed by basic models of geographic physical processes, which is shown by means of an example.

  6. Beyond dual-process models: A categorisation of processes underlying intuitive judgement and decision making

    NARCIS (Netherlands)

    Glöckner, A.; Witteman, C.L.M.

    2010-01-01

    Intuitive-automatic processes are crucial for making judgements and decisions. The fascinating complexity of these processes has attracted many decision researchers, prompting them to start investigating intuition empirically and to develop numerous models. Dual-process models assume a clear

  7. Simulation of the styrene production process via catalytic dehydrogenation of ethylbenzene using CHEMCAD® process simulator

    OpenAIRE

    Amaury Pérez Sánchez; Eddy Javier Pérez Sánchez; Rutdali María Segura Silva

    2017-01-01

    Background: Process simulation has been extensively used in recent years to design, evaluate or optimize processes, systems and specific operations of the chemical industry and its related disciplines. Currently, CHEMCAD® constitute one of the most used process simulators because of the great number of chemical and petrochemical processes that can be simulated. Method: The simulation of the production process of styrene via catalytic dehydrogenation of ethyl-benzene is carried out by usin...

  8. The dynamics of stochastic processes

    DEFF Research Database (Denmark)

    Basse-O'Connor, Andreas

    In the present thesis the dynamics of stochastic processes is studied with a special attention to the semimartingale property. This is mainly motivated by the fact that semimartingales provide the class of the processes for which it is possible to define a reasonable stochastic calculus due...... to the Bichteler-Dellacherie Theorem. The semimartingale property of Gaussian processes is characterized in terms of their covariance function, spectral measure and spectral representation. In addition, representation and expansion of filtration results are provided as well. Special attention is given to moving...... average processes, and when the driving process is a Lévy or a chaos process the semimartingale property is characterized in the filtration spanned by the driving process and in the natural filtration when the latter is a Brownian motion. To obtain some of the above results an integrability of seminorm...

  9. Signal processing for radiation detectors

    CERN Document Server

    Nakhostin, Mohammad

    2018-01-01

    This book provides a clear understanding of the principles of signal processing of radiation detectors. It puts great emphasis on the characteristics of pulses from various types of detectors and offers a full overview on the basic concepts required to understand detector signal processing systems and pulse processing techniques. Signal Processing for Radiation Detectors covers all of the important aspects of signal processing, including energy spectroscopy, timing measurements, position-sensing, pulse-shape discrimination, and radiation intensity measurement. The book encompasses a wide range of applications so that readers from different disciplines can benefit from all of the information. In addition, this resource: * Describes both analog and digital techniques of signal processing * Presents a complete compilation of digital pulse processing algorithms * Extrapolates content from more than 700 references covering classic papers as well as those of today * Demonstrates concepts with more than 340 origin...

  10. Process simulation

    International Nuclear Information System (INIS)

    Cao, E.G.; Suarez, P.S.; Pantaleon, J.C.

    1984-01-01

    The search for an optimal design of a heavy water plant is done by means of a simulation model for the mass and enthalpy balances of the SH 2 -H 2 O exchange process. A symplified model for the simulation diagram where the entire plant is represented by a sole tray tower with recicles, and heat and mass feeds/extractions was used. The tower is simulated by the method developed by Tomich with the convergence part given by the algorithm of Broyden. The concluding part of the work is centered in setting the design parameters (flowrates, heat exchange rates, number of plates) wich give the desired process operating conditions. (author) [es

  11. Implementation of the Business Process Modelling Notation (BPMN) in the modelling of anatomic pathology processes.

    Science.gov (United States)

    Rojo, Marcial García; Rolón, Elvira; Calahorra, Luis; García, Felix Oscar; Sánchez, Rosario Paloma; Ruiz, Francisco; Ballester, Nieves; Armenteros, María; Rodríguez, Teresa; Espartero, Rafael Martín

    2008-07-15

    Process orientation is one of the essential elements of quality management systems, including those in use in healthcare. Business processes in hospitals are very complex and variable. BPMN (Business Process Modelling Notation) is a user-oriented language specifically designed for the modelling of business (organizational) processes. Previous experiences of the use of this notation in the processes modelling within the Pathology in Spain or another country are not known. We present our experience in the elaboration of the conceptual models of Pathology processes, as part of a global programmed surgical patient process, using BPMN. With the objective of analyzing the use of BPMN notation in real cases, a multidisciplinary work group was created, including software engineers from the Dep. of Technologies and Information Systems from the University of Castilla-La Mancha and health professionals and administrative staff from the Hospital General de Ciudad Real. The work in collaboration was carried out in six phases: informative meetings, intensive training, process selection, definition of the work method, process describing by hospital experts, and process modelling. The modelling of the processes of Anatomic Pathology is presented using BPMN. The presented subprocesses are those corresponding to the surgical pathology examination of the samples coming from operating theatre, including the planning and realization of frozen studies. The modelling of Anatomic Pathology subprocesses has allowed the creation of an understandable graphical model, where management and improvements are more easily implemented by health professionals.

  12. Management oriented process

    International Nuclear Information System (INIS)

    2004-01-01

    ANAV decided to implement process-oriented management by adopting the U. S. NEI (Nuclear Electric Industry) model. The article describes the initial phases of the project, its current status and future prospects. The project has been considered as an improvement in the areas of organization and human factors. Recently, IAEA standard drafts are including processes as an accepted management model. (Author)

  13. Food-Processing Wastes.

    Science.gov (United States)

    Frenkel, Val S; Cummings, Gregg A; Maillacheruvu, K Y; Tang, Walter Z

    2017-10-01

    Literature published in 2016 and early 2017 related to food processing wastes treatment for industrial applications are reviewed. This review is a subsection of the Treatment Systems section of the annual Water Environment Federation literature review and covers the following food processing industries and applications: general, meat and poultry, fruits and vegetables, dairy and beverage, and miscellaneous treatment of food wastes.

  14. VLSI signal processing technology

    CERN Document Server

    Swartzlander, Earl

    1994-01-01

    This book is the first in a set of forthcoming books focussed on state-of-the-art development in the VLSI Signal Processing area. It is a response to the tremendous research activities taking place in that field. These activities have been driven by two factors: the dramatic increase in demand for high speed signal processing, especially in consumer elec­ tronics, and the evolving microelectronic technologies. The available technology has always been one of the main factors in determining al­ gorithms, architectures, and design strategies to be followed. With every new technology, signal processing systems go through many changes in concepts, design methods, and implementation. The goal of this book is to introduce the reader to the main features of VLSI Signal Processing and the ongoing developments in this area. The focus of this book is on: • Current developments in Digital Signal Processing (DSP) pro­ cessors and architectures - several examples and case studies of existing DSP chips are discussed in...

  15. Scientific information processing procedures

    Directory of Open Access Journals (Sweden)

    García, Maylin

    2013-07-01

    Full Text Available The paper systematizes several theoretical view-points on scientific information processing skill. It decomposes the processing skills into sub-skills. Several methods such analysis, synthesis, induction, deduction, document analysis were used to build up a theoretical framework. Interviews and survey to professional being trained and a case study was carried out to evaluate the results. All professional in the sample improved their performance in scientific information processing.

  16. Process Intensification for Cellulosic Biorefineries.

    Science.gov (United States)

    Sadula, Sunitha; Athaley, Abhay; Zheng, Weiqing; Ierapetritou, Marianthi; Saha, Basudeb

    2017-06-22

    Utilization of renewable carbon source, especially non-food biomass is critical to address the climate change and future energy challenge. Current chemical and enzymatic processes for producing cellulosic sugars are multistep, and energy- and water-intensive. Techno-economic analysis (TEA) suggests that upstream lignocellulose processing is a major hurdle to the economic viability of the cellulosic biorefineries. Process intensification, which integrates processes and uses less water and energy, has the potential to overcome the aforementioned challenges. Here, we demonstrate a one-pot depolymerization and saccharification process of woody biomass, energy crops, and agricultural residues to produce soluble sugars with high yields. Lignin is separated as a solid for selective upgrading. Further integration of our upstream process with a reactive extraction step makes energy-efficient separation of sugars in the form of furans. TEA reveals that the process efficiency and integration enable, for the first time, economic production of feed streams that could profoundly improve process economics for downstream cellulosic bioproducts. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Computerized simulation of converter process

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, H.; Suomi, M.L.; Wallgren, M. [Helsinki Univ. of Technology, Otaniemi (Finland). Lab. of Metallurgy

    1996-12-31

    Converter process is essentially an oxidising refining process aiming in addition to (1) the primary refining action, decarburisation of high carbon iron melt, also to (2) maximal elimination of impurity elements, especially silicon, phosphorus and sulphur, (3) melting of substantial amounts of scrap using the extra heat released in oxidation reactions and (4) to exact final steel temperature control, optimal for further treatments. `Quantitative modelling of such a complex non-stationary chemical process as oxygen converting necessitates extensive formulation of chemical and thermal evolution of the process in connection with the technological properties of the reactor and the process control measures. A comprehensive converter simulation program like CONSIM-3. 1 and its preceding versions that is based on the theoretical and practical knowledge on the process can be used for (1) educating specialists and smelter personnel, (2) planning of the blowing programs, (3) developing and testing of process control systems and after some elaboration and restructuring (4) it can be integrated to static or dynamic process control systems. (orig.) SULA 2 Research Programme; 10 refs.

  18. Computerized simulation of converter process

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, H; Suomi, M L; Wallgren, M [Helsinki Univ. of Technology, Otaniemi (Finland). Lab. of Metallurgy

    1997-12-31

    Converter process is essentially an oxidising refining process aiming in addition to (1) the primary refining action, decarburisation of high carbon iron melt, also to (2) maximal elimination of impurity elements, especially silicon, phosphorus and sulphur, (3) melting of substantial amounts of scrap using the extra heat released in oxidation reactions and (4) to exact final steel temperature control, optimal for further treatments. `Quantitative modelling of such a complex non-stationary chemical process as oxygen converting necessitates extensive formulation of chemical and thermal evolution of the process in connection with the technological properties of the reactor and the process control measures. A comprehensive converter simulation program like CONSIM-3. 1 and its preceding versions that is based on the theoretical and practical knowledge on the process can be used for (1) educating specialists and smelter personnel, (2) planning of the blowing programs, (3) developing and testing of process control systems and after some elaboration and restructuring (4) it can be integrated to static or dynamic process control systems. (orig.) SULA 2 Research Programme; 10 refs.

  19. Anticipated Activities in Maritime Work, Process Control, and Business Processes

    DEFF Research Database (Denmark)

    Andersen, Peter Bøgh

    2004-01-01

    Most activities are anticipated before they are executed. The paper presents methods for describing this anticipated state and the processes that may lead to a new state where the activities are executed. The method builds on linguistic case-theory.......Most activities are anticipated before they are executed. The paper presents methods for describing this anticipated state and the processes that may lead to a new state where the activities are executed. The method builds on linguistic case-theory....

  20. Algorithms Development in Detection of the Gelatinization Process during Enzymatic ‘Dodol’ Processing

    Directory of Open Access Journals (Sweden)

    Azman Hamzah

    2013-09-01

    Full Text Available Computer vision systems have found wide application in foods processing industry to perform quality evaluation. The systems enable to replace human inspectors for the evaluation of a variety of quality attributes. This paper describes the implementation of the Fast Fourier Transform and Kalman filtering algorithms to detect the glutinous rice flour slurry (GRFS gelatinization in an enzymatic „dodol. processing. The onset of the GRFS gelatinization is critical in determining the quality of an enzymatic „dodol.. Combinations of these two algorithms were able to detect the gelatinization of the GRFS. The result shows that the gelatinization of the GRFS was at the time range of 11.75 minutes to 14.75 minutes for 24 batches of processing. This paper will highlight the capability of computer vision using our proposed algorithms in monitoring and controlling of an enzymatic „dodol. processing via image processing technology.

  1. Algorithms Development in Detection of the Gelatinization Process during Enzymatic ‘Dodol’ Processing

    Directory of Open Access Journals (Sweden)

    Azman Hamzah

    2007-11-01

    Full Text Available Computer vision systems have found wide application in foods processing industry to perform the quality evaluation. The systems enable to replace human inspectors for the evaluation of a variety of quality attributes. This paper describes the implementation of the Fast Fourier Transform and Kalman filtering algorithms to detect the glutinous rice flour slurry (GRFS gelatinization in an enzymatic ‘dodol’ processing. The onset of the GRFS gelatinization is critical in determining the quality of an enzymatic ‘dodol’. Combinations of these two algorithms were able to detect the gelatinization of the GRFS. The result shows that the gelatinization of the GRFS was at the time range of 11.75 minutes to 15.33 minutes for 20 batches of processing. This paper will highlight the capability of computer vision using our proposed algorithms in monitoring and controlling of an enzymatic ‘dodol’ processing via image processing technology.

  2. Supporting Cross-Organizational Process Control

    Science.gov (United States)

    Angelov, Samuil; Vonk, Jochem; Vidyasankar, Krishnamurthy; Grefen, Paul

    E-contracts express the rights and obligations of parties through a formal, digital representation of the contract provisions. In process intensive relationships, e-contracts contain business processes that a party promises to perform for the counter party, optionally allowing monitoring of the execution of the promised processes. In this paper, we describe an approach in which the counter party is allowed to control the process execution. This approach will lead to more flexible and efficient business relations which are essential in the context of modern, highly dynamic and complex collaborations among companies. We present a specification of the process controls available to the consumer and their support in the private process specification of the provider.

  3. Process for recovery of uranium from wet process phosphoric acid

    International Nuclear Information System (INIS)

    Wiewiorowski, T.K.; Thornsberry, W.L. Jr.

    1978-01-01

    Process is claimed for the recovery of uranium from wet process phosphoric acid solution in which an organic extractant, containing uranium values and dissolved iron impurities and comprising a dialkylphosphoric acid and a trialkylphosphine oxide dissolved in a water immiscible organic solvent, is contacted with a substantially iron-free dilute aqueous phosphoric acid to remove said iron impurities. The removed impurities are bled from the system by feeding the resulting iron-loaded phosphoric acid to a secondary countercurrent uranium extraction operation from which they leave as part of the uranium-depleted acid raffinate. Also, process for recovering uranium in which the extractant, after it has been stripped of uranium values by aqueous ammonium carbonate, is contacted with a dilute aqueous acid selected from the group consisting of H 2 SO 4 , HCl, HNO 3 and iron-free H 3 PO 4 to improve the extraction efficiency of the organic extractant

  4. Properties of spatial Cox process models

    DEFF Research Database (Denmark)

    Møller, Jesper

    Probabilistic properties of Cox processes of relevance for statistical modelling and inference are studied. Particularly, we study the most important classes of Cox processes, including log Gaussian Cox processes, shot noise Cox processes, and permanent Cox processes. We consider moment properties...... and point process operations such as thinning, displacements, and superpositioning. We also discuss how to simulate specific Cox processes....

  5. The MinAdept Clustering Approach for Discovering Reference Process Models out of Process Variants

    NARCIS (Netherlands)

    Li, C.; Reichert, M.U.; Wombacher, Andreas

    2010-01-01

    During the last years a new generation of adaptive Process-Aware Information Systems (PAIS) has emerged, which enables dynamic process changes at runtime, while preserving PAIS robustness and consistency. Such adaptive PAIS allow authorized users to add new process activities, to delete existing

  6. Advisory processes and their descriptive data

    Directory of Open Access Journals (Sweden)

    Milan Mišovič

    2005-01-01

    Full Text Available Processes are regarded as a representative of all firm activities what is valid for Web-based Advisory Systems, too. Interpretation of processes from the both sides managers and informaticians is naturally different what is given by their scientific platforms and observed objectives. Managers have connected all firm processes with the firm prosperity and firm competition ability. Therefore they have followed understanding, modeling and regular improving of all processes what should stimulate and evoke using of process revisions (reengineering. The main role in such process understanding is thus committed to the firm management.The most professional computer process implementations are dominant objectives of Informaticians. In this conception all processes have been understood as real sequences of partial transactions (elementary firm activities and data processed by them regardless of using of a structural or object process approach modeling. The process and transaction models, submitted by informaticians, are connected with process content orientation. This content has to be programmed. The firm management represents the main resource of the process knowledge used by informaticians.In addition to these two process conceptions there is a different approach based on a process description by a descriptive data. The descriptive data are not oriented to a process content but to its theoretical conception and real implementation. The descriptive data processing inside special algebra operations can bring a lot of very important and easily economically interpreted results.

  7. Ultrasound in chemical processes

    International Nuclear Information System (INIS)

    Baig, S.; Farooq, R.; Malik, A.H.

    2009-01-01

    The use of ultrasound to promote chemical reactions or sono chemistry is a field of chemistry which involves the process of acoustic cavitations i.e. the collapse of microscopic bubbles in liquid. There are two essential components for the application of sono chemistry, a liquid medium and a source of high-energy vibrations. The liquid medium is necessary because sono chemistry is driven by acoustic cavitations that can only occur in liquids. The source of the vibrational energy is the transducer. The chemical effects of ultrasound include the enhancement of reaction rates at ambient temperatures and striking advancements in stoichiometric and catalytic reactions In some cases, ultrasonic irradiation can increase reactivities by nearly million fold. The ultrasound has large number of applications not only in emending old chemical processes but also in developing new synthetic strategies. Ultrasound enhances all chemical and physical processes e.g., crystallization, vitamin synthesis, preparation of catalysts, dissolution of chemicals, organometallic reactions, electrochemical processes, etc. High-power ultrasonics is a new powerful technology that is not only safe and environmentally friendly in its application but is also efficient and economical. It can be applied to existing processes to eliminate the need for chemicals and/or heat application in a variety of industrial processes. (author)

  8. Machine intelligence and signal processing

    CERN Document Server

    Vatsa, Mayank; Majumdar, Angshul; Kumar, Ajay

    2016-01-01

    This book comprises chapters on key problems in machine learning and signal processing arenas. The contents of the book are a result of a 2014 Workshop on Machine Intelligence and Signal Processing held at the Indraprastha Institute of Information Technology. Traditionally, signal processing and machine learning were considered to be separate areas of research. However in recent times the two communities are getting closer. In a very abstract fashion, signal processing is the study of operator design. The contributions of signal processing had been to device operators for restoration, compression, etc. Applied Mathematicians were more interested in operator analysis. Nowadays signal processing research is gravitating towards operator learning – instead of designing operators based on heuristics (for example wavelets), the trend is to learn these operators (for example dictionary learning). And thus, the gap between signal processing and machine learning is fast converging. The 2014 Workshop on Machine Intel...

  9. Comparison of biohydrogen production processes

    International Nuclear Information System (INIS)

    Manish, S.; Banerjee, Rangan

    2008-01-01

    For hydrogen to be a viable energy carrier, it is important to develop hydrogen generation routes that are renewable like biohydrogen. Hydrogen can be produced biologically by biophotolysis (direct and indirect), photo-fermentation and dark-fermentation or by combination of these processes (such as integration of dark- and photo-fermentation (two-stage process), or biocatalyzed electrolysis, etc.). However, production of hydrogen by these methods at commercial level is not reported in the literature and challenges regarding the process scale up remain. In this scenario net energy analysis (NEA) can provide a tool for establishing the viability of different methods before scaling up. The analysis can also be used to set targets for various process and design parameters for bio-hydrogen production. In this paper, four biohydrogen production processes (dark-fermentation, photo-fermentation, two-stage process and biocatalyzed electrolysis) utilizing sugarcane juice as the carbon source, are compared with base case method steam methane reforming (SMR) on the basis of net energy ratio, energy efficiency and greenhouse gas (GHG) emissions. It was found that when by-products are not considered, the efficiencies of biological hydrogen processes are lower than that of SMR. However, these processes reduce GHG emissions and non-renewable energy use by 57-73% and 65-79%, respectively, as compared to the SMR process. Efficiencies of biohydrogen processes increase significantly when by-products are considered hence by-products removal and utilization is an important issue in biological hydrogen production. (author)

  10. Process Segmentation Typology in Czech Companies

    Directory of Open Access Journals (Sweden)

    Tucek David

    2016-03-01

    Full Text Available This article describes process segmentation typology during business process management implementation in Czech companies. Process typology is important for a manager’s overview of process orientation as well as for a manager’s general understanding of business process management. This article provides insight into a process-oriented organizational structure. The first part analyzes process segmentation typology itself as well as some original results of quantitative research evaluating process segmentation typology in the specific context of Czech company strategies. Widespread data collection was carried out in 2006 and 2013. The analysis of this data showed that managers have more options regarding process segmentation and its selection. In terms of practicality and ease of use, the most frequently used method of process segmentation (managerial, main, and supportive stems directly from the requirements of ISO 9001. Because of ISO 9001:2015, managers must now apply risk planning in relation to the selection of processes that are subjected to process management activities. It is for this fundamental reason that this article focuses on process segmentation typology.

  11. Properties of spatial Cox process models

    DEFF Research Database (Denmark)

    Møller, Jesper

    2005-01-01

    Particularly, we study the most important classes of Cox processes, including log Gaussian Cox processes, shot noise Cox processes, and permanent Cox processes. We consider moment properties and point process operations such as thinning, displacements, and super positioning. We also discuss how...... to simulate specific Cox processes....

  12. Realtime Color Stereovision Processing

    National Research Council Canada - National Science Library

    Formwalt, Bryon

    2000-01-01

    .... This research takes a step forward in real time machine vision processing. It investigates techniques for implementing a real time stereovision processing system using two miniature color cameras...

  13. Process attributes in bio-ontologies

    Directory of Open Access Journals (Sweden)

    Andrade André Q

    2012-08-01

    Full Text Available Abstract Background Biomedical processes can provide essential information about the (mal- functioning of an organism and are thus frequently represented in biomedical terminologies and ontologies, including the GO Biological Process branch. These processes often need to be described and categorised in terms of their attributes, such as rates or regularities. The adequate representation of such process attributes has been a contentious issue in bio-ontologies recently; and domain ontologies have correspondingly developed ad hoc workarounds that compromise interoperability and logical consistency. Results We present a design pattern for the representation of process attributes that is compatible with upper ontology frameworks such as BFO and BioTop. Our solution rests on two key tenets: firstly, that many of the sorts of process attributes which are biomedically interesting can be characterised by the ways that repeated parts of such processes constitute, in combination, an overall process; secondly, that entities for which a full logical definition can be assigned do not need to be treated as primitive within a formal ontology framework. We apply this approach to the challenge of modelling and automatically classifying examples of normal and abnormal rates and patterns of heart beating processes, and discuss the expressivity required in the underlying ontology representation language. We provide full definitions for process attributes at increasing levels of domain complexity. Conclusions We show that a logical definition of process attributes is feasible, though limited by the expressivity of DL languages so that the creation of primitives is still necessary. This finding may endorse current formal upper-ontology frameworks as a way of ensuring consistency, interoperability and clarity.

  14. Bayesian inference for Hawkes processes

    DEFF Research Database (Denmark)

    Rasmussen, Jakob Gulddahl

    The Hawkes process is a practically and theoretically important class of point processes, but parameter-estimation for such a process can pose various problems. In this paper we explore and compare two approaches to Bayesian inference. The first approach is based on the so-called conditional...... intensity function, while the second approach is based on an underlying clustering and branching structure in the Hawkes process. For practical use, MCMC (Markov chain Monte Carlo) methods are employed. The two approaches are compared numerically using three examples of the Hawkes process....

  15. Bayesian inference for Hawkes processes

    DEFF Research Database (Denmark)

    Rasmussen, Jakob Gulddahl

    2013-01-01

    The Hawkes process is a practically and theoretically important class of point processes, but parameter-estimation for such a process can pose various problems. In this paper we explore and compare two approaches to Bayesian inference. The first approach is based on the so-called conditional...... intensity function, while the second approach is based on an underlying clustering and branching structure in the Hawkes process. For practical use, MCMC (Markov chain Monte Carlo) methods are employed. The two approaches are compared numerically using three examples of the Hawkes process....

  16. Deference and Due Process

    OpenAIRE

    Vermeule, Cornelius Adrian

    2015-01-01

    In the textbooks, procedural due process is a strictly judicial enterprise; although substantive entitlements are created by legislative and executive action, it is for courts to decide independently what process the Constitution requires. The notion that procedural due process might be committed primarily to the discretion of the agencies themselves is almost entirely absent from the academic literature. The facts on the ground are very different. Thanks to converging strands of caselaw ...

  17. TEP process flow diagram

    Energy Technology Data Exchange (ETDEWEB)

    Wilms, R Scott [Los Alamos National Laboratory; Carlson, Bryan [Los Alamos National Laboratory; Coons, James [Los Alamos National Laboratory; Kubic, William [Los Alamos National Laboratory

    2008-01-01

    This presentation describes the development of the proposed Process Flow Diagram (PFD) for the Tokamak Exhaust Processing System (TEP) of ITER. A brief review of design efforts leading up to the PFD is followed by a description of the hydrogen-like, air-like, and waterlike processes. Two new design values are described; the mostcommon and most-demanding design values. The proposed PFD is shown to meet specifications under the most-common and mostdemanding design values.

  18. Modeling biochemical transformation processes and information processing with Narrator

    Directory of Open Access Journals (Sweden)

    Palfreyman Niall M

    2007-03-01

    Full Text Available Abstract Background Software tools that model and simulate the dynamics of biological processes and systems are becoming increasingly important. Some of these tools offer sophisticated graphical user interfaces (GUIs, which greatly enhance their acceptance by users. Such GUIs are based on symbolic or graphical notations used to describe, interact and communicate the developed models. Typically, these graphical notations are geared towards conventional biochemical pathway diagrams. They permit the user to represent the transport and transformation of chemical species and to define inhibitory and stimulatory dependencies. A critical weakness of existing tools is their lack of supporting an integrative representation of transport, transformation as well as biological information processing. Results Narrator is a software tool facilitating the development and simulation of biological systems as Co-dependence models. The Co-dependence Methodology complements the representation of species transport and transformation together with an explicit mechanism to express biological information processing. Thus, Co-dependence models explicitly capture, for instance, signal processing structures and the influence of exogenous factors or events affecting certain parts of a biological system or process. This combined set of features provides the system biologist with a powerful tool to describe and explore the dynamics of life phenomena. Narrator's GUI is based on an expressive graphical notation which forms an integral part of the Co-dependence Methodology. Behind the user-friendly GUI, Narrator hides a flexible feature which makes it relatively easy to map models defined via the graphical notation to mathematical formalisms and languages such as ordinary differential equations, the Systems Biology Markup Language or Gillespie's direct method. This powerful feature facilitates reuse, interoperability and conceptual model development. Conclusion Narrator is a

  19. Radiation processing of polysaccharides

    International Nuclear Information System (INIS)

    2004-11-01

    Radiation processing is a very convenient tool for imparting desirable effects in polymeric materials and it has been an area of enormous interest in the last few decades. The success of radiation technology for processing of synthetic polymers can be attributed to two reasons namely, their ease of processing in various shapes and sizes, and secondly, most of these polymers undergo crosslinking reaction upon exposure to radiation. In recent years, natural polymers are being looked at with renewed interest because of their unique characteristics, such as inherent biocompatibility, biodegradability and easy availability. Traditionally, the commercial exploitation of natural polymers like carrageenans, alginates or starch etc. has been based, to a large extent, on empirical knowledge. But now, the applications of natural polymers are being sought in knowledge - demanding areas such as pharmacy and biotechnology, which is acting as a locomotive for further scientific research in their structure-function relationship. Selected success stories concerning radiation processed natural polymers and application of their derivatives in the health care products industries and agriculture are reported. This publication will be of interest to individuals at nuclear institutions worldwide that have programmes of R and D and applications in radiation processing technologies. New developments in radiation processing of polymers and other natural raw materials give insight into converting them into useful products for every day life, human health and environmental remediation. The book will also be of interest to other field specialists, readers including managers and decision makers in industry (health care, food and agriculture) helping them to understand the important role of radiation processing technology in polysaccharides

  20. Hydrogen recovery process

    Science.gov (United States)

    Baker, Richard W.; Lokhandwala, Kaaeid A.; He, Zhenjie; Pinnau, Ingo

    2000-01-01

    A treatment process for a hydrogen-containing off-gas stream from a refinery, petrochemical plant or the like. The process includes three separation steps: condensation, membrane separation and hydrocarbon fraction separation. The membrane separation step is characterized in that it is carried out under conditions at which the membrane exhibits a selectivity in favor of methane over hydrogen of at least about 2.5.

  1. Electron-attachment processes

    International Nuclear Information System (INIS)

    Christophorou, L.G.; McCorkle, D.L.; Christodoulides, A.A.

    1982-01-01

    Topics covered include: (1) modes of production of negative ions, (2) techniques for the study of electron attachment processes, (3) dissociative electron attachment to ground-state molecules, (4) dissociative electron attachment to hot molecules (effects of temperature on dissociative electron attachment), (5) molecular parent negative ions, and (6) negative ions formed by ion-pair processes and by collisions of molecules with ground state and Rydberg atoms

  2. Process management practice

    International Nuclear Information System (INIS)

    Pyeon, In Beom

    1983-04-01

    This book gives descriptions of qualifying subject and test scope like production plan and control, economic feasibility, process management, quality management and operations research, industrial economics like materials and marketing management, production management such as meaning and goals of process management and production plan and control, basic economic concept, official interest and equivalence, and depreciation, and OR concept such as network analysis and PERT CPM and stimulation.

  3. Quality-by-Design (QbD): An integrated process analytical technology (PAT) approach for a dynamic pharmaceutical co-precipitation process characterization and process design space development.

    Science.gov (United States)

    Wu, Huiquan; White, Maury; Khan, Mansoor A

    2011-02-28

    The aim of this work was to develop an integrated process analytical technology (PAT) approach for a dynamic pharmaceutical co-precipitation process characterization and design space development. A dynamic co-precipitation process by gradually introducing water to the ternary system of naproxen-Eudragit L100-alcohol was monitored at real-time in situ via Lasentec FBRM and PVM. 3D map of count-time-chord length revealed three distinguishable process stages: incubation, transition, and steady-state. The effects of high risk process variables (slurry temperature, stirring rate, and water addition rate) on both derived co-precipitation process rates and final chord-length-distribution were evaluated systematically using a 3(3) full factorial design. Critical process variables were identified via ANOVA for both transition and steady state. General linear models (GLM) were then used for parameter estimation for each critical variable. Clear trends about effects of each critical variable during transition and steady state were found by GLM and were interpreted using fundamental process principles and Nyvlt's transfer model. Neural network models were able to link process variables with response variables at transition and steady state with R(2) of 0.88-0.98. PVM images evidenced nucleation and crystal growth. Contour plots illustrated design space via critical process variables' ranges. It demonstrated the utility of integrated PAT approach for QbD development. Published by Elsevier B.V.

  4. Surface photo reaction processes using synchrotron radiation; Hoshako reiki ni yoru hyomenko hanno process

    Energy Technology Data Exchange (ETDEWEB)

    Imaizumi, Y. [Tohoku University, Sendai (Japan). Institute for Materials Research; Yoshigoe, A. [Toyohashi University of Technology, Aichi (Japan); Urisu, T. [Toyohashi University of Technology, Aichi (Japan). Institute for Molecular Science

    1997-08-20

    This paper introduces the surface photo reaction processes using synchrotron radiation, and its application. A synchrotron radiation process using soft X-rays contained in electron synchrotron radiated light as an excited light source has a possibility of high-resolution processing because of its short wave length. The radiated light can excite efficiently the electronic state of a substance, and can induce a variety of photochemical reactions. In addition, it can excite inner shell electrons efficiently. In the aspect of its application, it has been found that, if radiated light is irradiated on surfaces of solids under fluorine-based reaction gas or Cl2, the surfaces can be etched. This technology is utilized practically. With regard to radiated light excited CVD process, it may be said that anything that can be deposited by the ordinary plasma CVD process can be deposited. Its application to epitaxial crystal growth may be said a nano processing application in thickness direction, such as forming an ultra-lattice structure, the application being subjected to expectation. In micromachine fabricating technologies, a possibility is searched on application of a photo reaction process of the radiated light. 5 refs., 6 figs.

  5. Global processing takes time: A meta-analysis on local-global visual processing in ASD.

    Science.gov (United States)

    Van der Hallen, Ruth; Evers, Kris; Brewaeys, Katrien; Van den Noortgate, Wim; Wagemans, Johan

    2015-05-01

    What does an individual with autism spectrum disorder (ASD) perceive first: the forest or the trees? In spite of 30 years of research and influential theories like the weak central coherence (WCC) theory and the enhanced perceptual functioning (EPF) account, the interplay of local and global visual processing in ASD remains only partly understood. Research findings vary in indicating a local processing bias or a global processing deficit, and often contradict each other. We have applied a formal meta-analytic approach and combined 56 articles that tested about 1,000 ASD participants and used a wide range of stimuli and tasks to investigate local and global visual processing in ASD. Overall, results show no enhanced local visual processing nor a deficit in global visual processing. Detailed analysis reveals a difference in the temporal pattern of the local-global balance, that is, slow global processing in individuals with ASD. Whereas task-dependent interaction effects are obtained, gender, age, and IQ of either participant groups seem to have no direct influence on performance. Based on the overview of the literature, suggestions are made for future research. (c) 2015 APA, all rights reserved).

  6. Chemical radwaste solidification processes

    International Nuclear Information System (INIS)

    Malloy, C.W.

    1979-01-01

    Some of these processes and their problems are briefly reviewed: early cement systems; urea-formaldehyde; Dow solidification process; low-viscosity chemical agents (POLYPAC); and water-extensible polyester. 9 refs

  7. modeling grinding modeling grinding processes as micro processes

    African Journals Online (AJOL)

    eobe

    industrial precision grinding processes are cylindrical, center less and ... Several model shave been proposed and used to study grinding ..... grinding force for the two cases were 9.07237N/mm ..... International Journal of Machine Tools &.

  8. From Process to Product: Your Risk Process at Work

    Science.gov (United States)

    Kundrot, Craig E.; Fogarty, Jenifer; Charles, John; Buquo, Lynn; Sibonga, Jean; Alexander, David; Horn, Wayne G.; Edwards, J. Michelle

    2010-01-01

    The Space Life Sciences Directorate (SLSD) and Human Research Program (HRP) at the NASA/Johnson Space Center work together to address and manage the human health and performance risks associated with human space flight. This includes all human system requirements before, during, and after space flight, providing for research, and managing the risk of adverse long-term health outcomes for the crew. We previously described the framework and processes developed for identifying and managing these human system risks. The focus of this panel is to demonstrate how the implementation of the framework and associated processes has provided guidance in the management and communication of human system risks. The risks of early onset osteoporosis, CO2 exposure, and intracranial hypertension in particular have all benefitted from the processes developed for human system risk management. Moreover, we are continuing to develop capabilities, particularly in the area of information architecture, which will also be described. We are working to create a system whereby all risks and associated actions can be tracked and related to one another electronically. Such a system will enhance the management and communication capabilities for the human system risks, thereby increasing the benefit to researchers and flight surgeons.

  9. The newest digital signal processing

    International Nuclear Information System (INIS)

    Lee, Chae Uk

    2002-08-01

    This book deal with the newest digital signal processing, which contains introduction on conception of digital signal processing, constitution and purpose, signal and system such as signal, continuos signal, discrete signal and discrete system, I/O expression on impress response, convolution, mutual connection of system and frequency character,z transform of definition, range, application of z transform and relationship with laplace transform, Discrete fourier, Fast fourier transform on IDFT algorithm and FFT application, foundation of digital filter of notion, expression, types, frequency characteristic of digital filter and design order of filter, Design order of filter, Design of FIR digital filter, Design of IIR digital filter, Adaptive signal processing, Audio signal processing, video signal processing and application of digital signal processing.

  10. SRL process hazards review manual

    International Nuclear Information System (INIS)

    1980-08-01

    The principal objective of the Process Hazards Management Program is to provide a regular, systematic review of each process at the Savannah River Laboratory (SRL) to eliminate injuries and to minimize property damage resulting from process hazards of catastrophic potential. Management effort is directed, through the Du Pont Safety Program, toward those controls and practices that ensure this objective. The Process Hazards Management Program provides an additional dimension to further ensure the health and safety of employees and the public. Du Pont has concluded that an organized approach is essential to obtain an effective and efficient process hazards review. The intent of this manual is to provide guidance in creating such an organized approach to performing process hazards reviews on a continuing basis

  11. Social network supported process recommender system.

    Science.gov (United States)

    Ye, Yanming; Yin, Jianwei; Xu, Yueshen

    2014-01-01

    Process recommendation technologies have gained more and more attention in the field of intelligent business process modeling to assist the process modeling. However, most of the existing technologies only use the process structure analysis and do not take the social features of processes into account, while the process modeling is complex and comprehensive in most situations. This paper studies the feasibility of social network research technologies on process recommendation and builds a social network system of processes based on the features similarities. Then, three process matching degree measurements are presented and the system implementation is discussed subsequently. Finally, experimental evaluations and future works are introduced.

  12. Social Network Supported Process Recommender System

    Directory of Open Access Journals (Sweden)

    Yanming Ye

    2014-01-01

    Full Text Available Process recommendation technologies have gained more and more attention in the field of intelligent business process modeling to assist the process modeling. However, most of the existing technologies only use the process structure analysis and do not take the social features of processes into account, while the process modeling is complex and comprehensive in most situations. This paper studies the feasibility of social network research technologies on process recommendation and builds a social network system of processes based on the features similarities. Then, three process matching degree measurements are presented and the system implementation is discussed subsequently. Finally, experimental evaluations and future works are introduced.

  13. The Defense Waste Processing Facility: an innovative process for high-level waste immobilization

    International Nuclear Information System (INIS)

    Cowan, S.P.

    1985-01-01

    The Defense Waste Processing Facility (DWPF), under construction at the Department of Energy's Savannah River Plant (SRP), will process defense high-level radioactive waste so that it can be disposed of safely. The DWPF will immobilize the high activity fraction of the waste in borosilicate glass cast in stainless steel canisters which can be handled, stored, transported and disposed of in a geologic repository. The low-activity fraction of the waste, which represents about 90% of the high-level waste HLW volume, will be decontaminated and disposed of on the SRP site. After decontamination the canister will be welded shut by an upset resistance welding technique. In this process a slightly oversized plug is pressed into the canister opening. At the same time a large current is passed through the canister and plug. The higher resistance of the canister/plug interface causes the heat which welds the plug in place. This process provides a high quality, reliable weld by a process easily operated remotely

  14. Process Evaluation of a Workers' Health Surveillance Program for Meat Processing Workers

    NARCIS (Netherlands)

    van Holland, Berry J; Brouwer, Sandra; de Boer, Michiel R; Reneman, Michiel F; Soer, Remko

    2017-01-01

    Objective To evaluate the implementation process of a workers' health surveillance (WHS) program in a Dutch meat processing company. Methods Workers from five plants were eligible to participate in the WHS program. The program consisted of four evaluative components and an intervention component.

  15. Process Evaluation of a Workers' Health Surveillance Program for Meat Processing Workers

    NARCIS (Netherlands)

    van Holland, Berry; Brouwer, Sandra; de Boer, Michiel R; Reneman, Michiel F; Soer, Remko

    Objective To evaluate the implementation process of a workers' health surveillance (WHS) program in a Dutch meat processing company. Methods Workers from five plants were eligible to participate in the WHS program. The program consisted of four evaluative components and an intervention component.

  16. Processors and systems (picture processing)

    Energy Technology Data Exchange (ETDEWEB)

    Gemmar, P

    1983-01-01

    Automatic picture processing requires high performance computers and high transmission capacities in the processor units. The author examines the possibilities of operating processors in parallel in order to accelerate the processing of pictures. He therefore discusses a number of available processors and systems for picture processing and illustrates their capacities for special types of picture processing. He stresses the fact that the amount of storage required for picture processing is exceptionally high. The author concludes that it is as yet difficult to decide whether very large groups of simple processors or highly complex multiprocessor systems will provide the best solution. Both methods will be aided by the development of VLSI. New solutions have already been offered (systolic arrays and 3-d processing structures) but they also are subject to losses caused by inherently parallel algorithms. Greater efforts must be made to produce suitable software for multiprocessor systems. Some possibilities for future picture processing systems are discussed. 33 references.

  17. On a Fractional Binomial Process

    Science.gov (United States)

    Cahoy, Dexter O.; Polito, Federico

    2012-02-01

    The classical binomial process has been studied by Jakeman (J. Phys. A 23:2815-2825, 1990) (and the references therein) and has been used to characterize a series of radiation states in quantum optics. In particular, he studied a classical birth-death process where the chance of birth is proportional to the difference between a larger fixed number and the number of individuals present. It is shown that at large times, an equilibrium is reached which follows a binomial process. In this paper, the classical binomial process is generalized using the techniques of fractional calculus and is called the fractional binomial process. The fractional binomial process is shown to preserve the binomial limit at large times while expanding the class of models that include non-binomial fluctuations (non-Markovian) at regular and small times. As a direct consequence, the generality of the fractional binomial model makes the proposed model more desirable than its classical counterpart in describing real physical processes. More statistical properties are also derived.

  18. Product/Process (P/P) Models For The Defense Waste Processing Facility (DWPF): Model Ranges And Validation Ranges For Future Processing

    Energy Technology Data Exchange (ETDEWEB)

    Jantzen, C. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Edwards, T. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL)

    2015-09-25

    Radioactive high level waste (HLW) at the Savannah River Site (SRS) has successfully been vitrified into borosilicate glass in the Defense Waste Processing Facility (DWPF) since 1996. Vitrification requires stringent product/process (P/P) constraints since the glass cannot be reworked once it is poured into ten foot tall by two foot diameter canisters. A unique “feed forward” statistical process control (SPC) was developed for this control rather than statistical quality control (SQC). In SPC, the feed composition to the DWPF melter is controlled prior to vitrification. In SQC, the glass product would be sampled after it is vitrified. Individual glass property-composition models form the basis for the “feed forward” SPC. The models transform constraints on the melt and glass properties into constraints on the feed composition going to the melter in order to guarantee, at the 95% confidence level, that the feed will be processable and that the durability of the resulting waste form will be acceptable to a geologic repository.

  19. Process Grammar and Process History for 2D Objects

    DEFF Research Database (Denmark)

    Larsen, Thomas W.

    ]. The article describes how it is possible to derive the process history for an object from its state at two stages in its development. The aim in this priject is to describe and test an algorithm for deriving the process history of an object form it state at two different stages. First I give a short summary...... and explaining them. As this is a course project some parts and peripheral topics will be teated superficially. Some will be described, som named and some omitted. A few concepts that are directly connected to the problems or their solutions will be treated. The implementation and testing of the methods...

  20. Group Decision Process Support

    DEFF Research Database (Denmark)

    Gøtze, John; Hijikata, Masao

    1997-01-01

    Introducing the notion of Group Decision Process Support Systems (GDPSS) to traditional decision-support theorists.......Introducing the notion of Group Decision Process Support Systems (GDPSS) to traditional decision-support theorists....