WorldWideScience

Sample records for cvd diamond films

  1. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  2. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  3. Correlation of CVD Diamond Electron Emission with Film Properties

    Science.gov (United States)

    Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.

    1996-03-01

    Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.

  4. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  5. TSC response of irradiated CVD diamond films

    CERN Document Server

    Borchi, E; Bucciolini, M; Guasti, A; Mazzocchi, S; Pirollo, S; Sciortino, S

    1999-01-01

    CVD diamond films have been irradiated with electrons, sup 6 sup 0 Co photons and protons in order to study the dose response to exposure to different particles and energies and to investigate linearity with dose. The Thermally Stimulated Current (TSC) has been studied as a function of the dose delivered to polymethilmetacrilate (PMMA) in the range from 1 to 12 Gy with 20 MeV electrons from a linear accelerator. The TSC spectrum has revealed the presence of two components with peak temperatures of about 470 and 520 K, corresponding to levels lying in the diamond band gap with activation energies of the order of 0.7 - 1 eV. After the subtraction of the exponential background the charge emitted during the heating scan has been evaluated and has been found to depend linearly on the dose. The thermally emitted charge of the CVD diamond films has also been studied using different particles. The samples have been irradiated with the same PMMA dose of about 2 Gy with 6 and 20 MeV electrons from a Linac, sup 6 sup 0 ...

  6. CVD of alternated microcrystalline (MCD) and nanocrystalline (NCD) diamond films on WC-TIC-CO substrates

    International Nuclear Information System (INIS)

    Campos, Raonei Alves; Contin, Andre; Trava-Airoldi, Vladimir J.; Corat, Evaldo Jose; Barquete, Danilo Maciel

    2010-01-01

    CVD Diamond coating of WC-TiC-Co cutting tools has been an alternative to increase tool lifetime. Experiments have shown that residual stresses produced during films growth on WC-TiC-Co substrates significantly increases with increasing film thickness up to 20 μm and usually leads to film delamination. In this work alternated micro- and nanocrystalline CVD diamond films have been used to relax interface stresses and to increase diamond coatings performance. WC-TiC-Co substrates have been submitted to a boronizing thermal diffusion treatment prior to CVD diamond films growth. After reactive heat treatment samples were submitted to chemical etching in acid and alkaline solution. The diamond films deposition was performed using HFCVD reactor with different gas concentrations for microcrystalline (MCD) and nano-crystalline (NCD) films growth. As a result, we present the improvement of diamond films adherence on WC-TiC-Co, evaluated by indentation and machining tests. Samples were characterized by Scanning Electron Microscopy (SEM) and Energy Dispersive X-ray (EDX) for qualitative analysis of diamond films. X-ray Diffraction (XRD) was used for phases identification after boronizing process. Diamond film compressive residual stresses were analyzed by Raman Scattering Spectroscopy (RSS). (author)

  7. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  8. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  9. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  10. Application of CVD diamond film for radiation detection

    International Nuclear Information System (INIS)

    Zhou Haiyang; Zhu Xiaodong; Zhan Rujuan

    2005-01-01

    With the development of diamond synthesis at low pressure, the CVD diamond properties including electronic characteristics have improved continuously. Now the fabrication of electronic devices based on the CVD diamond has been one of hot research subjects in this field. Due to many unique advantages, such as high signal-noise ratio, fast time response, and normal output in extremely harsh surrounding, the CVD diamond radiation detector has attracted more and more interest. In this paper, we have reviewed the development and status of the CVD diamond radiation detector. The prospect of this detector is described. (authors)

  11. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  12. Hydrogen termination of CVD diamond films by high-temperature annealing at atmospheric pressure

    NARCIS (Netherlands)

    Seshan, V.; Ullien, D.; Castellanos-Gomez, A.; Sachdeva, S.; Murthy, D.H.K.; Savenije, T.J.; Ahmad, H.A.; Nunney, T.S.; Janssens, S.D.; Haenen, K.; Nesládek, M.; Van der Zant, H.S.J.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2013-01-01

    A high-temperature procedure to hydrogenate diamond films using molecular hydrogen at atmospheric pressure was explored. Undoped and doped chemical vapour deposited (CVD) polycrystalline diamond films were treated according to our annealing method using a H2 gas flow down to ?50 ml/min (STP) at

  13. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  14. Investigation of laser ablation of CVD diamond film

    Science.gov (United States)

    Chao, Choung-Lii; Chou, W. C.; Ma, Kung-Jen; Chen, Ta-Tung; Liu, Y. M.; Kuo, Y. S.; Chen, Ying-Tung

    2005-04-01

    Diamond, having many advanced physical and mechanical properties, is one of the most important materials used in the mechanical, telecommunication and optoelectronic industry. However, high hardness value and extreme brittleness have made diamond extremely difficult to be machined by conventional mechanical grinding and polishing. In the present study, the microwave CVD method was employed to produce epitaxial diamond films on silicon single crystal. Laser ablation experiments were then conducted on the obtained diamond films. The underlying material removal mechanisms, microstructure of the machined surface and related machining conditions were also investigated. It was found that during the laser ablation, peaks of the diamond grains were removed mainly by the photo-thermal effects introduced by excimer laser. The diamond structures of the protruded diamond grains were transformed by the laser photonic energy into graphite, amorphous diamond and amorphous carbon which were removed by the subsequent laser shots. As the protruding peaks gradually removed from the surface the removal rate decreased. Surface roughness (Ra) was improved from above 1μm to around 0.1μm in few minutes time in this study. However, a scanning technique would be required if a large area was to be polished by laser and, as a consequence, it could be very time consuming.

  15. OSL and TL dosimeter characterization of boron doped CVD diamond films

    Science.gov (United States)

    Gonçalves, J. A. N.; Sandonato, G. M.; Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; De la Rosa, E.; Rodríguez, R. A.; Salas, P.; Barboza-Flores, M.

    2005-04-01

    Natural diamond is an exceptional prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality CVD diamond has renewed the interest in using diamond films as radiation dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by the HFCVD method. The thermoluminescence and the optically stimulated luminescence of beta exposed diamond sample containing a B/C 4000 ppm doping presents excellent properties suitable for dosimetric applications with β-ray doses up to 3.0 kGy. The observed OSL and TL performance is reasonable appropriate to justify further investigation of diamond films as dosimeters for ionizing radiation, specially in the radiotherapy field where very well localized and in vivo and real time radiation dose applications are essential.

  16. Experimental studies of N~+ implantation into CVD diamond thin films

    Institute of Scientific and Technical Information of China (English)

    辛火平; 林成鲁; 王建新; 邹世昌; 石晓红; 林梓鑫; 周祖尧; 刘祖刚

    1997-01-01

    The effects of N+ implantation under various conditions on CVD diamond films were analyzed with Raman spectroscopy, four-point probe method, X-ray diffraction (XRD), Rutherford backseattering spectroscopy (RBS), ultraviolet photoluminescence spectroscopy (UV-PL), Fourier transformation infrared absorption spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS). The results show that the N+ implantation doping without any graphitization has been successfully realized when 100 keV N+ ions at a dosage of 2 × 1016 cm-2 were implanted into diamond films at 550℃ . UV-PL spectra indicate that the implanted N+ ions formed an electrically inactive deep-level impurity in diamond films. So the sheet resistance of the sample after N+ implantation changed little. Carbon nitride containing C≡N covalent bond has been successfully synthesized by 100 keV, 1.2×1018 N/cm2 N+ implantation into diamond films. Most of the implanted N+ ions formed C≡N covalent bonds with C atoms. The others were free state nitroge

  17. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  18. Nitrogen and hydrogen related infrared absorption in CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Titus, E. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal)]. E-mail: elby@mec.ua.pt; Ali, N. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Cabral, G. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Madaleno, J.C. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Neto, V.F. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Gracio, J. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Ramesh Babu, P [Materials Ireland, Polymer research Centre, School of Physics, Dublin (Ireland); Sikder, A.K. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India); Okpalugo, T.I. [Northern Ireland Bio-Engineering Centre, NIBEC, University of Ulster (United Kingdom); Misra, D.S. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India)

    2006-09-25

    In this paper, we investigate on the presence of hydrogen and nitrogen related infrared absorptions in chemical vapour deposited (CVD) diamond films. Investigations were carried out in cross sections of diamond windows, deposited using hot filament CVD (HFCVD). The results of Scanning Electron Microscopy (SEM), Fourier Transform Infrared (FTIR) and Raman spectroscopy carried out in a cross section of self-standing diamond sheets are presented. The FTIR spectra showed several features that have not been reported before. In order to confirm the frequency of nitrogen related vibrations, ab-initio calculations were carried out using GAMESS program. The investigations showed the presence of several C-N related peaks in one-phonon (1000-1333 cm{sup -1}). The deconvolution of the spectra in the three-phonon region (2700-3150 cm{sup -1}) also showed a number of vibration modes corresponding to sp {sup m}CH {sub n} phase of carbon. Elastic recoil detection analysis (ERDA) was employed to compare the H content measured using FTIR technique. Using these measurements we point out that the oscillator strength of the different IR modes varies depending upon the structure and H content of CVD diamond sheets.

  19. Thermoluminescence in CVD diamond films: application to actinometric dosimetry

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Chernov, V.; Castaneda, B.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2002-01-01

    Diamond is considered a tissue-equivalent material since its atomic number (Z=6) is close to the effective atomic number of biological tissue (Z=7.42). Such a situation makes it suitable for radiation detection purposes in medical applications. In the present work the analysis is reported of the thermoluminescence (TL) and dosimetric features of chemically vapour deposited (CVD) diamond film samples subjected to ultraviolet (UV) irradiation in the actinometric region. The TL glow curve shows peaks at 120, 220, 320 and 370 deg. C. The 120 and 370 deg. C peaks are too weak and the first one fades away in a few seconds after exposure. The overall room temperature fading shows a 50% TL decay 30 min after exposure. The 320 deg. C glow peak is considered to be the most adequate for dosimetric applications due to its low fading and linear TL behaviour as a function of UV dose in the 180-260 nm range. The TL excitation spectrum presents a broad band with at least two overlapped components around 205 and 220 nm. The results indicate that the TL behaviour of CVD diamond film can be a good alternative to the currently available dosemeter and detector in the actinometric region as well as in clinical and medical applications. (author)

  20. Structural Transformation upon Nitrogen Doping of Ultrananocrystalline Diamond Films by Microwave Plasma CVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2009-01-01

    Full Text Available The molecular properties and surface morphology of undoped and N-doped ultra-nanocrystalline diamond (UNCD films deposited by microwave plasma CVD with addition of nitrogen are investigated with various spectroscopic techniques. The results of spatially resolved Raman scattering, ATR/FT-IR and XPS spectra show more amorphous and sp2/sp3 ratio characteristics in N-doped UNCD films. The surface morphology in AFM scans shows larger nanocrystalline diamond clusters in N-doped UNCD films. Incorporation of nitrogen into UNCD films has promoted an increase of amorphous sp2-bonded carbons in the grain boundaries and the size of nanocrystalline diamond grains that are well correlated to the reported enhancement of conductivity and structural changes of UNCD films.

  1. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  2. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  3. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  4. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  5. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  6. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  7. X-ray diffraction characterization of epitaxial CVD diamond films with natural and isotopically modified compositions

    Energy Technology Data Exchange (ETDEWEB)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru [Russian Academy of Sciences, Space Materials Science Laboratory, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics”, Kaluga Branch (Russian Federation); Voloshin, A. E. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics” (Russian Federation); Ralchenko, V. G.; Bolshakov, A. P. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Romanov, D. A. [Bauman Moscow State Technical University, Kaluga Branch (Russian Federation); Khomich, A. A. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Sozontov, E. A. [National Research Centre “Kurchatov Institute” (Russian Federation)

    2016-11-15

    Comparative investigations of homoepitaxial diamond films with natural and modified isotopic compositions, grown by chemical vapor deposition (CVD) on type-Ib diamond substrates, are carried out using double-crystal X-ray diffractometry and topography. The lattice mismatch between the substrate and film is precisely measured. A decrease in the lattice constant on the order of (Δa/a){sub relax} ∼ (1.1–1.2) × 10{sup –4} is recorded in isotopically modified {sup 13}C (99.96%) films. The critical thicknesses of pseudomorphic diamond films is calculated. A significant increase in the dislocation density due to the elastic stress relaxation is revealed by X-ray topography.

  8. Thermoluminescence characterization of CVD diamond film exposed to UV and beta radiation

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Gastelum, S.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Brown, F.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2003-01-01

    Thermoluminescence (TL) properties of diamond films grown by microwave and hot filament CVD techniques were studied. The main purpose of the present work was to characterize the thermoluminescence response of diamond films to ultraviolet and beta radiation. The thermoluminescence excitation spectrum exhibits maximum TL efficiency around 210-215 nm. All samples presented a glow curve composed of at least one TL peak and showed regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of monochromatic UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.33-1.7 eV and 5.44 x 10 2 -5.67 x 10 16 s -1 , respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters keeping in mind that diamond is an ideal TL dosemeter since it is tissue-equivalent and biological compatible. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  10. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  11. Origin, state of the art and some prospects of the diamond CVD

    CERN Document Server

    Spitsyn, B V; Alexenko, A E

    2000-01-01

    A short review on the diamond CVD origin, together with its state of the art and some prospects was given. New hybrid methods of the diamond CVD permit to gain 1.2 to 6 times of growth rate in comparison with ordinary diamond CVD's. Recent results on n-type diamond film synthesis through phosphorus doping in the course of the CVD process are briefly discussed. In comparison with high-pressure diamond synthesis, the CVD processes open new facets of the diamond as ultimate crystal for science and technology evolution. It was stressed that, mainly on the basis of new CVDs of diamond, the properties of natural diamond are not only reproduced, but can be surpassed. As examples, mechanical (fracture resistance), physical (thermal conductivity), and chemical (oxidation stability) properties are mentioned. Some present issues in the field are considered.

  12. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  13. Modifying thin film diamond for electronic applications

    International Nuclear Information System (INIS)

    Baral, B.

    1999-01-01

    The unique combination of properties that diamond possesses are being exploited in both electronic and mechanical applications. An important step forward in the field has been the ability to grow thin film diamond by chemical vapour deposition (CVD) methods and to control parameters such as crystal orientation, dopant level and surface roughness. An extensive understanding of the surface of any potential electronic material is vital to fully comprehend its behaviour within device structures. The surface itself ultimately controls key aspects of device performance when interfaced with other materials. This study has provided insight into important chemical reactions on polycrystalline CVD diamond surfaces, addressing how certain surface modifications will ultimately affect the properties of the material. A review of the structure, bonding, properties and potential of diamond along with an account of the current state of diamond technology and CVD diamond growth is provided. The experimental chapter reviews bulk material and surface analytical techniques employed in this work and is followed by an investigation of cleaning treatments for polycrystalline CVD diamond aimed at removing non-diamond carbon from the surface. Selective acid etch treatments are compared and contrasted for efficacy with excimer laser irradiation and hydrogen plasma etching. The adsorption/desorption kinetics of potential dopant-containing precursors on polycrystalline CVD diamond surfaces have been investigated to compare their effectiveness at introducing dopants into the diamond during the growth stage. Both boron and sulphur-containing precursor compounds have been investigated. Treating polycrystalline CVD diamond in various atmospheres / combination of atmospheres has been performed to enhance electron field emission from the films. Films which do not emit electrons under low field conditions can be modified such that they emit at fields as low as 10 V/μm. The origin of this enhancement

  14. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  15. Structure and wettability property of the growth and nucleation surfaces of thermally treated freestanding CVD diamond films

    Science.gov (United States)

    Pei, Xiaoqiang; Cheng, Shaoheng; Ma, Yibo; Wu, Danfeng; Liu, Junsong; Wang, Qiliang; Yang, Yizhou; Li, Hongdong

    2015-08-01

    This paper reports the surface features and wettability properties of the (1 0 0)-textured freestanding chemical vapor deposited (CVD) diamond films after thermal exposure in air at high temperature. Thermal oxidation at proper conditions eliminates selectively nanodiamonds and non-diamond carbons in the films. The growth side of the films contains (1 0 0)-oriented micrometer-sized columns, while its nucleation side is formed of nano-sized tips. The examined wettability properties of the as-treated diamond films reveal a hydrophilicity and superhydrophilicity on the growth surface and nucleation surface, respectively, which is determined by oxygen termination and geometry structure of the surface. When the surface termination is hydrogenated, the wettability of nucleation side converted from superhydrophilicity to high hydrophobicity, while the hydrophilicity of the growth side does not change significantly. The findings open a possibility for realizing freestanding diamond films having not only novel surface structures but also multifunction applications, especially proposed on the selected growth side or nucleation side in one product.

  16. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  17. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  18. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  19. Diamond film growth with modification properties of adhesion between substrate and diamond film

    Directory of Open Access Journals (Sweden)

    Setasuwon P.

    2004-03-01

    Full Text Available Diamond film growth was studied using chemical vapor deposition (CVD. A special equipment was build in-house, employing a welding torch, and substrate holder with a water-cooling system. Acetylene and oxygen were used as combustion gases and the substrate was tungsten carbide cobalt. It was found that surface treatments, such as diamond powder scratching or acid etching, increase the adhesion and prevent the film peel-off. Diamond powder scratching and combined diamond powder scratching with acid etching gave the similar diamond film structure with small grain and slightly rough surface. The diamond film obtained with both treatments has high adhesion and can withstand internal stress better than ones obtained by untreated surface or acid etching alone. It was also found that higher substrate temperature produced smoother surface and more uniform diamond grain.

  20. Performance of CVD diamond as an optically and thermally stimulated luminescence dosemeter

    International Nuclear Information System (INIS)

    Preciado-Flores, S.; Schreck, M.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Cruz-Zaragoza, E.; Barboza-Flores, M.

    2006-01-01

    Diamond is a material with extreme physical properties. Its radiation hardness, chemical inertness and tissue equivalence qualify it as an ideal material for radiation dosimetry. In the present work, the optically stimulated luminescence (OSL) and thermoluminescence (TL) characteristics of a 10 μm thick CVD diamond (polycrystalline diamond films prepared by chemical vapor deposition) film were studied in order to test its performance as a beta radiation dosemeter. The TL response is composed of four main TL glow peaks; two of these are in the range of 150-200 deg. C and two additional peaks in the 250-400 deg. C temperature range. The integrated TL as a function of radiation dose is linear up to 100 Gy and increases with increasing dose exposure. The dose dependence of the integrated OSL exhibits a similar behavior. The observed OSL/TL behavior for the CVD diamond film clearly demonstrate its capability for applications in radiation dosimetry with special relevance in medical dosimetry owing to the diamond's intrinsic material properties. (authors)

  1. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-01-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte-Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometer for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. Also other neutron related applications have been suggested. (author)

  2. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-07-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation-hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometry for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. (author)

  3. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  4. Lateral overgrowth of diamond film on stripes patterned Ir/HPHT-diamond substrate

    Science.gov (United States)

    Wang, Yan-Feng; Chang, Xiaohui; Liu, Zhangcheng; Liu, Zongchen; Fu, Jiao; Zhao, Dan; Shao, Guoqing; Wang, Juan; Zhang, Shaopeng; Liang, Yan; Zhu, Tianfei; Wang, Wei; Wang, Hong-Xing

    2018-05-01

    Epitaxial lateral overgrowth (ELO) of diamond films on patterned Ir/(0 0 1)HPHT-diamond substrates have been carried out by microwave plasma CVD system. Ir/(0 0 1)HPHT-diamond substrates are fabricated by photolithographic and magnetron sputtering technique. The morphology of the as grown ELO diamond film is characterized by optical microscopy and scanning electronic microscopy. The quality and stress of the ELO diamond film are investigated by surface etching pit density and micro-Raman spectroscopy. Two ultraviolet photodetectors are fabricated on ELO diamond area and non-ELO diamond area prepared on same substrate, and that one on ELO diamond area indicates better photoelectric properties. All results indicate quality of ELO diamond film is improved.

  5. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  6. Applications of diamond films and related materials; Proceedings of the 1st International Conference, Auburn, AL, Aug. 17-22, 1991

    Science.gov (United States)

    Tzeng, Yonhua (Editor); Yoshikawa, Manasori (Editor); Murakawa, Masao (Editor); Feldman, Albert (Editor)

    1991-01-01

    The present conference discusses the nucleation and growth of diamond from hydrocarbons, the cutting tool performance of CVD thick-film diamond, the characterization of CVD diamond grinding powder, industrial applications of crystalline diamond-coated tools, standardized SEM tribometry of diamond-coated substrates, residual stress in CVD diamond films, the optical properties of CVD diamond films, polycrystalline diamond films for optical applications, and diamond growth on ferrous metals. Also discussed are ion beam-irradiation smoothing of diamond films, electronic circuits on diamond substrates, diamond-laminated surfaces for evaporative spray cooling, electron devices based on the unique properties of diamond, diamond cold cathodes, thin-film diamond microstructure applications, Schottky diodes from flame-grown diamond, diamond films for thermionic applications, methods of diamond nucleation and selective deposition, high-rate/large-area diamond film production, halogen-assisted diamond growth, the economics of diamond technology, and the optical and mechanical properties of diamondlike films.

  7. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Energy Technology Data Exchange (ETDEWEB)

    Bogani, F. [Florence Univ. (Italy). Dipt. di Energetica; Borchi, E. [Florence Univ. (Italy). Dipt. di Energetica; Bruzzi, M. [Florence Univ. (Italy). Dipt. di Energetica; Leroy, C. [Florence Univ. (Italy). Dipt. di Energetica; Sciortino, S. [Florence Univ. (Italy). Dipt. di Energetica

    1997-04-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.).

  8. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Science.gov (United States)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-02-01

    The thermoluminescent (TL) response of Chemical Vapour Deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters.

  9. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    International Nuclear Information System (INIS)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-01-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.)

  10. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S; Nugent, K W; Bettiol, A A; Kostidis, L I; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  11. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  12. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1996-01-01

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 μm 2 . After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs

  13. Study on tribological behavior and cutting performance of CVD diamond and DLC films on Co-cemented tungsten carbide substrates

    International Nuclear Information System (INIS)

    Zhang Dongcan; Shen Bin; Sun Fanghong

    2010-01-01

    The tribological behaviors of diamond and diamond-like carbon (DLC) films play a major role on their machining and mechanical applications. In this study, diamond and diamond-like carbon (DLC) films are deposited on the cobalt cemented tungsten carbide (WC-Co) substrate respectively adopting the hot filament chemical vapor deposition (HFCVD) technique and the vacuum arc discharge with a graphite cathode, and their friction properties are evaluated on a reciprocating ball-on-plate tribometer with counterfaces of silicon nitride (Si 3 N 4 ) ceramic, cemented tungsten carbide (WC) and ball-bearing steel materials, under the ambient air without lubricating condition. Moreover, to evaluate their cutting performance, comparative turning tests are conducted using the uncoated WC-Co and as-fabricated CVD diamond and DLC coated inserts, with glass fiber reinforced plastics (GFRP) composite materials as the workpiece. The as-deposited HFCVD diamond and DLC films are characterized with energy-dispersive X-ray spectroscopy (EDX), scanning electron microscope (SEM), X-ray diffraction spectroscopy (XRD), Raman spectroscopy and 3D surface topography based on white-light interferometry. Furthermore, Rocwell C indentation tests are conducted to evaluate the adhesion of HFCVD diamond and DLC films grown onto WC-Co substrates. SEM and 3D surface topography based on white-light interferometry are also used to investigate the worn region on the surfaces of diamond and DLC films. The friction tests suggest that the obtained friction coefficient curves that of various contacts exhibit similar evolution tendency. For a given counterface, DLC films present lower stable friction coefficients than HFCVD diamond films under the same sliding conditions. The cutting tests results indicate that flank wear of the HFCVD diamond coated insert is lower than that of DLC coated insert before diamond films peeling off.

  14. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  15. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  16. γ radiation thermoluminescence performance of HFCVD diamond films

    International Nuclear Information System (INIS)

    Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Barboza-Flores, M.

    2006-01-01

    Polycrystalline chemically vapor deposited (CVD) diamond films have been proposed as detectors and dosimeters of ionizing radiation with prospective applications in high-energy photon dosimetry applications. We present a comparison study on the thermoluminescence (TL) properties of two diamond film samples grown by the hot filament CVD method having thickness of 180 and 500 μm and exposed to γ radiation in the 1-300 Gy dose range. The 180 μm thick sample deposited on silicon substrate displayed a TL glow curve peaked at 145 deg. C. The 500 μm, which was a free standing sample, exhibited higher intensity and a well defined first order kinetics TL glow peak around 289 deg. C. Both diamond samples showed a linear dose behavior in the 1-50 Gy range and sublinear behavior for higher doses. The 180 and 500 μm samples presented about 80% and 30% TL losses in a 24 h period, respectively, with both samples showing excellent TL reproducibility. The results indicate that the 500 μm CVD diamond film exhibited a good TL behavior adequate for γ radiation dosimetry

  17. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  18. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  19. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  20. The role of (sub)-surface oxygen on the surface electronic structure of hydrogen terminated (100) CVD diamond

    NARCIS (Netherlands)

    Deferme, W.; Tanasa, G.; Amir, J.; Haenen, K.; Nesladek, M.; Flipse, C.F.J.

    2006-01-01

    In this work, scanning tunnelling microscopy (STM) and scanning tunnelling spectroscopy (STS) were applied to investigate the surface morphol. and the surface electronic structure of plasma-treated (100)-oriented CVD diamond films. These films were hydrogenated using a conventional MWPE-CVD

  1. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  2. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  3. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  4. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  5. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  6. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  7. Tl and OSL dosimetry of diamond films CVD pure and unpurified with boron-carbon

    International Nuclear Information System (INIS)

    Melendrez, R.; Pedroza M, M.; Chernov, V.; Ochoa N, J.D.; Bernal, R.; Barboza F, M.; Castaneda, B.; Goncalves, J.A.N.; Sandonato, G.M.; Cruz Z, E.; Preciado F, S.; Cruz V, C.; Brown, F.; Schreck, M.

    2004-01-01

    The diamond is a material that possesses extreme physical properties, such as its hardness to the radiation, its low chemical reactivity besides its equivalence to the human tissue, which qualify him as an ideal material for radiation dosimetry. In this work, it was studied the thermal and optically stimulated response (Tl and OSL) of polycrystalline diamond films grown by the technique of CVD pure and contaminated with Boron-carbon (B/C) with the intention of characterizing their efficiency like a dosemeter for radiation in a range of 0 - 3000 Gy. For the case of the films without impurities, the Tl curve presents four main peaks, two of them in an interval of temperatures of 150-200 C and other two additional around of 250-400 C. The dependence of the response of integrated Tl and that of OSL always maintained a lineal relationship with the exhibition dose up to 100 Gy. The behavior of the films contaminated with B/C (2000 - 20000 ppm) was established through experiments that involved the signal of OSL and their relationship with the Tl response. It was found that this processes are correlated, since the electrons caught in the traps of low temperature (50 - 250 C) of the Tl they are the electrons that recombining with more probability to provide the signal of OSL. According to these results it is possible to propose the diamond films as a good candidate for dosimetry to, using the traditional technique of Tl so much as well as the but recent of OSL. (Author)

  8. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  9. Recent results on CVD diamond radiation sensors

    Science.gov (United States)

    Weilhammer, P.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; v. d. Eijk, R.; van Eijk, B.; Fallou, A.; Fish, D.; Fried, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knopfle, K. T.; Krammer, M.; Manfredi, P. F.; Meier, D.; LeNormand; Pan, L. S.; Pernegger, H.; Pernicka, M.; Plano, R.; Re, V.; Riester, J. L.; Roe, S.; Roff; Rudge, A.; Schieber, M.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; RD 42 Collaboration

    1998-02-01

    CVD diamond radiation sensors are being developed for possible use in trackers in the LHC experiments. The diamond promises to be radiation hard well beyond particle fluences that can be tolerated by Si sensors. Recent results from the RD 42 collaboration on charge collection distance and on radiation hardness of CVD diamond samples will be reported. Measurements with diamond tracking devices, both strip detectors and pixel detectors, will be discussed. Results from beam tests using a diamond strip detector which was read out with fast, 25 ns shaping time, radiation-hard pipeline electronics will be presented.

  10. Mechanical design of thin-film diamond crystal mounting apparatus with optimized thermal contact and crystal strain for coherence preservation x-ray optics

    Science.gov (United States)

    Shu, Deming; Shvydko, Yury; Stoupin, Stanislav; Kim, Kwang-Je

    2018-05-08

    A method and mechanical design for a thin-film diamond crystal mounting apparatus for coherence preservation x-ray optics with optimized thermal contact and minimized crystal strain are provided. The novel thin-film diamond crystal mounting apparatus mounts a thin-film diamond crystal supported by a thick chemical vapor deposition (CVD) diamond film spacer with a thickness slightly thicker than the thin-film diamond crystal, and two groups of thin film thermal conductors, such as thin CVD diamond film thermal conductor groups separated by the thick CVD diamond spacer. The two groups of thin CVD film thermal conductors provide thermal conducting interface media with the thin-film diamond crystal. A piezoelectric actuator is integrated into a flexural clamping mechanism generating clamping force from zero to an optimal level.

  11. Encapsulation of electroless copper patterns into diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Pimenov, S.M.; Shafeev, G.A.; Lavrischev, S.V. [General Physics Institute, Moscow (Russian Federation)] [and others

    1995-12-31

    The results are reported on encapsulating copper lines into diamond films grown by a DC plasma CVD. The process includes the steps of (i) laser activation of diamond for electroless metal plating, (ii) electroless copper deposition selectively onto the activated surface regions, and (iii) diamond regrowth on the Cu-patterned diamond films. The composition and electrical properties of the encapsulated copper lines were examined, revealing high purity and low electrical resistivity of the encapsulated electroless copper.

  12. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  13. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  14. Development of CVD diamond radiation detectors

    CERN Document Server

    Adam, W; Berdermann, E; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fisch, D; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Meier, D; Mishina, M; Le Normand, F; Pan, L S; Pernegger, H; Pernicka, Manfred; Pirollo, S; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zoeller, M M

    1998-01-01

    Diamond is a nearly ideal material for detecting ionizing radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow a diamond detector to be used in high ra diation, high temperature and in aggressive chemical media. We have constructed charged particle detectors using high quality CVD diamond. Characterization of the diamond samples and various detect ors are presented in terms of collection distance, $d=\\mu E \\tau$, the average distance electron-hole pairs move apart under the influence of an electric field, where $\\mu$ is the sum of carrier mo bilities, $E$ is the applied electric field, and $\\tau$ is the mobility weighted carrier lifetime. Over the last two years the collection distance increased from $\\sim$ 75 $\\mu$m to over 200 $\\mu$ m. With this high quality CVD diamond a series of micro-strip and pixel particle detectors have been constructed. These devices were tested to determine their position resolution and signal to n oise performance. Diamond detectors w...

  15. Measurement of the secondary electron emission from CVD diamond films using phosphor screen detectors

    Science.gov (United States)

    Vaz, R.; May, P. W.; Fox, N. A.; Harwood, C. J.; Chatterjee, V.; Smith, J. A.; Horsfield, C. J.; Lapington, J. S.; Osbourne, S.

    2015-03-01

    Diamond-based photomultipliers have the potential to provide a significant improvement over existing devices due to diamond's high secondary electron yield and narrow energy distribution of secondary electrons which improves energy resolution creating extremely fast response times. In this paper we describe an experimental apparatus designed to study secondary electron emission from diamond membranes only 400 nm thick, observed in reflection and transmission configurations. The setup consists of a system of calibrated P22 green phosphor screens acting as radiation converters which are used in combination with photomultiplier tubes to acquire secondary emission yield data from the diamond samples. The superior signal voltage sampling of the phosphor screen setup compared with traditional Faraday Cup detection allows the variation in the secondary electron yield across the sample to be visualised, allowing spatial distributions to be obtained. Preliminary reflection and transmission yield data are presented as a function of primary electron energy for selected CVD diamond films and membranes. Reflection data were also obtained from the same sample set using a Faraday Cup detector setup. In general, the curves for secondary electron yield versus primary energy for both measurement setups were comparable. On average a 15-20% lower signal was recorded on our setup compared to the Faraday Cup, which was attributed to the lower photoluminescent efficiency of the P22 phosphor screens when operated at sub-kilovolt bias voltages.

  16. Investigation of defects in CVD diamond: Influence for radiotherapy applications

    International Nuclear Information System (INIS)

    Guerrero, M.J.; Tromson, D.; Bergonzo, P.; Barrett, R.

    2005-01-01

    In this study we present the potentialities of CVD diamond as an ionisation chamber for radiotherapy applications. Trapping levels present in CVD diamond are characterised using Thermally Stimulated Current (TSC) method with X-ray sources. The influence of the corresponding defects on the detector response is investigated and compared to those observed in natural diamond. Also, their spatial distribution across a large area polycrystalline diamond ionisation chamber is discussed. Results show the relative influence of two different populations of trapping levels in CVD diamond whose effect is crucial for radiotherapy applications. To partially overcome the defect detrimental effects, we propose to use CVD diamond ionisation chambers at moderate temperatures from 70 to 100 deg. C that could be provided by self heating of the device, for a dramatically improved stability and reproducibility

  17. Growing of synthetic diamond boron-doped films for analytical applications

    International Nuclear Information System (INIS)

    Barros, Rita de Cassia Mendes de; Suarez-Iha, Maria Encarnacion Vazquez; Corat, Evaldo Jose; Iha, Koshun

    1999-01-01

    Chemical vapor deposition (CVD) technology affords the possibility of producing synthetic diamond film electrodes, with several advantageous properties due the unique characteristics of diamond. In this work, we present the study of boron-doped diamond films growth on molybdenum and silicon substrates, using boron trioxide as dopant in a filament assisted CVD reactor. The objective was to obtain semiconductor diamond for use as electrode. The samples were characterized by scanning electron microscopy and Raman spectroscopy to confirm morphology and doping levels. We have assembled electrodes with the various samples, Pt, Mo, Si and diamond, by utilizing brass and left as base materials. The electrodes were tested in neutralization potentiometric titrations for future use in electroanalysis. Boron-doped electrodes have very good performance compared with Pt, widely used in analytical chemistry. (author)

  18. A CVD diamond beam telescope for charged particle tracking

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dulinski, W; Doroshenko, J; Doucet, M; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Kania, D R; Gan, K K; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, Manfred; Meuser, S; Lo Giudice, A; MacLynne, L; Manfredotti, C; Meier, D; Menichelli, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Perera, L P; Riester, J L; Roe, S; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Trischuk, W; Tromson, D; Vittone, E; Weilhammer, Peter; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond is a radiation hard sensor material which may be used for charged particle tracking near the interaction region in experiments at high luminosity colliders. The goal of the work described here is to investigate the use of several detector planes made of CVD diamond strip sensors for charged particle tracking. Towards this end a tracking telescope composed entirely of CVD diamond planes has been constructed. The telescope was tested in muon beams and its tracking capability has been investigated.

  19. Ti:Pt:Au:Ni thin-film CVD diamond sensor ability for charged particle detection.

    Science.gov (United States)

    Kasiwattanawut, Haruetai; Tchouaso, Modeste Tchakoua; Prelas, Mark A

    2018-05-22

    This work demonstrates the development of diamond sensors with reliable contacts using a new metallization formula, which can operate under high-pressure gas environment. The metallization was created using thin film layers of titanium, platinum, gold and nickel deposited on a single crystal electronic grade CVD diamond chip. The contacts were 2 mm in diameter with thickness of 50/5/20/150 nm of Ti:Pt:Au:Ni. The optimum operating voltage of the sensor was determined from the current-voltage measurements. The sensor was calibrated with 239 Pu and 241 Am alpha radiation sources at 300 V. The energy resolution of the Ti:Pt:Au:Ni diamond sensor was determined to be 7.6% at 5.2 MeV of 239 Pu and 2.2% at 5.48 MeV of 241 Am. The high-pressure gas loading environment under which this sensor was used is discussed. Specifically, experimental observations are described using hydrogen loading of nickel as a means of initiating low energy nuclear reactions. No neutrons, electrons, ions or other ionizing radiations were observed in these experiments. Copyright © 2018 Elsevier Ltd. All rights reserved.

  20. Polarized Raman spectroscopy of chemically vapour deposited diamond films

    International Nuclear Information System (INIS)

    Prawer, S.; Nugent, K.W.; Weiser, P.S.

    1994-01-01

    Polarized micro-Raman spectra of chemically vapour deposited diamond films are presented. It is shown that important parameters often extracted from the Raman spectra such as the ratio of the diamond to non-diamond component of the films and the estimation of the level of residual stress depend on the orientation of the diamond crystallites with respect to the polarization of the incident laser beam. The dependence originates from the fact that the Raman scattering from the non-diamond components in the films is almost completely depolarized whilst the scattering from the diamond components is strongly polarized. The results demonstrate the importance of taking polarization into account when attempting to use Raman spectroscopy in even a semi-quantitative fashion for the assessment of the purity, perfection and stress in CVD diamond films. 8 refs., 1 tab. 2 figs

  1. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  2. Grating-assisted coupling to nanophotonic circuits in microcrystalline diamond thin films

    Directory of Open Access Journals (Sweden)

    Patrik Rath

    2013-05-01

    Full Text Available Synthetic diamond films can be prepared on a waferscale by using chemical vapour deposition (CVD on suitable substrates such as silicon or silicon dioxide. While such films find a wealth of applications in thermal management, in X-ray and terahertz window design, and in gyrotron tubes and microwave transmission lines, their use for nanoscale optical components remains largely unexplored. Here we demonstrate that CVD diamond provides a high-quality template for realizing nanophotonic integrated optical circuits. Using efficient grating coupling devices prepared from partially etched diamond thin films, we investigate millimetre-sized optical circuits and achieve single-mode waveguiding at telecoms wavelengths. Our results pave the way towards broadband optical applications for sensing in harsh environments and visible photonic devices.

  3. Growth, characterization and properties of CVD diamond films for applications as radiation detectors

    International Nuclear Information System (INIS)

    Sciorti, S.

    1999-01-01

    The aim of the work is to give a picture of the current state of the art of CVD (chemical vapour deposition) diamond. The interest is due to the capability to grow over large areas a material with physical properties suitable for an impressive number of applications. The authors focuses on the potential of diamond as a radiation detector and gets into details of the huge field that extends from the thermochemistry of the deposition process to the test of a diamond-based tracker with a fast readout electronics

  4. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  5. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  6. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  7. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  8. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  9. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  10. Effects of temperature and Mo2C layer on stress and structural properties in CVD diamond film grown on Mo foil

    International Nuclear Information System (INIS)

    Long, Fen; Wei, Qiuping; Yu, Z.M.; Luo, Jiaqi; Zhang, Xiongwei; Long, Hangyu; Wu, Xianzhe

    2013-01-01

    Highlights: •Polycrystalline diamond films were grown on Mo foil substrates by HF-CVD. •We investigated the temperature dependence of the film stress for each sample. •We show that how the thermal stress and intrinsic stress affects the total stress. •The stress of Mo foil substrate obtained by XRD was investigated in this study. •The effect of Mo 2 C interface layer for stress of multilayer system was considered. -- Abstract: Polycrystalline diamond films have been prepared by hot-filament-assisted chemical vapor deposition (HFCVD) on Mo foils. The morphology, growth rate, phase composition, element distribution and residual stress of the films at different temperature were investigated by field-emission scanning electron microscopy, Raman spectrum, field emission electron probe microanalysis and X-ray diffraction. Results show that the residual stress of the diamond films is compressive. The thermal stress plays a decisive role in the total stress, while the intrinsic stress can change the trend of the total stress. The residual stress of substrate gradually changes from tensile stress to compressive stress with the increase of the deposited temperature. A Mo 2 C interlayer is formed during deposition process, and this layer has an important influence on the stresses of films and substrates

  11. THIN DIAMOND FILMS FOR SNS H INJECTIONS STRIPPING

    International Nuclear Information System (INIS)

    SHAW, R.W.; HERR, A.D.; FEIGERLE, C.S.; CUTLER, R.J.; LIAW, C.J.; LEE, Y.Y.

    2004-01-01

    We have investigated the preparation and testing of thin diamond foils for use in stripping the SNS H - Linac beam. A long useful lifetime for these foils is desirable to improve operational efficiency. Preliminary data presented at PAC 2001 indicated that diamond foils were superior to conventional evaporated carbon foils, exhibiting lifetimes approximately five-fold longer [1]. That work employed a fully supported diamond foil, a format that is not acceptable for the SNS application; at least two edges of the approximately 1 x 1 cm foils must be free standing to allow for beam rastering. Residual stress in a chemical vapor deposited (CVD) diamond foil results in film distortion (scrolling) when the film is released from its silicon growth substrate. We have attacked this problem by initially patterning the surface of CVD growth substrates with a 50 or 100 line/inch trapezoidal grating, followed by conformal diamond film growth on the patterned substrate. Then removal of the substrate by chemical etching produced a foil that possessed improved mechanical integrity due to its corrugation. The high nucleation density required to grow continuous, pinhole free diamond foils of the desired thickness (1 (micro)m, 350 (micro)g/cm 2 ) was achieved by a combination of substrate surface scratching and seeding. A variety of diamond foils have been tested using the BNL 750 keV Radio Frequency Quadrupole H - beam to simulate energy loss in the SNS. Those include flat, corrugated, microcrystalline, and nanocrystalline foils. Foil lifetimes are reported

  12. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  13. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  14. CVD diamond pixel detectors for LHC experiments

    Energy Technology Data Exchange (ETDEWEB)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N

    1999-08-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described.

  15. CVD diamond pixel detectors for LHC experiments

    International Nuclear Information System (INIS)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N.

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described

  16. CVD diamonds as thermoluminescent detectors for medical applications

    International Nuclear Information System (INIS)

    Marczewska, B.; Olko, P.; Nesladek, M.; Waligorski, M.P.R.; Kerremans, Y.

    2002-01-01

    Diamond is believed to be a promising material for medical dosimetry due to its tissue equivalence, mechanical and radiation hardness, and lack of solubility in water or in disinfecting agents. A number of diamond samples, obtained under different growth conditions at Limburg University, using the chemical vapour deposition (CVD) technique, was tested as thermoluminescence dosemeters. Their TL glow curve, TL response after doses of gamma rays, fading, and so on were studied at dose levels and for radiation modalities typical for radiotherapy. The investigated CVD diamonds displayed sensitivity comparable with that of MTS-N (Li:Mg,Ti) detectors, signal stability (reproducibility after several readouts) below 10% (1 SD) and no fading was found four days after irradiation. A dedicated CVD diamond plate was grown, cut into 20 detector chips (3x3x0.5 mm) and used for measuring the dose-depth distribution at different depths in a water phantom, for 60 Co and six MV X ray radiotherapy beams. Due to the sensitivity of diamond to ambient light, it was difficult to achieve reproducibility comparable with that of standard LiF detectors. (author)

  17. CVD diamond pixel detectors for LHC experiments

    CERN Document Server

    Wedenig, R; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Wagner, A; Walsh, A M; Weilhammer, Peter; White, C; Zeuner, W; Ziock, H J; Zöller, M

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described. (9 refs).

  18. Diamond radiation detectors II. CVD diamond development for radiation detectors

    International Nuclear Information System (INIS)

    Kania, D.R.

    1997-01-01

    Interest in radiation detectors has supplied some of the impetus for improving the electronic properties of CVD diamond. In the present discussion, we will restrict our attention to polycrystalhne CVD material. We will focus on the evolution of these materials over the past decade and the correlation of detector performance with other properties of the material

  19. Non-classical crystallization of thin films and nanostructures in CVD and PVD processes

    CERN Document Server

    Hwang, Nong Moon

    2016-01-01

    This book provides a comprehensive introduction to a recently-developed approach to the growth mechanism of thin films and nanostructures via chemical vapour deposition (CVD). Starting from the underlying principles of the low pressure synthesis of diamond films, it is shown that diamond growth occurs not by individual atoms but by charged nanoparticles. This newly-discovered growth mechanism turns out to be general to many CVD and some physical vapor deposition (PVD) processes. This non-classical crystallization is a new paradigm of crystal growth, with active research taking place on growth in solution, especially in biomineralization processes. Established understanding of the growth of thin films and nanostructures is based around processes involving individual atoms or molecules. According to the author’s research over the last two decades, however, the generation of charged gas phase nuclei is shown to be the rule rather than the exception in the CVD process, and charged gas phase nuclei are actively ...

  20. High-temperature Infrared Transmission of Free-standing Diamond Films

    Directory of Open Access Journals (Sweden)

    HEI Li-fu

    2017-02-01

    Full Text Available The combination of low absorption and extreme mechanical and thermal properties make diamond a compelling choice for some more extreme far infrared (8-12 μm window applications. The optical properties of CVD diamond at elevated temperatures are critical to many of these extreme applications. The infrared transmission of free-standing diamond films prepared by DC arc plasma jet were studied at temperature varied conditions. The surface morphology, structure feature and infrared optical properties of diamond films were tested by optical microscope, X-ray diffraction, laser Raman and Fourier-transform infrared spectroscopy. The results show that the average transmittance for 8-12μm is decreased from 65.95% at 27℃ to 52.5% at 500℃,and the transmittance drop is in three stages. Corresponding to the drop of transmittance with the temperature, diamond film absorption coefficient increases with the rise of temperature. The influence of the change of surface state of diamond films on the optical properties of diamond films is significantly greater than the influence on the internal structure.

  1. Ion beam induced charge and cathodoluminescence imaging of response uniformity of CVD diamond radiation detectors

    CERN Document Server

    Sellin, P J; Galbiati, A; Maghrabi, M; Townsend, P D

    2002-01-01

    The uniformity of response of CVD diamond radiation detectors produced from high quality diamond film, with crystallite dimensions of >100 mu m, has been studied using ion beam induced charge imaging. A micron-resolution scanning alpha particle beam was used to produce maps of pulse height response across the device. The detectors were fabricated with a single-sided coplanar electrode geometry to maximise their sensitivity to the surface region of the diamond film where the diamond crystallites are highly ordered. High resolution ion beam induced charge images of single crystallites were acquired that demonstrate variations in intra-crystallite charge transport and the termination of charge transport at the crystallite boundaries. Cathodoluminescence imaging of the same crystallites shows an inverse correlation between the density of radiative centres and regions of good charge transport.

  2. X-ray beam monitor made by thin-film CVD single-crystal diamond.

    Science.gov (United States)

    Marinelli, Marco; Milani, E; Prestopino, G; Verona, C; Verona-Rinati, G; Angelone, M; Pillon, M; Kachkanov, V; Tartoni, N; Benetti, M; Cannatà, D; Di Pietrantonio, F

    2012-11-01

    A novel beam position monitor, operated at zero bias voltage, based on high-quality chemical-vapor-deposition single-crystal Schottky diamond for use under intense synchrotron X-ray beams was fabricated and tested. The total thickness of the diamond thin-film beam monitor is about 60 µm. The diamond beam monitor was inserted in the B16 beamline of the Diamond Light Source synchrotron in Harwell (UK). The device was characterized under monochromatic high-flux X-ray beams from 6 to 20 keV and a micro-focused 10 keV beam with a spot size of approximately 2 µm × 3 µm square. Time response, linearity and position sensitivity were investigated. Device response uniformity was measured by a raster scan of the diamond surface with the micro-focused beam. Transmissivity and spectral responsivity versus beam energy were also measured, showing excellent performance of the new thin-film single-crystal diamond beam monitor.

  3. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  4. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  5. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  6. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  7. An optical emission spectroscopy study of the plasma generated in the DC HF CVD nucleation of diamond

    Energy Technology Data Exchange (ETDEWEB)

    Larijani, M.M. [Nuclear Research Centre for Agriculture and Medicine, AEOI, P.O. Box 31485-498, Karaj (Iran, Islamic Republic of)]. E-mail: mmojtahedzadeh@nrcam.org; Le Normand, F. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France); Cregut, O. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France)

    2007-02-15

    Optical emission spectroscopy (OES) was used to study the plasma generated by the activation of the gas phase CH{sub 4} + H{sub 2} both by hot filaments and by a plasma discharge (DC HF CVD) during the nucleation of CVD diamond. The effects of nucleation parameters, such as methane concentration and extraction potential, on the plasma chemistry near the surface were investigated. The density of the diamond nucleation and the quality of the diamond films were studied by scanning electron microscopy (SEM) and Raman scattering, respectively. The OES results showed that the methane concentration influenced strongly the intensity ratio of H{sub {beta}}-H{sub {alpha}} implying an increase of electron mean energy, as well as CH, CH{sup +}, C{sub 2}. A correlation between the relative increase of CH{sup +} and the diamond nucleation density was found, conversely the increase of C{sub 2} contributed to the introduction of defects in the diamond nuclei.

  8. SU-E-T-153: Detector-Grade CVD Diamond for Radiotherapy Dosimetry.

    Science.gov (United States)

    Lansley, S; Betzel, G; McKay, D; Meyer, J

    2012-06-01

    To evaluate the use of commercially available detector-grade synthetic diamond films made via chemical vapor deposition (CVD) as x- ray detectors for radiotherapy dosimetry. A detector was fabricated using high-quality single crystal CVD diamond films (0.5 × 3 × 3 mm̂3) with 0.4 mm̂3 sensitive volumes, which were encapsulated with PMMA. The detector was placed in a (30 × 30 × 30 cm̂3) PTW water phantom. Six- and ten-MV photons from an Elekta Synergy linac were measured using an SSD of 90 cm and typically a 10-cm phantom depth with a 10 × 10 cm̂2 field size in the central axis of the beam. Data acquisition was performed using a PTW UNIDOS E electrometer with a 100-V bias. The detector was evaluated by measuring leakage current, priming dose, response dynamics, dose linearity, dependence on dose rate, percent depth dose (6 and 10 MV photons) and output factors. Some measurements were compared with a Si diode detector, 0.04 and/or 0.13-cc ion chamber(s). Leakage currents were negligible (∼1 pA) given the overall average sensitivity of the material (680 nC/Gy at 100 V). Detector current rise and fall times were detectors as expected. The type of diamond tested has potential to be used for small field dosimetry due to its small sensitive volume and high sensitivity. Further experiments are ongoing and detector packaging is yet to be optimized. © 2012 American Association of Physicists in Medicine.

  9. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  10. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  11. Performance of irradiated CVD diamond micro-strip sensors

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15 /cm 2 ) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10 15 p/cm 2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10 15 π/cm 2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations

  12. Performance of irradiated CVD diamond micro-strip sensors

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S. V.; Thomson, G. B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15/cm 2) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2×10 15 p/ cm2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9×10 15 π/ cm2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  13. Low-temperature (200 C or below) fabrication of diamond films for electronic application

    International Nuclear Information System (INIS)

    Hiraki, A.

    2003-01-01

    Fabrication of Diamond (including Diamond Like Carbon: DLC) films as electronic materials, for example: to be used as electron-emitter, requires several following conditions. They are: 1 ) Low temperature fabrication (or deposition on several substrates and sometimes ones with low melting point, like glasses) below 400 C, 2) Wide area film deposition onto wide substrates of several square inches, like Si wafer and glass substrate, 3) Reproducible deposition of well defined film quality, 4) others. In these respects, we have initiated, in the author's laboratories at Osaka University and Kochi University of Technology, a quite new approach to satisfy the above requirements by using microwave plasma CVD under a magnetic field to be called as m agneto-active plasma CVD . The films fabricated by the magnets-active plasma CVD and also recently by cathodic arc methods combined with cur special nano-seeding method, have been utilized for electron emitter to exhibit very high efficiency. (Author)

  14. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  15. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  16. Performance of irradiated CVD diamond micro-strip sensors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B

    2002-01-11

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a {beta}-source and the performance before and after intense (>10{sup 15}/cm{sup 2}) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10{sup 15} p/cm{sup 2} lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10{sup 15} {pi}/cm{sup 2} lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  17. Performance of irradiated CVD diamond micro-strip sensors

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a $\\beta$-source and the performance before and after intense ($>10^{15}/{\\rm cm^2}$) proton- and pion-irradiations. We find that low dose irradiations increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiations with protons ($2.2\\times 10^{15}~p/{\\rm cm^2}$) lowers the signal-to-noise ratio slightly. Intense irradiation with pions ($2.9\\times 10^{15}~\\pi/{\\rm cm^2}$) lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  18. Superconductivity and low temperature electrical transport in B-doped CVD nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Milos Nesladek, Jiri J. Mares, Dominique Tromson, Christine Mer, Philippe Bergonzo, Pavel Hubik and Jozef Kristofik

    2006-01-01

    Full Text Available In this work, we report on superconductivity (SC found in thin B-doped nanocrystalline diamond films, prepared by the PE-CVD technique. The thickness of the films varies from about 100 to 400 nm, the films are grown on low-alkaline glass at substrate temperatures of about 500–700 °C. The SIMS measurements show that films can be heavily doped with boron in concentrations in the range of 3×1021 cm−3. The Raman spectra show Fano resonances, confirming the substitutional B-incorporation. The low temperature magnetotransport measurements reveal a positive magnetoresistance. The SC transition is observed at about Tc=1.66 K. A simple theory exploiting the concept of weak localization accounting for this transition is proposed.

  19. Tl and OSL dosimetry of diamond films CVD pure and unpurified with boron-carbon; Dosimetria Tl y OSL de peliculas de diamante CVD puras e impurificadas con boro-carbono

    Energy Technology Data Exchange (ETDEWEB)

    Melendrez, R.; Pedroza M, M.; Chernov, V.; Ochoa N, J.D.; Bernal, R.; Barboza F, M. [CIF, UNISON, A.P. 5-088, 83190 Hermosillo, Sonora (Mexico); Castaneda, B. [Departamento de Fisica, Universidad de Sonora, Apdo. Postal 1626, Hermosillo, Sonora (Mexico); Goncalves, J.A.N.; Sandonato, G.M. [Laboratorio Associado de Plasma, Instituto Nacional de Pesquisas Espaciais C.P. 515- 12201 -970, Sao Jose dos Campos, SP (Brazil); Cruz Z, E. [Instituto de Ciencias Nucleares, UNAM, Apdo. Postal 70-543, 04510 Mexico D.F. (Mexico); Preciado F, S.; Cruz V, C.; Brown, F. [Departamento de Investigacion en Polimeros y Materiales de la Universidad de Sonora, Apdo. Postal 130, 83000 Hermosillo, Sonora (Mexico); Schreck, M. [Universitaet Augsburg, Institut fuer Physik D-86135 Augsburg (Germany)

    2004-07-01

    The diamond is a material that possesses extreme physical properties, such as its hardness to the radiation, its low chemical reactivity besides its equivalence to the human tissue, which qualify him as an ideal material for radiation dosimetry. In this work, it was studied the thermal and optically stimulated response (Tl and OSL) of polycrystalline diamond films grown by the technique of CVD pure and contaminated with Boron-carbon (B/C) with the intention of characterizing their efficiency like a dosemeter for radiation in a range of 0 - 3000 Gy. For the case of the films without impurities, the Tl curve presents four main peaks, two of them in an interval of temperatures of 150-200 C and other two additional around of 250-400 C. The dependence of the response of integrated Tl and that of OSL always maintained a lineal relationship with the exhibition dose up to 100 Gy. The behavior of the films contaminated with B/C (2000 - 20000 ppm) was established through experiments that involved the signal of OSL and their relationship with the Tl response. It was found that this processes are correlated, since the electrons caught in the traps of low temperature (50 - 250 C) of the Tl they are the electrons that recombining with more probability to provide the signal of OSL. According to these results it is possible to propose the diamond films as a good candidate for dosimetry to, using the traditional technique of Tl so much as well as the but recent of OSL. (Author)

  20. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    NARCIS (Netherlands)

    Buijnsters, J.G.; Shankar, P.; Enckevort, W.J.P. van; Schermer, J.J.; Meulen, J.J. ter

    2005-01-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0%

  1. Morphology of Diamond Layers Grown on Different Facets of Single Crystal Diamond Substrates by a Microwave Plasma CVD in CH4-H2-N2 Gas Mixtures

    Directory of Open Access Journals (Sweden)

    Evgeny E. Ashkinazi

    2017-06-01

    Full Text Available Epitaxial growth of diamond films on different facets of synthetic IIa-type single crystal (SC high-pressure high temperature (HPHT diamond substrate by a microwave plasma CVD in CH4-H2-N2 gas mixture with the high concentration (4% of nitrogen is studied. A beveled SC diamond embraced with low-index {100}, {110}, {111}, {211}, and {311} faces was used as the substrate. Only the {100} face is found to sustain homoepitaxial growth at the present experimental parameters, while nanocrystalline diamond (NCD films are produced on other planes. This observation is important for the choice of appropriate growth parameters, in particular, for the production of bi-layer or multilayer NCD-on-microcrystalline diamond (MCD superhard coatings on tools when the deposition of continuous conformal NCD film on all facet is required. The development of the film morphology with growth time is examined with SEM. The structure of hillocks, with or without polycrystalline aggregates, that appear on {100} face is analyzed, and the stress field (up to 0.4 GPa within the hillocks is evaluated based on high-resolution mapping of photoluminescence spectra of nitrogen-vacancy NV optical centers in the film.

  2. Effect of Nano-Ni Catalyst on the Growth and Characterization of Diamond Films by HFCVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2010-01-01

    Full Text Available Four different catalysts, nanodiamond seed, nano-Ni, diamond powder, and mixture of nano-Ni/diamond powder, were used to activate Si wafers for diamond film growth by hot-filament CVD (HFCVD. Diamond crystals were shown to grow directly on both large diamond powder and small nanodiamond seed, but a better crystallinity of diamond film was observed on the ultrasonicated nanodiamond seeded Si substrate. On the other hand, nano-Ni nanocatalysts seem to promote the formation of amorphous carbon but suppress transpolyacetylene (t-PA phases at the initial growth of diamond films. The subsequent nucleation and growth of diamond crystals on the amorphous carbon layer leads to generation of the spherical diamond particles and clusters prior to coalescence into continuous diamond films based on the CH3 addition mechanism as characterized by XRD, Raman, ATR/FT-IR, XPS, TEM, SEM, and AFM techniques. Moreover, a 36% reduction in surface roughness of diamond film assisted by nano-Ni catalyst is quite significant.

  3. Nucleation and adhesion of diamond films on Co cemented tungsten carbide

    Energy Technology Data Exchange (ETDEWEB)

    Polini, R.; Santarelli, M.; Traversa, E.

    1999-12-01

    Diamond deposits were grown using hot filament chemical vapor deposition (CVD) on pretreated Co cemented tungsten carbide (WC-Co) substrates with an average grain size of 6 {micro}m. Depositions were performed with 0.5 or 1.0% methane concentration and with substrate temperatures ranging from 750 to 1,000 C. Diamond nucleation densities were measured by scanning electron microscopy. Scratched and bias-enhanced nucleation pretreated substrates showed the larger nucleation densities. Etching of the WC performed by Murakami's reagent, followed by surface-Co dissolution (MP pretreatment), led to a roughened but scarcely nucleating surface. The performance of a scratching prior to the MP pretreatment allowed one to increase the nucleation density, due scratching-induced defects, confined in the outermost layer of WC grains, which act as nucleation sites. Smaller nucleation densities were observed with increasing the substrate temperature and reducing the methane concentration, confirming that diamond nucleates via a heterogeneous process. The adhesion of continuous films was evaluated by the reciprocal of the slope of crack radius-indentation load functions. The substrate pretreatments mainly affected the film adhesion, while the influence of CVD process conditions was minor. The two main factors that improve the diamond film adhesion are the coating-substrate contact area and the surface-Co removal.

  4. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  5. Crystal growth of CVD diamond and some of its peculiarities

    CERN Document Server

    Piekarczyk, W

    1999-01-01

    Experiments demonstrate that CVD diamond can form in gas environments that are carbon undersaturated with respect to diamond. This fact is, among others, the most serious violation of principles of chemical thermodynamics. In this $9 paper it is shown that none of the principles is broken when CVD diamond formation is considered not a physical process consisting in growth of crystals but a chemical process consisting in accretion of macro-molecules of polycyclic $9 saturated hydrocarbons belonging to the family of organic compounds the smallest representatives of which are adamantane, diamantane, triamantane and so forth. Since the polymantane macro-molecules are in every respect identical with $9 diamond single crystals with hydrogen-terminated surfaces, the accretion of polymantane macro- molecules is a process completely equivalent to the growth of diamond crystals. However, the accretion of macro-molecules must be $9 described in a way different from that used to describe the growth of crystals because so...

  6. Natural and CVD type diamond detectors as dosimeters in hadrontherapy applications

    International Nuclear Information System (INIS)

    Cirrone, G.A.P.; Cuttone, G.; Rafaele, L.; Sabini, M.G.; De Angelis, C.; Onori, S.; Pacilio, M.; Bucciolini, M.; Bruzzi, M.; Sciortino, S.

    2003-01-01

    Diamond is potentially a suitable material for use as radiation dosimeter; the wide band gap results in low dark currents and low sensitivity to visible light, the high carrier mobility can give rapid response, the very high density of strong bonds in the crystal structure make diamond very resistant to radiation damage; moreover it is tissue equivalent. The more recent advances in the synthesis of polycrystalline diamond by chemical vapour deposition (CVD) techniques have allowed the synthesis of material with electronic properties suitable for dosimetric application. In this paper we will report the results obtained in the study of the response of a natural diamond dosimeter and a CVD one irradiated with 62 AMeV proton beams to demonstrate their possible application in protontherapy

  7. Stress analysis of CVD diamond window for ECH system

    International Nuclear Information System (INIS)

    Takahashi, Koji

    2001-03-01

    The stress analysis of a chemical vapor deposition (CVD) diamond window for Electron Cyclotron Heating and Current Drive (ECH/ECCD) system of fusion reactors is described. It was found that the real size diamond window (φ aper =70mm, t=2.25mm) withstood 14.5 atm. (1.45 MPa). The calculation results of the diamond window by ABAQUS code agree well with the results of the pressure test. The design parameters of the torus diamond window for a vacuum and a safety barrier were also obtained. (author)

  8. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  9. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  10. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  11. Optical and mechanical properties of diamond like carbon films ...

    Indian Academy of Sciences (India)

    Diamond like carbon (DLC) films were deposited on Si (111) substrates by microwave electron cyclotron resonance (ECR) plasma chemical vapour deposition (CVD) process using plasma of argon and methane gases. During deposition, a d.c. self-bias was applied to the substrates by application of 13.56 MHz rf power.

  12. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  13. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  14. Proton Irradiation of CVD Diamond Detectors for High Luminosity Experiments at the LHC

    CERN Document Server

    Meier, D; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jany, C; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Marshall, R D; Mishina, M; Le Normand, F; Pan, L S; Palmieri, V G; Pernegger, H; Pernicka, Manfred; Peitz, A; Pirollo, S; Pretzl, Klaus P; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zöller, M

    1999-01-01

    CVD diamond shows promising properties for use as a position sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardn ess of diamond we exposed CVD diamond detector samples to 24~GeV/$c$ and 500~MeV protons up to a fluence of $5\\times 10^{15}~p/{\\rm cm^2}$. We measured the charge collection distance, the ave rage distance electron hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to $1\\ times 10^{15}~p/{\\rm cm^2}$ and decreases by $\\approx$40~\\% at $5\\times 10^{15}~p/{\\rm cm^2}$. Leakage currents of diamond samples were below 1~pA before and after irradiation. The particle indu ced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage curren t. We conclude that CVD diamond detectors are radia...

  15. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A.A.; Gonon, P.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  16. Ion beam induced luminescence characterisation of CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A A; Gonon, P; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The characterisation of the band structure properties of materials and devices by ion microprobe techniques has been made possible at the Melbourne MeV ion microprobe facility with the development of Ion Beam Induced Luminescence (IBIL). A number of diamond films grown by Microwave Plasma Chemical Vapour Deposition (MPCVD) on silicon substrates are analysed. A preliminary study of the luminescence properties of these samples has revealed information not previously obtainable via traditional microprobe techniques. The optical effects of incorporating dopants during the deposition process is determined using IBIL. The presence of trace element impurities introduced during growth is examined by Particle Induced X-ray Emission (PIXE), and a measurement of the film thickness is made using Rutherford Backscattering Spectrometry (RBS). 7 refs., 2 figs.

  17. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  18. Thermoluminescence properties of undoped and nitrogen-doped CVD diamond exposed to gamma radiation

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Pedroza-Montero, M.; Favalli, A.

    2008-01-01

    It is known that the thermoluminescence (TL) performance of CVD diamond depends on the impurity concentration and doping materials introduced during growing. We report on the TL properties of undoped and 750 ppm nitrogen-doped CVD diamond grown on (0 0 1) silicon substrate. The samples were exposed to gamma radiation from a Gammacell 200 Nordion irradiator in the 10-500 Gy dose range at 627 mGy/min dose rate. The nitrogen-doped CVD diamond sample exhibited a TL glow curve peaked around 537 K and a small shoulder about 411 K and a linear dose behavior in the 10-60 Gy dose range. In contrast, the undoped specimen showed a 591 K peaked TL glow curve and linear dose response for 10-100 Gy doses. However, both samples displayed a non-linear dose response for doses higher than 100 Gy. The doping effects seem to cause a higher TL efficiency, which may be attributed to the differences in the diamond bonding and amorphous carbon on the CVD samples as well as to the presence of nitrogen. In addition, the nitrogen content may produce some structural and morphological surface effects, which may account for the distinctive TL features and dose response of the diamond samples

  19. CVD diamond detectors for ionizing radiation

    Science.gov (United States)

    Friedl, M.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-10-01

    In future HEP accelerators, such as the LHC (CERN), detectors and electronics in the vertex region of the experiments will suffer from extreme radiation. Thus radiation hardness is required for both detectors and electronics to survive in this harsh environment. CVD diamond, which is investigated by the RD42 Collaboration at CERN, can meet these requirements. Samples of up to 2×4 cm2 have been grown and refined for better charge collection properties, which are measured with a β source or in a testbeam. A large number of diamond samples has been irradiated with hadrons to fluences of up to 5×10 15 cm-2 to study the effects of radiation. Both strip and pixel detectors were prepared in various geometries. Samples with strip metallization have been tested with both slow and fast readout electronics, and the first diamond pixel detector proved fully functional with LHC electronics.

  20. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  1. Toward deep blue nano hope diamonds: heavily boron-doped diamond nanoparticles.

    Science.gov (United States)

    Heyer, Steffen; Janssen, Wiebke; Turner, Stuart; Lu, Ying-Gang; Yeap, Weng Siang; Verbeeck, Jo; Haenen, Ken; Krueger, Anke

    2014-06-24

    The production of boron-doped diamond nanoparticles enables the application of this material for a broad range of fields, such as electrochemistry, thermal management, and fundamental superconductivity research. Here we present the production of highly boron-doped diamond nanoparticles using boron-doped CVD diamond films as a starting material. In a multistep milling process followed by purification and surface oxidation we obtained diamond nanoparticles of 10-60 nm with a boron content of approximately 2.3 × 10(21) cm(-3). Aberration-corrected HRTEM reveals the presence of defects within individual diamond grains, as well as a very thin nondiamond carbon layer at the particle surface. The boron K-edge electron energy-loss near-edge fine structure demonstrates that the B atoms are tetrahedrally embedded into the diamond lattice. The boron-doped diamond nanoparticles have been used to nucleate growth of a boron-doped diamond film by CVD that does not contain an insulating seeding layer.

  2. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    Science.gov (United States)

    Meier, D.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Manfredi, P. F.; Marshall, R. D.; Mishina, M.; Le Normand, F.; Pan, L. S.; Palmieri, V. G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-04-01

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/ c and 500 Mev protons up to a fluence of 5×10 15 p/cm 2. We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1×10 15 p/cm 2 and decreases by ≈40% at 5×10 15 p/cm 2. Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/ c and 500 MeV protons up to at least 1×10 15p/cm 2 without signal loss.

  3. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    International Nuclear Information System (INIS)

    Meier, D.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Manfredi, P.F.; Marshall, R.D.; Mishina, M.; Le Normand, F.; Pan, L.S.; Palmieri, V.G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.

    1999-01-01

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/c and 500 Mev protons up to a fluence of 5x10 15 p/cm 2 . We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1x10 15 p/cm 2 and decreases by ∼40% at 5x10 15 p/cm 2 . Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/c and 500 MeV protons up to at least 1x10 15 p/cm 2 without signal loss

  4. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  5. Radiation tolerance of CVD diamond detectors for pions and protons

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F. E-mail: f.hartjes@nikhef.nl; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2002-01-11

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/c pions and 24 GeV/c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  6. Radiation tolerance of CVD diamond detectors for pions and protons

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2002-01-01

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/ c pions and 24 GeV/ c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  7. Radiation tolerance of CVD diamond detectors for pions and protons

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2002-01-01

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/c pions and 24 GeV/c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal

  8. CVD diamond detectors for ionizing radiation

    CERN Document Server

    Friedl, M; Bauer, C; Berfermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernegger, H; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zöller, M

    1999-01-01

    In future HEP accelerators, such as the LHC (CERN), detectors and electronics in the vertex region of the experiments will suffer from extreme radiation. Thus radiation hardness is required for both detectors and electronics to survive in this harsh environment. CVD diamond, which is investigated by the RD42 Collaboration at CERN, can meet these requirements. Samples of up to 2*4 cm/sup 2/ have been grown and refined for better charge collection properties, which are measured with a beta source or in a test beam. A large number of diamond samples has been irradiated with hadrons to fluences of up to 5*10/sup 15/ cm/sup -2/ to study the effects of radiation. Both strip and pixel detectors were prepared in various geometries. Samples with strip metallization have been tested with both slow and fast readout electronics, and the first diamond pixel detector proved fully functional with LHC electronics. (16 refs).

  9. CVD diamond detectors for ionizing radiation

    Energy Technology Data Exchange (ETDEWEB)

    Friedl, M. E-mail: markus.friedl@cern.ch; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M

    1999-10-01

    In future HEP accelerators, such as the LHC (CERN), detectors and electronics in the vertex region of the experiments will suffer from extreme radiation. Thus radiation hardness is required for both detectors and electronics to survive in this harsh environment. CVD diamond, which is investigated by the RD42 Collaboration at CERN, can meet these requirements. Samples of up to 2x4 cm{sup 2} have been grown and refined for better charge collection properties, which are measured with a {beta} source or in a test beam. A large number of diamond samples has been irradiated with hadrons to fluences of up to 5x10{sup 15} cm{sup -2} to study the effects of radiation. Both strip and pixel detectors were prepared in various geometries. Samples with strip metallization have been tested with both slow and fast readout electronics, and the first diamond pixel detector proved fully functional with LHC electronics. (author)

  10. Simulation of a perfect CVD diamond Schottky diode steep forward current–voltage characteristic

    Energy Technology Data Exchange (ETDEWEB)

    Kukushkin, V.A., E-mail: vakuk@appl.sci-nnov.ru [Institute of Applied Physics of the Russian Academy of Science, 46 Ulyanov St., 603950 Nizhny Novgorod (Russian Federation); Nizhny Novgorod State University named after N.I. Lobachevsky, 23 Gagarin pr., 603950 Nizhny Novgorod (Russian Federation)

    2016-10-01

    The kinetic equation approach to the simulation of the perfect CVD diamond Schottky diode current–voltage characteristic is considered. In result it is shown that the latter has a significantly steeper forward branch than that of perfect devices of such a type on usual semiconductors. It means that CVD diamond-based Schottky diodes have an important potential advantage over analogous devices on conventional materials.

  11. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    Energy Technology Data Exchange (ETDEWEB)

    Buijnsters, J.G. [Applied Physics, IMM, Department of Applied Physics, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Shankar, P. [Metallurgy and Materials Group, Indira Gandhi Centre for Atomic Research, Kalpakkam-603 102 (India); Enckevort, W.J.P. van [Solid State Chemistry, IMM, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Schermer, J.J. [Experimental Solid State Physics III, IMM, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Meulen, J.J. ter [Applied Physics, IMM, Department of Applied Physics, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands)]. E-mail: htmeulen@sci.kun.nl

    2005-03-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0% range lead to a transition from micro- towards nanocrystalline films. X-ray diffraction analysis shows Mo{sub 2}C interlayer formation. Indentation, scratch and sand erosion tests are used to evaluate the adhesion strength of the diamond films. Using steel ball indenters (diameter 750 {mu}m), indentation and scratch adhesion tests are performed up to final loads of 200 N. Upon indentation, the load values at which diamond film failure such as flaking and detachment is first observed, increase for increasing temperatures in the deposition temperature range of 450-850 deg C. The scratch adhesion tests show critical load values in the range of 16-40 N normal load for films grown for 4 h. In contrast, diamond films grown for 24 h at a methane concentration of 0.5% do not show any failure at all upon scratching up to 75 N. Film failure upon indenting and scratching is also found to decrease for increasing methane concentration in the CVD gas mixture. The sand abrasion tests show significant differences in coating failure for films grown at varying CH{sub 4}/H{sub 2} ratios. In contrast to the other tests, here best coating performance is observed for the films deposited with a methane concentration of 4%.

  12. Hydrogen doped thin film diamond. Properties and application for electronic devices

    International Nuclear Information System (INIS)

    Looi, H.J.

    2000-01-01

    The face centered cubic allotrope of carbon, diamond, is a semiconducting material which possesses a valuable combination of extreme properties such as super-hardness, highest thermal conductivity, chemical hardness, radiation hardness, wide bandgap and others. Advances in chemical vapour deposition (CVD) technology have lead to diamond becoming available in previously unattainable forms for example over large areas and with controllable purity. This has generated much research interest towards developing the knowledge and processing technology that would be necessary to fully exploit these extreme properties. Electronic devices fabricated on oxidised boron doped polycrystalline CVD diamond (PCD) displayed very poor and inconsistent characteristic. As a result, many electronic applications of polycrystalline diamond films were confined to ultra-violet (UV) and other forms of device which relied on the high intrinsic resistivity on undoped diamond films. If commercially accessible PCD films are to advance in areas which involve sophisticated electronic applications or to compete with existing semiconductors, the need for a more reliable and fully ionised dopant is paramount. This thesis describes a unique dopant discovered within the growth surface of PCD films. This dopant is related to hydrogen which arises during the growth of diamond films. The aim of this study is to characterise and identify possible applications for this form of dopant. The mechanism for carrier generation remains unknown and based on the experimental results in this work, a model is proposed. The Hall measurements conducted on this conductive layer revealed a p-type nature with promising properties for electronic device application. A more detail study based on electrical and surface science methods were carried out to identify the stability and operating conditions for this dopant. The properties of metal-semiconductor contacts on these surfaces were investigated. The fundamental knowledge

  13. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  14. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    Energy Technology Data Exchange (ETDEWEB)

    Meier, D. E-mail: dirk.meier@cern.ch.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Manfredi, P.F.; Marshall, R.D.; Mishina, M.; Le Normand, F.; Pan, L.S.; Palmieri, V.G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M

    1999-04-21

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/c and 500 Mev protons up to a fluence of 5x10{sup 15} p/cm{sup 2}. We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1x10{sup 15} p/cm{sup 2} and decreases by {approx}40% at 5x10{sup 15} p/cm{sup 2}. Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/c and 500 MeV protons up to at least 1x10{sup 15}p/cm{sup 2} without signal loss.

  15. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  16. Polycrystalline CVD diamond device level modeling for particle detection applications

    Science.gov (United States)

    Morozzi, A.; Passeri, D.; Kanxheri, K.; Servoli, L.; Lagomarsino, S.; Sciortino, S.

    2016-12-01

    Diamond is a promising material whose excellent physical properties foster its use for radiation detection applications, in particular in those hostile operating environments where the silicon-based detectors behavior is limited due to the high radiation fluence. Within this framework, the application of Technology Computer Aided Design (TCAD) simulation tools is highly envisaged for the study, the optimization and the predictive analysis of sensing devices. Since the novelty of using diamond in electronics, this material is not included in the library of commercial, state-of-the-art TCAD software tools. In this work, we propose the development, the application and the validation of numerical models to simulate the electrical behavior of polycrystalline (pc)CVD diamond conceived for diamond sensors for particle detection. The model focuses on the characterization of a physically-based pcCVD diamond bandgap taking into account deep-level defects acting as recombination centers and/or trap states. While a definite picture of the polycrystalline diamond band-gap is still debated, the effect of the main parameters (e.g. trap densities, capture cross-sections, etc.) can be deeply investigated thanks to the simulated approach. The charge collection efficiency due to β -particle irradiation of diamond materials provided by different vendors and with different electrode configurations has been selected as figure of merit for the model validation. The good agreement between measurements and simulation findings, keeping the traps density as the only one fitting parameter, assesses the suitability of the TCAD modeling approach as a predictive tool for the design and the optimization of diamond-based radiation detectors.

  17. Polycrystalline CVD diamond device level modeling for particle detection applications

    International Nuclear Information System (INIS)

    Morozzi, A.; Passeri, D.; Kanxheri, K.; Servoli, L.; Lagomarsino, S.; Sciortino, S.

    2016-01-01

    Diamond is a promising material whose excellent physical properties foster its use for radiation detection applications, in particular in those hostile operating environments where the silicon-based detectors behavior is limited due to the high radiation fluence. Within this framework, the application of Technology Computer Aided Design (TCAD) simulation tools is highly envisaged for the study, the optimization and the predictive analysis of sensing devices. Since the novelty of using diamond in electronics, this material is not included in the library of commercial, state-of-the-art TCAD software tools. In this work, we propose the development, the application and the validation of numerical models to simulate the electrical behavior of polycrystalline (pc)CVD diamond conceived for diamond sensors for particle detection. The model focuses on the characterization of a physically-based pcCVD diamond bandgap taking into account deep-level defects acting as recombination centers and/or trap states. While a definite picture of the polycrystalline diamond band-gap is still debated, the effect of the main parameters (e.g. trap densities, capture cross-sections, etc.) can be deeply investigated thanks to the simulated approach. The charge collection efficiency due to β -particle irradiation of diamond materials provided by different vendors and with different electrode configurations has been selected as figure of merit for the model validation. The good agreement between measurements and simulation findings, keeping the traps density as the only one fitting parameter, assesses the suitability of the TCAD modeling approach as a predictive tool for the design and the optimization of diamond-based radiation detectors.

  18. Studies of mono-crystalline CVD diamond pixel detectors

    CERN Document Server

    Bartz, E; Atramentov, O; Yang, Z; Hall-Wilton, R; Schnetzer, S; Patel, R; Bugg, W; Hebda, P; Halyo, V; Hunt, A; Marlow, D; Steininger, H; Ryjov, V; Hits, D; Spanier, S; Pernicka, M; Johns, W; Doroshenko, J; Hollingsworth, M; Harrop, B; Farrow, C; Stone, R

    2011-01-01

    The Pixel Luminosity Telescope (PLT) is a dedicated luminosity monitor, presently under construction, for the Compact Muon Solenoid (CMS) experiment at the Large Hadron Collider (LHC). It measures the particle flux in several three layered pixel diamond detectors that are aligned precisely with respect to each other and the beam direction. At a lower rate it also performs particle track position measurements. The PLTs mono-crystalline CVD diamonds are bump-bonded to the same readout chip used in the silicon pixel system in CMS. Mono-crystalline diamond detectors have many attributes that make them desirable for use in charged particle tracking in radiation hostile environments such as the LHC. In order to further characterize the applicability of diamond technology to charged particle tracking we performed several tests with particle beams that included a measurement of the intrinsic spatial resolution with a high resolution beam telescope. Published by Elsevier B.V.

  19. Studies of mono-crystalline CVD diamond pixel detectors

    Energy Technology Data Exchange (ETDEWEB)

    Bugg, W. [University of Tennessee, Knoxville (United States); Hollingsworth, M., E-mail: mhollin3@utk.edu [University of Tennessee, Knoxville (United States); Spanier, S.; Yang, Z. [University of Tennessee, Knoxville (United States); Bartz, E.; Doroshenko, J.; Hits, D.; Schnetzer, S.; Stone, R.; Atramentov, O.; Patel, R.; Barker, A. [Rutgers University, Piscataway (United States); Hall-Wilton, R.; Ryjov, V.; Farrow, C. [CERN, Geneva (Switzerland); Pernicka, M.; Steininger, H. [HEPHY, Vienna (Austria); Johns, W. [Vanderbilt University, Nashville (United States); Halyo, V.; Harrop, B. [Princeton University, Princeton (United States); and others

    2011-09-11

    The Pixel Luminosity Telescope (PLT) is a dedicated luminosity monitor, presently under construction, for the Compact Muon Solenoid (CMS) experiment at the Large Hadron Collider (LHC). It measures the particle flux in several three layered pixel diamond detectors that are aligned precisely with respect to each other and the beam direction. At a lower rate it also performs particle track position measurements. The PLT's mono-crystalline CVD diamonds are bump-bonded to the same readout chip used in the silicon pixel system in CMS. Mono-crystalline diamond detectors have many attributes that make them desirable for use in charged particle tracking in radiation hostile environments such as the LHC. In order to further characterize the applicability of diamond technology to charged particle tracking we performed several tests with particle beams that included a measurement of the intrinsic spatial resolution with a high resolution beam telescope.

  20. A CVD diamond detector for (n,α) cross-section measurements

    International Nuclear Information System (INIS)

    Weiss, C.

    2014-01-01

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,α) cross-sections at the neutron time-of-flight facility n⎽TOF at CERN. The 59 Ni(n,α) 56 Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,α) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n⎽TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the thesis. The second part is dedicated to the design and production of the Diamond Mosaic-Detector (DM-D) and its characterization. The 59 Ni(n,α) 56 Fe cross-section measurement at n⎽TOF and the data analysis are discussed in detail in the third part of the thesis, before the summary of the thesis and an outlook to possible future developments and applications conclude the thesis in the forth part. In this work, the Diamond Mosaic-Detector, which consist of eight single-crystal (sCVD) diamond sensors and one 'Diamond on Iridium' (DOI) sensor has proven to be well suited for (n,α) cross-section measurements for 1 MeV < E α < 22 MeV. The upper limit is given by the thickness of the sensors, d = 150 μm, while the lower limit is dictated by background induced by neutron capture reactions in in-beam materials. The cross-section measurement was focussed on the resonance integral of 59 Ni(n,α) 56 Fe at E n = 203 eV, with the aim of clarifying

  1. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  2. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  3. Diamond and Diamond-Like Materials as Hydrogen Isotope Barriers

    International Nuclear Information System (INIS)

    Foreman, L.R.; Barbero, R.S.; Carroll, D.W.; Archuleta, T.; Baker, J.; Devlin, D.; Duke, J.; Loemier, D.; Trukla, M.

    1999-01-01

    This is the final report of a two-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The purpose of this project was to develop diamond and diamond-like thin-films as hydrogen isotope permeation barriers. Hydrogen embrittlement limits the life of boost systems which otherwise might be increased to 25 years with a successful non-reactive barrier. Applications in tritium processing such as bottle filling processes, tritium recovery processes, and target filling processes could benefit from an effective barrier. Diamond-like films used for low permeability shells for ICF and HEDP targets were also investigated. Unacceptable high permeabilities for hydrogen were obtained for plasma-CVD diamond-like-carbon films

  4. Pulse height distribution and radiation tolerance of CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dangelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F. E-mail: f.hartjes@nikhef.nl; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; D.Tromson,; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Zoeller, M.; Fenyvesi, A.; Molnar, J.; Sohler, D

    2000-06-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  5. Pulse height distribution and radiation tolerance of CVD diamond detectors

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dangelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; D.Tromson,; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Zoeller, M.; Fenyvesi, A.; Molnar, J.; Sohler, D.

    2000-01-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal

  6. Pulse height distribution and radiation tolerance of CVD diamond detectors

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Zeuner, W; Zöller, M; Fenyvesi, A; Molnár, J; Sohler, D

    2000-01-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal. (11 refs).

  7. Micro-Raman Analysis of Irradiated Diamond Films

    Science.gov (United States)

    Newton, Robert L.

    2003-01-01

    Owing to its unique and robust physical properties, diamond is a much sought after material for use in advanced technologies, even in Microelectromechanical Systems (MEMS). The volume and weight savings promised by MEMS-based devices are of particular interest to spaceflight applications. However, much basic materials science research remains to be completed in this field. Results of micro-Raman analysis of proton (10(exp 15) - 10(exp 17) H(+)/sq cm doses) irradiated chemical vapor deposited (CVD) films are presented and indicate that their microstructure is retained even after high radiation exposure.

  8. Bone repair after osteotomy with diamond burs and CVD ultrasonic tips – histological study in rats

    OpenAIRE

    Matuda, Fábio S.; Pagani, Clovis; Miranda, Carolina B.; Crema, Aline A. S.; Brentel, Aline S.; Carvalho, Yasmin R.

    2010-01-01

    This study histologically evaluated the behavior of bone tissue of rats submitted to osteotomy with conventional diamond burs in high speed and a new ultrasonic diamond tips system (CVD – Chemical Vapor Deposition), at different study periods. The study was conducted on 24 Wistar rats. Osteotomy was performed on the posterior paws of each rat, with utilization of diamond burs in high speed under thorough water cooling at the right paw, and CVD tips at the left paw. Animals were killed a...

  9. Ultrathin Nanocrystalline Diamond Films with Silicon Vacancy Color Centers via Seeding by 2 nm Detonation Nanodiamonds.

    Science.gov (United States)

    Stehlik, Stepan; Varga, Marian; Stenclova, Pavla; Ondic, Lukas; Ledinsky, Martin; Pangrac, Jiri; Vanek, Ondrej; Lipov, Jan; Kromka, Alexander; Rezek, Bohuslav

    2017-11-08

    Color centers in diamonds have shown excellent potential for applications in quantum information processing, photonics, and biology. Here we report chemical vapor deposition (CVD) growth of nanocrystalline diamond (NCD) films as thin as 5-6 nm with photoluminescence (PL) from silicon-vacancy (SiV) centers at 739 nm. Instead of conventional 4-6 nm detonation nanodiamonds (DNDs), we prepared and employed hydrogenated 2 nm DNDs (zeta potential = +36 mV) to form extremely dense (∼1.3 × 10 13 cm -2 ), thin (2 ± 1 nm), and smooth (RMS roughness < 0.8 nm) nucleation layers on an Si/SiO x substrate, which enabled the CVD growth of such ultrathin NCD films in two different and complementary microwave (MW) CVD systems: (i) focused MW plasma with an ellipsoidal cavity resonator and (ii) pulsed MW plasma with a linear antenna arrangement. Analytical ultracentrifuge, infrared and Raman spectroscopies, atomic force microscopy, and scanning electron microscopy are used for detailed characterization of the 2 nm H-DNDs and the nucleation layer as well as the ultrathin NCD films. We also demonstrate on/off switching of the SiV center PL in the NCD films thinner than 10 nm, which is achieved by changing their surface chemistry.

  10. Microstructure and mechanical properties of diamond films on titanium-aluminum-vanadium alloy

    Science.gov (United States)

    Catledge, Shane Aaron

    hardness, wear resistance, residual stress, and elastic modulus of the film. The mechanical properties of the diamond coatings were characterized by indentation and wear testing instruments. Finally, we developed a model based on fundamental thermodynamic and optical principles for extracting the time dependence of film thickness and surface roughness using optical pyrometry for the case of an absorbing substrate. This model provides a convenient way to determine film thickness during growth in CVD systems as well as a reliable estimate of surface roughness.

  11. Investigation of the nucleation process of chemical vapour deposited diamond films

    International Nuclear Information System (INIS)

    Katai, S.

    2001-01-01

    The primary aim of this work was to contribute to the understanding of the bias enhanced nucleation (BEN) process during the chemical vapour deposition (CVD) of diamond on silicon. The investigation of both the gas phase environment above the substrate surface, by in situ mass selective energy analysis of ions, and of the surface composition and structure by in vacuo surface analytic methods (XPS, EELS) have been carried out. In both cases, the implementation of these measurements required the development and construction of special experimental apparatus as well. The secondary aim of this work was to give orientation to our long term goal of growing diamond films with improved quality. For this reason, (1) contaminant levels at the diamond-silicon interface after growth were studied by SIMS, (2) the internal stress distribution of highly oriented free-standing diamond films were studied by Raman spectroscopy, and (3) an attempt was made to produce spatially regular oriented nuclei formation by nucleating on a pattern created by laser treatment on silicon substrates. (orig.)

  12. Neutron detection at jet using artificial diamond detectors

    International Nuclear Information System (INIS)

    Pillon, M.; Angelone, M.; Lattanzi, D.; Marinelli, M.; Milani, E.; Tucciarone, A.; Verona-Rinati, G.; Popovichev, S.; Montereali, R.M.; Vincenti, M.A.; Murari, A.

    2007-01-01

    Artificial diamond neutron detectors recently proved to be promising devices to measure the neutron production on large experimental fusion machines. Diamond detectors are very promising detectors to be used in fusion environment due to their radiation hardness, low sensitivity to gamma rays, fast response and high energy resolution. High quality 'electronic grade' diamond films are produced through microwave chemical vapour deposition (CVD) technique. Two CVD diamond detectors have been installed and operated at joint European torus (JET), Culham Science Centre, UK. One of these detectors was a polycrystalline CVD diamond film; about 12 mm 2 area and 30 μm thickness while the second was a monocrystalline film of about 5 mm 2 area and 20 μm thick. Both diamonds were covered with 2 μm of lithium fluoride (LiF) 95% enriched in 6 Li. The LiF layer works as a neutron-to-charged particle converter so these detectors can measure thermalized neutrons. Their output signals were compared to JET total neutron yield monitors (KN1 diagnostic) realized with a set of uranium fission chambers. Despite their small active volumes the diamond detectors were able to measure total neutron yields with good reliability and stability during the recent JET experimental campaign of 2006

  13. CVD diamond Brewster window: feasibility study by FEM analyses

    Directory of Open Access Journals (Sweden)

    Vaccaro A.

    2012-09-01

    Full Text Available Chemical vapor deposition (CVD diamond windows are a crucial component in heating and current drive (H&CD applications. In order to minimize the amount of reflected power from the diamond disc, its thickness must match the desired beam wavelength, thus proper targeting of the plasma requires movable beam reflectors. This is the case, for instance, of the ITER electron cyclotron H&CD system. However, looking at DEMO, the higher heat loads and neutron fluxes could make the use of movable parts close to the plasma difficult. The issue might be solved by using gyrotrons able to tune the beam frequency to the desired resonance, but this concept requires transmission windows that work in a given frequency range, such as the Brewster window. It consists of a CVD diamond disc brazed to two copper cuffs at the Brewster angle. The brazing process is carried out at about 800°C and then the temperature is decreased down to room temperature. Diamond and copper have very different thermal expansion coefficients, therefore high stresses build up during the cool down phase that might lead to failure of the disc. Considering also the complex geometry of the window with the skewed position of the disc, analyses are required in the first place to check its feasibility. The cool down phase was simulated by FEM structural analyses for several geometric and constraint configurations of the window. A study of indirect cooling of the window by water was also performed considering a HE11 mode beam. The results are here reported.

  14. CVD diamond coatings on titanium : Characterisation by XRD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Cappuccio, G [CNR, Frascati, Rome (Italy). Istituto di Strutturistica Chimica; [INFN-LNF, Frascati, Rome (Italy). Laboratorio Dafne Luce

    1996-09-01

    Here, the authors report an analysis carried out on diamond coatings on titanium substrates to show the potentially of x-ray diffraction techniques in the structural characterisation both of diamond thin films and of the other phases (TiC and TiH{sub 2}) present in the interfacial layer. It should be noted that the composition and microstructure of the interface layers strongly affect the characteristics of the diamond films, particularly adhesion, which is one of the most important elements determining the final quality of the coating.

  15. Study of the triton-burnup process in different JET scenarios using neutron monitor based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Nemtsev, G., E-mail: g.nemtsev@iterrf.ru; Amosov, V.; Meshchaninov, S.; Rodionov, R. [Institution “Project center ITER,” Moscow (Russian Federation); Popovichev, S. [CCFE, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Collaboration: EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom)

    2016-11-15

    We present the results of analysis of triton burn-up process using the data from diamond detector. Neutron monitor based on CVD diamond was installed in JET torus hall close to the plasma center. We measure the part of 14 MeV neutrons in scenarios where plasma current varies in a range of 1-3 MA. In this experiment diamond neutron monitor was also able to detect strong gamma bursts produced by runaway electrons arising during the disruptions. We can conclude that CVD diamond detector will contribute to the study of fast particles confinement and help predict the disruption events in future tokamaks.

  16. Improvement on p-type CVD diamond semiconducting properties by fabricating thin heavily-boron-doped multi-layer clusters isolated each other in unintentionally boron-doped diamond layer

    Science.gov (United States)

    Maida, Osamu; Tabuchi, Tomohiro; Ito, Toshimichi

    2017-12-01

    We have developed a new fabrication process to decrease the effective activation energy of B atoms doped in diamond without a significant decrease in the carrier mobility by fabricating heavily B-doped clusters with very low mobility which are embedded in lightly-B-doped diamond layers. The resistivities of the heavily B-doped and unintentionally B-doped diamond stacked layers had almost no temperature dependence, suggesting the presence of an impurity-band conduction in these diamond layers. On the other hand, the resistivities of the samples after the embedding growth process of the stacked layers that had been appropriately divided to innumerable small clusters by means of a suitable etching process increased with decreasing the temperature from 330 to 130 K. The effective activation energies and Hall mobilities at room temperature of both samples were estimated to be 0.21 eV, 106 cm2 V-1 s-1 for micron-sized clusters and 0.23 eV, 470 cm2 V-1 s-1 for nano-sized clusters, respectively, indicating that the diamond film structure fabricated in this work is effective for the improvement of the p-type performance for the B-doped CVD diamond.

  17. A study of the thermoluminescent properties of CVD diamond detectors

    International Nuclear Information System (INIS)

    Marczewska, B.; Bilski, P.; Olko, P.; Rebisz, M.; Nesladek, M.; Waligorski, M.P.R.

    2002-01-01

    A batch of 20 diamond detectors obtained by the chemical vapour deposition (CVD) method at the Institute for Materials Research at the Limburg University, Belgium, was investigated with respect to their thermoluminescent (TL) properties. The investigated detectors demonstrate TL sensitivity similar to that of the standard LiF:Mg, Ti (MTS) thermoluminescent detectors, lack of fading after two weeks from irradiation and apparent linearity of dose response. In spite of the persistent fluctuation of individual detector sensitivity observed in this batch, a new annealing procedure improved the stability of the TL signal. It has been concluded that 1 h annealing at 350 C assures the highest reproducibility for this set of detectors. A 30% discrepancy of the value of the TL signal between individual detectors from the batch may be caused by non-uniform distribution of dopants in the volume of the CVD diamond. A prototype of a planar TL reader equipped with a CCD camera was employed in this investigation. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  18. CVD Diamond Detectors for Current Mode Neutron Time-of-Flight Spectroscopy at OMEGA/NIF

    International Nuclear Information System (INIS)

    G. J. Schmid; V. Yu. Glebov; A. V. Friensehner; D. R. Hargrove; S. P. Hatchett; N. Izumi; R. A. Lerche; T. W. Phillips; T. C. Sangster; C. Silbernagel; C. Stoecki

    2001-01-01

    We have performed pulsed neutron and pulsed laser tests of a CVD diamond detector manufactured from DIAFILM, a commercial grade of CVD diamond. The laser tests were performed at the short pulse UV laser at Bechtel Nevada in Livermore, CA. The pulsed neutrons were provided by DT capsule implosions at the OMEGA laser fusion facility in Rochester, NY. From these tests, we have determined the impulse response to be 250 ps fwhm for an applied E-field of 500 V/mm. Additionally, we have determined the sensitivity to be 2.4 mA/W at 500 V/mm and 4.0 mA/W at 1000 V/mm. These values are approximately 2 to 5x times higher than those reported for natural Type IIa diamond at similar E-field and thickness (1mm). These characteristics allow us to conceive of a neutron time-of-flight current mode spectrometer based on CVD diamond. Such an instrument would sit inside the laser fusion target chamber close to target chamber center (TCC), and would record neutron spectra fast enough such that backscattered neutrons and x-rays from the target chamber wall would not be a concern. The acquired neutron spectra could then be used to extract DD fuel areal density from the downscattered secondary to secondary ratio

  19. Single-layer nano-carbon film, diamond film, and diamond/nano-carbon composite film field emission performance comparison

    International Nuclear Information System (INIS)

    Wang, Xiaoping; Wang, Jinye; Wang, Lijun

    2016-01-01

    A series of single-layer nano-carbon (SNC) films, diamond films, and diamond/nano-carbon (D/NC) composite films have been prepared on the highly doped silicon substrate by using microwave plasma chemical vapor deposition techniques. The films were characterised by scanning electron microscopy, Raman spectroscopy, and field emission I-V measurements. The experimental results indicated that the field emission maximum current density of D/NC composite films is 11.8–17.8 times that of diamond films. And the field emission current density of D/NC composite films is 2.9–5 times that of SNC films at an electric field of 3.0 V/μm. At the same time, the D/NC composite film exhibits the advantage of improved reproducibility and long term stability (both of the nano-carbon film within the D/NC composite cathode and the SNC cathode were prepared under the same experimental conditions). And for the D/NC composite sample, a high current density of 10 mA/cm"2 at an electric field of 3.0 V/μm was obtained. Diamond layer can effectively improve the field emission characteristics of nano-carbon film. The reason may be due to the diamond film acts as the electron acceleration layer.

  20. Influence of the gas phase composition on nanocrystalline diamond films prepared by MWCVD

    Czech Academy of Sciences Publication Activity Database

    Popov, C.; Jelínek, Miroslav; Boycheva, S.; Vorlíček, Vladimír; Kulisch, W.

    2005-01-01

    Roč. 23, - (2005), s. 31-34 ISSN 1422-6375 R&D Projects: GA AV ČR(CZ) IAA1010110 Grant - others:European Community Marie Curie Fellowship(XE) HPMF-CT-2002-01713 Institutional research plan: CEZ:AV0Z1010914 Keywords : microwave plasma CVD * nanocrystalline diamond films * characterization Subject RIV: BL - Plasma and Gas Discharge Physics

  1. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  2. Thin diamond films for tribological applications

    International Nuclear Information System (INIS)

    Wong, M.S.; Meilunas, R.; Ong, T.P.; Chang, R.P.H.

    1989-01-01

    Diamond films have been deposited on Si, Mo and many other substrates by microwave and radio frequency plasma enhanced chemical vapor deposition. Although the adhesion between the diamond film and most of the metal substrates is poor due to residual thermal stress from the mismatch of thermal expansion coefficients, the authors have developed processes to promote the growth of uniform and continuous diamond films with enhanced adhesion to metal substrates for tribological applications. The tribological properties of these films are measured using a ring-on-block tribotester. The coefficients of friction of diamond films sliding against a 52100 steel ring under the same experimental conditions are found to be significantly different depending on the morphology, grain size and roughness of the diamond films. However, under all cases tested, it is found that for uniform and continuous diamond films with small grain size of 1-3 micrometers, the coefficient of friction of the diamond film sliding against a steel ring under lubrication of a jet of mineral oil is about 0.04

  3. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)

    2010-12-17

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.

  4. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    International Nuclear Information System (INIS)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung

    2010-01-01

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.

  5. CVD diamond sensor for UV-photon detection

    CERN Document Server

    Periale, L; Gervino, G; Lamarina, A M; Palmisano, C; Periale, R; Picchi, P

    2012-01-01

    A new generation of UV photosensors, based on single crystal Chemical Vapour Deposition (CVD) diamonds to work optically coupled with large volume two-phase liquid-Ar (LAr) or liquid-Xe (LXe) detectors nowadays under design for the next generation of WIMPs experiments, is under development. Preliminary tests and first calibrations show these devices can have better performance than the existing UV sensitive detectors (higher photosensitivity and better signal-to-noise ratio). I-V characteristics, dark current measurements, linearity response to X-ray irradiation, and alpha-particle energy resolution are reported and discussed. (C) 2011 Elsevier B.V. All rights reserved.

  6. Diamond films on stainless steel substrates with an interlayer applied by laser cladding

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Alves, Kenya Aparecida; Damm, Djoille Denner; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (LAS/INPE), Sao Jose dos Campos, SP (Brazil). Laboratorio Associado de Sensores e Materiais; Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Maraba, PA (Brazil); Vasconcelos, Getulio de [Instituto de Estudos Avancados (DedALO/IEAv), Sao Jose dos Campos, SP (Brazil). Laboratorio de Desenvolvimento de Aplicacoes de Lasers e Optica

    2017-03-15

    The objective of this work is the Hot Filament Chemical Vapor Deposition (HFCVD) of diamond films on stainless steel substrates using a new technique for intermediate barrier forming, made by laser cladding process. In this technique, a powder layer is irradiated by a laser beam to melt the powder layer and the substrate surface layer to create the interlayer. The control of the laser beam parameters allows creating homogeneous coating layers, in rather large area in few seconds. In this work, the silicon carbide powder (SiC) was used to create an intermediate layer. Before the diamond growth, the samples were subjected to the seeding process with diamond powder. The diamond deposition was performed using Hot-Filament CVD reactor and the characterizations were Scanning Electron Microscopy, X-ray diffraction, Raman Scattering Spectroscopy and Scratch Test. (author)

  7. The effect of ion-beam induced strain on the nucleation density of chemical vapour deposited diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1995-01-01

    The effect of ion implantation on the nucleation of CVD diamond on silicon and diamond substrates has been investigated. The strategy employed is to create laterally confined regions of strain in the substrates by focused MeV implantation of light ions. Raman Microscopy has been employed to obtain spatially resolved maps of the strain in these implanted regions. On diamond substrates a homo-epitaxial CVD diamond film was grown on top of both the implanted and unimplanted regions of the substrate. Raman analysis of the film grown on top of the implanted region revealed it to be under slightly tensile strain as compared to that grown on the unimplanted diamond substrate. The film deposited on the implanted portion of the diamond showed a lower fluorescence background; indicating a lower concentration of incorporated defects. These results suggest that the strain and defects in the diamond substrate material have an important influence on the quality of the homo-epitaxially grown diamond films. 6 refs., 5 figs

  8. A wear simulation study of nanostructured CVD diamond-on-diamond articulation involving concave/convex mating surfaces

    Science.gov (United States)

    Baker, Paul A.; Thompson, Raymond G.; Catledge, Shane A.

    2015-01-01

    Using microwave-plasma Chemical Vapor Deposition (CVD), a 3-micron thick nanostructured-diamond (NSD) layer was deposited onto polished, convex and concave components that were machined from Ti-6Al-4V alloy. These components had the same radius of curvature, 25.4mm. Wear testing of the surfaces was performed by rotating articulation of the diamond-deposited surfaces (diamond-on-diamond) with a load of 225N for a total of 5 million cycles in bovine serum resulting in polishing of the diamond surface and formation of very shallow, linear wear grooves of less than 50nm depth. The two diamond surfaces remained adhered to the components and polished each other to an average surface roughness that was reduced by as much as a factor of 80 for the most polished region located at the center of the condyle. Imaging of the surfaces showed that the initial wearing-in phase of diamond was only beginning at the end of the 5 million cycles. Atomic force microscopy, scanning electron microscopy, Raman spectroscopy, and surface profilometry were used to characterize the surfaces and verify that the diamond remained intact and uniform over the surface, thereby protecting the underlying metal. These wear simulation results show that diamond deposition on Ti alloy has potential application for joint replacement devices with improved longevity over existing devices made of cobalt chrome and ultra-high molecular weight polyethylene (UHMWPE). PMID:26989457

  9. Structural and functional characterization of HPHT diamond crystals used in photoconductive devices

    Energy Technology Data Exchange (ETDEWEB)

    Pace, E.; Pini, A. [Florence Univ. (Italy). Ist. di Astronomia; Vinattieri, A.; Bogani, F.; Santoro, M.; Messina, G.; Santangelo, S.; Sato, Y.

    2000-09-01

    Diamond films are extensively studied for applications as functional material for UV photoconductors. CVD-grown polycrystalline diamond films show very interesting performances, but their complete exploitation is actually limited by a slow time response if compared to other materials, by a relatively high concentration of structural defects, impurities and grain boundaries, which may affect the collection length of photogenerated charges. High-quality single crystal diamonds could solve some of these problems. The absence of grain boundaries can produce longer collection lengths. The nitrogen and impurity contents can be reduced and then large type-IIa diamond single-crystals can be obtained. In this work, a detailed structural and functional characterization of type Ib HPHT diamond crystals has been carried out and the results have been compared to similar characterizations of CVD films to evaluate the different behavior, taking also into account that these high pressure high temperature (HPHT) diamond crystals contain several tens ppm of nitrogen. (orig.)

  10. Effect of doping on electronic states in B-doped polycrystalline CVD diamond films

    International Nuclear Information System (INIS)

    Elsherif, O S; Vernon-Parry, K D; Evans-Freeman, J H; May, P W

    2012-01-01

    High-resolution Laplace deep-level transient spectroscopy (LDLTS) and thermal admittance spectroscopy (TAS) have been used to determine the effect of boron (B) concentration on the electronic states in polycrystalline chemical vapour deposition diamond thin films grown on silicon by the hot filament method. A combination of high-resolution LDLTS and direct-capture cross-sectional measurements was used to investigate whether the deep electronic states present in the layers originated from point or extended defects. There was good agreement between data on deep electronic levels obtained from DLTS and TAS experiments. Two hole traps, E1 (0.29 eV) and E2 (0.53 eV), were found in a film with a boron content of 1 × 10 19 cm −3 . Both these levels and an additional level, E3 (0.35 eV), were found when the B content was increased to 4 × 10 19 cm −3 . Direct capture cross-sectional measurements of levels E1 and E2 show an unusual dependence on the fill-pulse duration which is interpreted as possibly indicating that the levels are part of an extended defect. The E3 level found in the more highly doped film consisted of two closely spaced levels, both of which show point-like defect characteristics. The E1 level may be due to B-related extended defects within the grain boundaries, whereas the ionization energy of the E2 level is in agreement with literature values from ab initio calculations for B–H complexes. We suggest that the E3 level is due to isolated B-related centres in bulk diamond. (paper)

  11. Structure and properties of diamond and diamond-like films

    Energy Technology Data Exchange (ETDEWEB)

    Clausing, R.E. [Oak Ridge National Lab., TN (United States)

    1993-01-01

    This section is broken into four parts: (1) introduction, (2) natural IIa diamond, (3) importance of structure and composition, and (4) control of structure and properties. Conclusions of this discussion are that properties of chemical vapor deposited diamond films can compare favorably with natural diamond, that properties are anisotropic and are a strong function of structure and crystal perfection, that crystal perfection and morphology are functions of growth conditions and can be controlled, and that the manipulation of texture and thereby surface morphology and internal crystal perfection is an important step in optimizing chemically deposited diamond films for applications.

  12. Zero bias thermally stimulated currents in synthetic diamond

    Science.gov (United States)

    Mori, R.; Miglio, S.; Bruzzi, M.; Bogani, F.; De Sio, A.; Pace, E.

    2009-06-01

    Zero bias thermally stimulated currents (ZBTSCs) have been observed in single crystal high pressure high temperature (HPHT) and polycrystalline chemical vapor deposited (pCVD) diamond films. The ZBTSC technique is characterized by an increased sensitivity with respect to a standard TSC analysis. Due to the absence of the thermally activated background current, new TSC peaks have been observed in both HPHT and pCVD diamond films, related to shallow activation energies usually obscured by the emission of the dominant impurities. The ZBTSC peaks are explained in terms of defect discharge in the nonequilibrium potential distribution created by a nonuniform traps filling at the metal-diamond junctions. The electric field due to the charged defects has been estimated in a quasizero bias TSC experiment by applying an external bias.

  13. Diamond Pixel Detectors and 3D Diamond Devices

    International Nuclear Information System (INIS)

    Venturi, N.

    2016-01-01

    Results from detectors of poly-crystalline chemical vapour deposited (pCVD) diamond are presented. These include the first analysis of data of the ATLAS Diamond Beam Monitor (DBM). The DBM module consists of pCVD diamond sensors instrumented with pixellated FE-I4 front-end electronics. Six diamond telescopes, each with three modules, are placed symmetrically around the ATLAS interaction point. The DBM tracking capabilities allow it to discriminate between particles coming from the interaction point and background particles passing through the ATLAS detector. Also, analysis of test beam data of pCVD DBM modules are presented. A new low threshold tuning algorithm based on noise occupancy was developed which increases the DBM module signal to noise ratio significantly. Finally first results from prototypes of a novel detector using pCVD diamond and resistive electrodes in the bulk, forming a 3D diamond device, are discussed. 3D devices based on pCVD diamond were successfully tested with test beams at CERN. The measured charge is compared to that of a strip detector mounted on the same pCVD diamond showing that the 3D device collects significantly more charge than the planar device.

  14. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    Directory of Open Access Journals (Sweden)

    Betty T. Quinton

    2013-01-01

    Full Text Available This paper compares between the methods of growing carbon nanotubes (CNTs on diamond substrates and evaluates the quality of the CNTs and the interfacial strength. One potential application for these materials is a heat sink/spreader for high-power electronic devices. The CNTs and diamond substrates have a significantly higher specific thermal conductivity than traditional heat sink/spreader materials making them good replacement candidates. Only limited research has been performed on these CNT/diamond structures and their suitability of different growth methods. This study investigates three potential chemical vapor deposition (CVD techniques for growing CNTs on diamond: thermal CVD (T-CVD, microwave plasma-enhanced CVD (MPE-CVD, and floating catalyst thermal CVD (FCT-CVD. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (TEM were used to analyze the morphology and topology of the CNTs. Raman spectroscopy was used to assess the quality of the CNTs by determining the ID/IG peak intensity ratios. Additionally, the CNT/diamond samples were sonicated for qualitative comparisons of the durability of the CNT forests. T-CVD provided the largest diameter tubes, with catalysts residing mainly at the CNT/diamond interface. The MPE-CVD process yielded non uniform defective CNTs, and FCT-CVD resulted in the smallest diameter CNTs with catalyst particles imbedded throughout the length of the nanotubes.

  15. Probing Growth-Induced Anisotropic Thermal Transport in High-Quality CVD Diamond Membranes by Multifrequency and Multiple-Spot-Size Time-Domain Thermoreflectance.

    Science.gov (United States)

    Cheng, Zhe; Bougher, Thomas; Bai, Tingyu; Wang, Steven Y; Li, Chao; Yates, Luke; Foley, Brian M; Goorsky, Mark; Cola, Baratunde A; Faili, Firooz; Graham, Samuel

    2018-02-07

    The maximum output power of GaN-based high-electron mobility transistors is limited by high channel temperature induced by localized self-heating, which degrades device performance and reliability. Chemical vapor deposition (CVD) diamond is an attractive candidate to aid in the extraction of this heat and in minimizing the peak operating temperatures of high-power electronics. Owing to its inhomogeneous structure, the thermal conductivity of CVD diamond varies along the growth direction and can differ between the in-plane and out-of-plane directions, resulting in a complex three-dimensional (3D) distribution. Depending on the thickness of the diamond and size of the electronic device, this 3D distribution may impact the effectiveness of CVD diamond in device thermal management. In this work, time-domain thermoreflectance is used to measure the anisotropic thermal conductivity of an 11.8 μm-thick high-quality CVD diamond membrane from its nucleation side. Starting with a spot-size diameter larger than the thickness of the membrane, measurements are made at various modulation frequencies from 1.2 to 11.6 MHz to tune the heat penetration depth and sample the variation in thermal conductivity. We then analyze the data by creating a model with the membrane divided into ten sublayers and assume isotropic thermal conductivity in each sublayer. From this, we observe a two-dimensional gradient of the depth-dependent thermal conductivity for this membrane. The local thermal conductivity goes beyond 1000 W/(m K) when the distance from the nucleation interface only reaches 3 μm. Additionally, by measuring the same region with a smaller spot size at multiple frequencies, the in-plane and cross-plane thermal conductivities are extracted. Through this use of multiple spot sizes and modulation frequencies, the 3D anisotropic thermal conductivity of CVD diamond membrane is experimentally obtained by fitting the experimental data to a thermal model. This work provides an improved

  16. Mechanical pretreatment for improved adhesion of diamond coatings

    International Nuclear Information System (INIS)

    Toenshoff, H.K.; Mohlfeld, A.; Gey, C.; Winkler, J.

    1999-01-01

    Diamond coatings are mainly used in cutting processes due to their tribological characteristics. They show a high hardness, low friction coefficient, high wear resistance and good chemical inertness. In relation to polycrystalline diamond (PCD)-tipped cutting inserts, especially the advantageous chemical stability of diamond coatings is superior as no binder phases between diamond grains are used. However, the deposition of adherent high-quality diamond coatings has been found difficult. Thus, substrate pretreatment is utilised to improve film adhesion. This investigation is based on water peening of the substrate material before coating. The investigation revealed best results for diamond film adhesion on pretreated substrates compared to conventional diamond coatings on cemented carbide tools applied with the CVD hot-filament process. In final cutting tests with increased film adhesion trough water peened cutting tools an improved wear behavior was detected. (orig.)

  17. Parameterisation of radiation effects on CVD diamond for proton irradiation

    International Nuclear Information System (INIS)

    Hartjes, F.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.

    1999-01-01

    The paper reviews measurements of the radiation hardness of CVD diamond for 24 GeV/c proton irradiation at fluences up to 5 * 10 15 protons/cm 2 . The results not only show radiation damage but also an annealing effect that is dominant at levels around 10 15 protons/cm 2 . A model describing both effects is introduced, enabling a prediction of the distribution curve of the charge signal for other levels

  18. Superconductivity and low temperature electrical transport in B-doped CVD nanocrystalline diamond

    Czech Academy of Sciences Publication Activity Database

    Nesládek, M.; Mareš, Jiří J.; Tromson, D.; Mer, Ch.; Bergonzo, P.; Hubík, Pavel; Krištofik, Jozef

    2006-01-01

    Roč. 7, Suppl. 1 (2006), S41-S44 ISSN 1468-6996 R&D Projects: GA ČR(CZ) GA202/06/0040 Institutional research plan: CEZ:AV0Z10100521 Keywords : superconductivity * electrical transport * doping * CVD diamond Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.124, year: 2006

  19. Surface smoothening effects on growth of diamond films

    Science.gov (United States)

    Reshi, Bilal Ahmad; Kumar, Shyam; Kartha, Moses J.; Varma, Raghava

    2018-04-01

    We have carried out a detailed study of the growth dynamics of the diamond film during initial time on diamond substrates. The diamond films are deposited using Microwave Plasma Chemical Vapor Deposition (MPCVD) method for different times. Surface morphology and its correlation with the number of hours of growth of thin films was invested using atomic force microscopy (AFM). Diamond films have smooth interface with average roughness of 48.6873nm. The initial growth dynamics of the thin film is investigated. Interestingly, it is found that there is a decrease in the surface roughness of the film. Thus a smoothening effect is observed in the grown films. The film enters into the growth regime in the later times. Our results also find application in building diamond detector.

  20. Nanocrystalline diamond films for biomedical applications

    DEFF Research Database (Denmark)

    Pennisi, Cristian Pablo; Alcaide, Maria

    2014-01-01

    Nanocrystalline diamond films, which comprise the so called nanocrystalline diamond (NCD) and ultrananocrystalline diamond (UNCD), represent a class of biomaterials possessing outstanding mechanical, tribological, and electrical properties, which include high surface smoothness, high corrosion...... performance of nanocrystalline diamond films is reviewed from an application-specific perspective, covering topics such as enhancement of cellular adhesion, anti-fouling coatings, non-thrombogenic surfaces, micropatterning of cells and proteins, and immobilization of biomolecules for bioassays. In order...

  1. Luminescence and conductivity studies on CVD diamond exposed to UV light

    CERN Document Server

    Bizzarri, A; Bruzzi, M; Sciortino, S

    1999-01-01

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination ...

  2. Parameterisation of radiation effects on CVD diamond for proton irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Hartjes, F.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M

    1999-08-01

    The paper reviews measurements of the radiation hardness of CVD diamond for 24 GeV/c proton irradiation at fluences up to 5{sup *}10{sup 15} protons/cm{sup 2}. The results not only show radiation damage but also an annealing effect that is dominant at levels around 10{sup 15} protons/cm{sup 2}. A model describing both effects is introduced, enabling a prediction of the distribution curve of the charge signal for other levels.

  3. Pushing the boundaries of high power lasers: low loss, large area CVD diamond

    Science.gov (United States)

    Wickham, Benjamin; Schoofs, Frank; Olsson-Robbie, Stefan; Bennett, Andrew; Balmer, Richard

    2018-02-01

    Synthetic CVD diamond has exceptional properties, including broad spectral transmission, physical and chemical robustness, and the highest thermal conductivity of any known material, making diamond an attractive material for medium to high power optical and laser applications, minimizing the detrimental effects of thermal lensing and radiation damage. Example applications include ATR prisms, Raman laser crystals, extra- and intra-cavity laser cooling. In each case the demands on the fundamental material properties and fabrication routes are slightly different. In recent years, there has been good progress in the development of low-loss, single crystal diamond, suitable for higher power densities, higher pulse rates and more demanding intra- and extra-cavity thermal management. The adoption of single crystal diamond in this area has however, been hindered by the availability of large area, low birefringence plates. To address this, we report a combination of CVD growth and processing methods that have enabled the manufacture of large, low defect substrates. A final homoepitaxial, low absorption synthesis stage has produced plates with large area (up to 16 mm edge length), low absorption (α<0.005 cm-1 at 1064 nm), and low birefringence (Δn <10-5), suitable for double-sided intra-cavity cooling. We demonstrate the practical advances in synthesis, including increasing the size while reducing in-use losses compared to previous generations of single crystal material, and practical developments in processing and implementation of the single crystal diamond parts, optimizing them for use in a state-of-the-art femto-second pulsed Ti:Sa thin disk gain module, all made in collaboration with the wider European FP7 funded Ti:Sa TD consortium.

  4. Polycrystalline Diamond Schottky Diodes and Their Applications.

    Science.gov (United States)

    Zhao, Ganming

    In this work, four-hot-filament CVD techniques for in situ boron doped diamond synthesis on silicon substrates were extensively studied. A novel tungsten filament shape and arrangement used to obtain large-area, uniform, boron doped polycrystalline diamond thin films. Both the experimental results and radiative heat transfer analysis showed that this technique improved the uniformity of the substrate temperature. XRD, Raman and SEM studies indicate that large area, uniform, high quality polycrystalline diamond films were obtained. Schottky diodes were fabricated by either sputter deposition of silver or thermal evaporation of aluminum or gold, on boron doped diamond thin films. High forward current density and a high forward-to-reverse current ratio were exhibited by silver on diamond Schottky diodes. Schottky barrier heights and the majority carrier concentrations of both aluminum and gold contacted diodes were determined from the C-V measurements. Furthermore, a novel theoretical C-V-f analysis of deep level boron doped diamond Schottky diodes was performed. The analytical results agree well with the experimental results. Compressive stress was found to have a large effect on the forward biased I-V characteristics of the diamond Schottky diodes, whereas the effect on the reverse biased characteristics was relatively small. The stress effect on the forward biased diamond Schottky diode was attributed to piezojunction and piezoresistance effects. The measured force sensitivity of the diode was as high as 0.75 V/N at 1 mA forward bias. This result shows that CVD diamond device has potential for mechanical transducer applications. The quantitative photoresponse characteristics of the diodes were studied in the spectral range of 300 -1050 nm. Semi-transparent gold contacts were used for better photoresponse. Quantum efficiency as high as 50% was obtained at 500 nm, when a reverse bias of over 1 volt was applied. The Schottky barrier heights between either gold or

  5. Bragg superlattice for obtaining individual photoluminescence of diamond color centers in dense 3D ensembles

    Science.gov (United States)

    Kukushkin, V. A.

    2017-10-01

    A way to significantly increase the spatial resolution of the color center photoluminescence collection in chemically vapor-deposited (CVD) diamond at a fixed exciting beam focal volume is suggested. It is based on the creation of a narrow waveguide for the color center photoluminescence with a small number of allowed vertical indices of guided modes. The waveguide is formed between the top surface of a CVD diamond film and an underlaid mirror—a Bragg superlattice made of interchanging high- and low boron-doped layers of CVD diamond. The guided color center photoluminescence is extracted through the top surface of a CVD diamond film with the frustrated total internal reflection method. According to the results of simulation made for a case when color centers are nitrogen-vacancy (NV) centers, the suggested way allows to increase the maximal value of the NV center concentration still compatible with selective collection of their photoluminescence by several times at a fixed exciting beam focal volume. This increase is provided without the deterioration of the NV center photoluminescence collection efficiency.

  6. Determination of temperature dependent parameters of zero-phonon line in photo-luminescence spectrum of silicon-vacancy centre in CVD diamond thin films

    Czech Academy of Sciences Publication Activity Database

    Dragounová, Kateřina; Potůček, Z.; Potocký, Štěpán; Bryknar, Z.; Kromka, Alexander

    2017-01-01

    Roč. 68, č. 1 (2017), s. 74-78 ISSN 1335-3632 R&D Projects: GA ČR(CZ) GA14-04790S Institutional support: RVO:68378271 Keywords : silicon-vacancy centres * photoluminescence * low temperature * diamond * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 0.483, year: 2016

  7. Comparative study of dlc coatings by pvd against cvd technique on textile dents

    International Nuclear Information System (INIS)

    Malik, M.; Alam, S.; Iftikhar, F.

    2007-01-01

    Diamond like Carbon (DLC) film is a hard amorphous carbon hydride film formed by Physical or Chemical vapor deposition (PVD or CVD) techniques. Due to its unique properties especially high hardness, lower coefficient of friction and lubricious nature, these coatings are not only used to extend the life of cutting tools but also for non cutting applications such as for forming dies, molds and on many functional parts of textile. In the present work two techniques were employed i.e. PVD and CVD for deposition of diamond like carbon film on textile dents. These dents are used as thread guider in high speed weaving machine. The measurement of coating thickness, adhesion, hardness and roughness values indicates that overall properties of DLC coating developed by PVD LARC technology reduces abrasion and increases the workability and durability of textile dents as well as suppress the need of lubricants. (author)

  8. Design and application of CVD diamond windows for x-rays at the Advanced Photon Source

    International Nuclear Information System (INIS)

    Jaski, Y.; Cookson, D.

    2007-01-01

    Two types of directly cooled, 0.2-mm-thick, 8-mm-diameter clear aperture CVD diamond windows have been designed and successfully fabricated by two different vendors for use at the Advanced Photon Source (APS). Both windows contain a direct braze joint between the diamond and the cooled OFHC copper. These windows can be used to replace the front-end beryllium windows in high-heat-load applications and can be used as white beam windows in the beamlines. This paper presents the detailed design of the diamond windows, the thermal analysis of the diamond window under different thermal load configurations, as well as a complete list of the existing APS front-end beryllium window configurations and replacement scenarios. Small-angle scattering experiments have been conducted on both diamond windows and a polished beryllium window, and the results are presented.

  9. Surface Texturing of CVD Diamond Assisted by Ultrashort Laser Pulses

    Directory of Open Access Journals (Sweden)

    Daniele M. Trucchi

    2017-11-01

    Full Text Available Diamond is a wide bandgap semiconductor with excellent physical properties which allow it to operate under extreme conditions. However, the technological use of diamond was mostly conceived for the fabrication of ultraviolet, ionizing radiation and nuclear detectors, of electron emitters, and of power electronic devices. The use of nanosecond pulse excimer lasers enabled the microstructuring of diamond surfaces, and refined techniques such as controlled ablation through graphitization and etching by two-photon surface excitation are being exploited for the nanostructuring of diamond. On the other hand, ultrashort pulse lasers paved the way for a more accurate diamond microstructuring, due to reduced thermal effects, as well as an effective surface nanostructuring, based on the formation of periodic structures at the nanoscale. It resulted in drastic modifications of the optical and electronic properties of diamond, of which “black diamond” films are an example for future high-temperature solar cells as well as for advanced optoelectronic platforms. Although experiments on diamond nanostructuring started almost 20 years ago, real applications are only today under implementation.

  10. Ultrananocrystalline diamond film as a wear resistant and protective coating for mechanical seal applications

    International Nuclear Information System (INIS)

    Sumant, A.V.; Krauss, A.R.; Gruen, D.M.; Auciello, O.; Erdemir, A.; Williams, M.; Artiles, A.F.; Adams, W.

    2005-01-01

    Mechanical shaft seals used in pumps are critically important to the safe operation of the paper, pulp, and chemical process industry, as well as petroleum and nuclear power plants. Specifically, these seals prevent the leakage of toxic gases and hazardous chemicals to the environment and final products from the rotating equipment used in manufacturing processes. Diamond coatings have the potential to provide negligible wear, ultralow friction, and high corrosion resistance for the sliding surfaces of mechanical seals, because diamond exhibits outstanding tribological, physical, and chemical properties. However, diamond coatings produced by conventional chemical vapor deposition (CVD) exhibit high surface roughness (R a ≥ 1 μm), which results in high wear of the seal counterface, leading to premature seal failure. To avoid this problem, we have developed an ultrananocrystalline diamond (UNCD) film formed by a unique CH 4 /Ar microwave plasma CVD method. This method yields extremely smooth diamond coatings with surface roughness R a = 20-30 nm and an average grain size of 2-5 nm. We report the results of a systematic test program involving uncoated and UNCD-coated SiC shaft seals. Results confirmed that the UNCD-coated seals exhibited neither measurable wear nor any leakage during long-duration tests that took 21 days to complete. In addition, the UNCD coatings reduced the frictional torque for seal rotation by five to six times compared with the uncoated seals. This work promises to lead to rotating shaft seals with much improved service life, reduced maintenance cost, reduced leakage of environmentally hazardous materials, and increased energy savings. This technology may also have many other tribological applications involving rolling or sliding contacts.

  11. Influence of electrodes on the photon energy deposition in CVD-diamond dosimeters studied with the Monte Carlo code PENELOPE

    International Nuclear Information System (INIS)

    Gorka, B; Nilsson, B; Fernandez-Varea, J M; Svensson, R; Brahme, A

    2006-01-01

    A new dosimeter, based on chemical vapour deposited (CVD) diamond as the active detector material, is being developed for dosimetry in radiotherapeutic beams. CVD-diamond is a very interesting material, since its atomic composition is close to that of human tissue and in principle it can be designed to introduce negligible perturbations to the radiation field and the dose distribution in the phantom due to its small size. However, non-tissue-equivalent structural components, such as electrodes, wires and encapsulation, need to be carefully selected as they may induce severe fluence perturbation and angular dependence, resulting in erroneous dose readings. By introducing metallic electrodes on the diamond crystals, interface phenomena between high- and low-atomic-number materials are created. Depending on the direction of the radiation field, an increased or decreased detector signal may be obtained. The small dimensions of the CVD-diamond layer and electrodes (around 100 μm and smaller) imply a higher sensitivity to the lack of charged-particle equilibrium and may cause severe interface phenomena. In the present study, we investigate the variation of energy deposition in the diamond detector for different photon-beam qualities, electrode materials and geometric configurations using the Monte Carlo code PENELOPE. The prototype detector was produced from a 50 μm thick CVD-diamond layer with 0.2 μm thick silver electrodes on both sides. The mean absorbed dose to the detector's active volume was modified in the presence of the electrodes by 1.7%, 2.1%, 1.5%, 0.6% and 0.9% for 1.25 MeV monoenergetic photons, a complete (i.e. shielded) 60 Co photon source spectrum and 6, 18 and 50 MV bremsstrahlung spectra, respectively. The shift in mean absorbed dose increases with increasing atomic number and thickness of the electrodes, and diminishes with increasing thickness of the diamond layer. From a dosimetric point of view, graphite would be an almost perfect electrode

  12. Diamond films: Historical perspective

    Energy Technology Data Exchange (ETDEWEB)

    Messier, R. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This section is a compilation of notes and published international articles about the development of methods of depositing diamond films. Vapor deposition articles are included from American, Russian, and Japanese publications. The international competition to develop new deposition methodologies is stressed. The current status of chemical vapor deposition of diamond is assessed.

  13. A Fast CVD Diamond Beam Loss Monitor for LHC

    CERN Document Server

    Griesmayer, E; Dobos, D; Effinger, E; Pernegger, H

    2011-01-01

    Chemical Vapour Deposition (CVD) diamond detectors were installed in the collimation area of the CERN LHC to study their feasibility as Fast Beam Loss Monitors in a high-radiation environment. The detectors were configured with a fast, radiation-hard pre-amplifier with a bandwidth of 2 GHz. The readout was via an oscilloscope with a bandwidth of 1 GHz and a sampling rate of 5 GSPS. Despite the 250 m cable run from the detectors to the oscilloscope, single MIPs were resolved with a 2 ns rise time, a pulse width of 10 ns and a time resolution of less than 1 ns. Two modes of operation were applied. For the analysis of unexpected beam aborts, the loss profile was recorded in a 1 ms buffer and, for nominal operation, the histogram of the time structure of the losses was recorded in synchronism with the LHC period of 89.2 μs. Measurements during the LHC start-up (February to December 2010) are presented. The Diamond Monitors gave an unprecedented insight into the time structure of the beam losses resolving the 400...

  14. Growth, characterization, and device development in monocrystalline diamond films

    Science.gov (United States)

    Davis, Robert F.

    1991-12-01

    The nucleation of diamond grains on an unscratched silicon wafer is enhanced by four order of magnitude relative to scratched substrates by using negative bias enhanced microwave plasma CVD in a 2 percent methane/hydrogen plasma for an initial period. In vacuo surface analysis has revealed that the actual nucleation occurs on the amorphous C coating present on the thin SiC layer which forms as the product of the initial reaction with the Si surface. It is believed that the C forms critical clusters which are favorable for diamond nucleation. Similar enhancement was observed together with the occurrence of textured diamond films in the use of bias pretreatment of cubic Beta SiC substrates. Approximately 50 percent of the initial diamond nuclei were aligned with the SiC substrate. In contrast, the use of the biasing pretreatment for one hour on polycrystalline substrates resulted in only about 7 percent coverage with diamond particles. Numerous techniques have been used to analyze the nucleation and growth phenomena, especially micro Raman and scanning tunneling microscopy. The latter technique has shown that the morphology of doped and undoped diamond nuclei are similar, as well as the fact that significant concentrations of vacancy related defects are present. In device related-studies, UV-photoemission studies have shown that TiC occurs at the Ti-diamond (100) interface after a 400 C anneal. The Schottky barrier height from this metal on p-type diamond was determined to be 1.0 eV. Indications of negative electron affinity (NEA) was observed and attributed to emission of electrons that are quasi-thermalized to the bottom of the conduction band. A disordered surface removes the NEA. The microwave performance of p-type (beta-doped) diamond MESFET's at 10 GHz has been further investigated. Elevated temperatures may be necessary to obtain sufficient free charge densities in the conducting channel but this will result in degraded device performance. Each of these

  15. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  16. Performance and characterisation of CVD diamond coated, sintered diamond and WC-Co cutting tools for dental and micromachining applications

    International Nuclear Information System (INIS)

    Sein, Htet; Ahmed, Waqar; Jackson, Mark; Woodwards, Robert; Polini, Riccardo

    2004-01-01

    Diamond coatings are attractive for cutting processes due to their high hardness, low friction coefficient, excellent wear resistance and chemical inertness. The application of diamond coatings on cemented tungsten carbide (WC-Co) tools was the subject of much attention in recent years in order to improve cutting performance and tool life. WC-Co tools containing 6% Co and 94% WC substrate with an average grain size 1-3 μm were used in this study. In order to improve the adhesion between diamond and WC substrates, it is necessary to etch away the surface Co and prepare the surface for subsequent diamond growth. Hot filament chemical vapour deposition with a modified vertical filament arrangement has been employed for the deposition of diamond films. Diamond film quality and purity have been characterised using scanning electron microscopy and micro-Raman spectroscopy. The performance of diamond coated WC-Co bur, uncoated WC-Co bur, and diamond embedded (sintered) bur have been compared by drilling a series of holes into various materials such as human teeth, borosilicate glass and porcelain teeth. Flank wear has been used to assess the wear rates of the tools. The materials subjected to cutting processes have been examined to assess the quality of the finish. Diamond coated WC-Co microdrills and uncoated microdrills were also tested on aluminium alloys. Results show that there was a 300% improvement when the drills were coated with diamond compared to the uncoated tools

  17. Workshop on diamond and diamond-like-carbon films for the transportation industry

    Energy Technology Data Exchange (ETDEWEB)

    Nichols, F.A.; Moores, D.K. [eds.

    1993-01-01

    Applications exist in advanced transportation systems as well as in manufacturing processes that would benefit from superior tribological properties of diamond, diamond-like-carbon and cubic boron nitride coatings. Their superior hardness make them ideal candidates as protective coatings to reduce adhesive, abrasive and erosive wear in advanced diesel engines, gas turbines and spark-ignited engines and in machining and manufacturing tools as well. The high thermal conductivity of diamond also makes it desirable for thermal management not only in tribological applications but also in high-power electronic devices and possibly large braking systems. A workshop has been recently held at Argonne National Laboratory entitled ``Diamond and Diamond-Like-Carbon Films for Transportation Applications`` which was attended by 85 scientists and engineers including top people involved in the basic technology of these films and also representatives from many US industrial companies. A working group on applications endorsed 18 different applications for these films in the transportation area alone. Separate abstracts have been prepared.

  18. Effect of magnetic and electric coupling fields on micro- and nano- structure of carbon films in the CVD diamond process and their electron field emission property

    Science.gov (United States)

    Wang, Yijia; Li, Jiaxin; Hu, Naixiu; Jiang, Yunlu; Wei, Qiuping; Yu, Zhiming; Long, Hangyu; Zhu, Hekang; Xie, Youneng; Ma, Li; Lin, Cheng-Te; Su, Weitao

    2018-03-01

    In this paper, both electric field and magnetic field were used to assist the hot filament chemical vapor deposition (HFCVD) and we systematically investigated the effects of which on the (1) phase composition, (2) grain size, (3) thickness and (4) preferred orientation of diamond films through SEM, Raman and XRD. The application of magnetic field in electric field, so called ‘the magnetic and electric coupling fields’, enhanced the graphitization and refinement of diamond crystals, slowed down the decrease of film thickness along with the increase of bias current, and suppressed diamond (100) orientation. During the deposition process, the electric field provided additional energy to HFCVD system and generated large number of energetic particles which might annihilate at the substrate and lose kinetic energy, while the Lorentz force, provided by magnetic field, could constrict charged particles (including electrons) to do spiral movement, which prolonged their moving path and life, thus the system energy increased. With the graphitization of diamond films intensified, the preferred orientation of diamond films completely evolved from (110) to (100), until the orientation and diamond phase disappeared, which can be attributed to (I) the distribution and concentration ratio of carbon precursors (C2H2 and CH3) and (II) graphitization sequence of diamond crystal facets. Since the electron field emission property of carbon film is sensitive to the phase composition, thickness and preferred orientation, nano- carbon cones, prepared by the negative bias current of 20 mA and magnetic field strength of 80 Gauss, exhibited the lowest turn-on field of 6.1 V -1 μm-1.

  19. Pulsed laser deposition of metallic films on the surface of diamond particles for diamond saw blades

    International Nuclear Information System (INIS)

    Jiang Chao; Luo Fei; Long Hua; Hu Shaoliu; Li Bo; Wang Youqing

    2005-01-01

    Ti or Ni films have been deposited on the diamond particle surfaces by pulsed laser deposition. Compressive resistance of the uncoated and coated diamond particles was measured, respectively, in the experiments. The compressive resistance of the Ti-coated diamonds particles was found much higher than that of the uncoated ones. It increased by 39%. The surface morphology is observed by the metallography microscope. The surface of the uncoated diamonds particles had many hollows and flaws, while the surface of Ni-coated diamond particles was flat and smooth, and the surface of Ti-coated diamond particles had some metal masses that stood out of the surface of the Ti-coated film. The components of the metallic films of diamond particles were examined by X-ray diffractometry (XRD). TiC was found formed on the Ti-coated diamond surface, which resulted in increased surface bonding strength between the diamond particles and the Ti films. Meanwhile, TiC also favored improving the bonding strength between the coated diamond particles and the binding materials. Moreover, the bending resistance of the diamond saw blade made of Ti-coated diamond was drastically higher than that of other diamond saw blades, which also played an important role in improving the blade's cutting ability and lifetime. Therefore, it was most appropriate that the diamond saw blade was made of Ti-coated diamond particles rather than other materials

  20. CVD diamond sensors for charged particle detection

    CERN Document Server

    Krammer, Manfred; Berdermann, E; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dencuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zöller, M

    2001-01-01

    CVD diamond material was used to build position-sensitive detectors for single-charged particles to be employed in high-intensity physics experiments. To obtain position information, metal contacts shaped as strips or pixels are applied to the detector surface for one- or two- dimensional coordinate measurement. Strip detectors 2*4 cm/sup 2/ in size with a strip distance of 50 mu m were tested. Pixel detectors of various pixel sizes were bump bonded to electronics chips and investigated. A key issue for the use of these sensors in high intensity experiments is the radiation hardness. Several irradiation experiments were carried out with pions, protons and neutrons exceeding a fluence of 10/sup 15/ particles/cm/sup 2/. The paper presents an overview of the results obtained with strip and pixel detectors in high-energy test beams and summarises the irradiation studies. (8 refs).

  1. Voltammetric and impedance behaviours of surface-treated nano-crystalline diamond film electrodes

    International Nuclear Information System (INIS)

    Liu, F. B.; Jing, B.; Cui, Y.; Di, J. J.; Qu, M.

    2015-01-01

    The electrochemical performances of hydrogen- and oxygen-terminated nano-crystalline diamond film electrodes were investigated by cyclic voltammetry and AC impedance spectroscopy. In addition, the surface morphologies, phase structures, and chemical states of the two diamond films were analysed by scanning probe microscopy, Raman spectroscopy, and X-ray photoelectron spectroscopy, respectively. The results indicated that the potential window is narrower for the hydrogen-terminated nano-crystalline diamond film than for the oxygen-terminated one. The diamond film resistance and capacitance of oxygen-terminated diamond film are much larger than those of the hydrogen-terminated diamond film, and the polarization resistances and double-layer capacitance corresponding to oxygen-terminated diamond film are both one order of magnitude larger than those corresponding to the hydrogen-terminated diamond film. The electrochemical behaviours of the two diamond film electrodes are discussed

  2. Progress of Diamond-like Carbon Films

    Directory of Open Access Journals (Sweden)

    CHEN Qing-yun

    2017-03-01

    Full Text Available Diamond-like carbon(DLC films had many unique and outstanding properties such as high thermal conductivity, high hardness, excellent chemical inertness, low friction coefficients and wear coefficients. The properties and combinations were very promising for heat sink, micro-electromechanical devices, radiation hardening, biomedical devices, automotive industry and other technical applications, more research and a lot of attention were attracted in recent years. The research progress of diamond-like films and the nucleation mechanism of film were summarized, and application prospect of DLC films were demonstrated. The aim of this paper is to provide insights on the research trend of DLC films and the industry applications.

  3. Electron field emission for ultrananocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Krauss, A. R.; Auciello, O.; Ding, M. Q.; Gruen, D. M.; Huang, Y.; Zhirnov, V. V.; Givargizov, E. I.; Breskin, A.; Chechen, R.; Shefer, E. (and others)

    2001-03-01

    Ultrananocrystalline diamond (UNCD) films 0.1--2.4 {mu}m thick were conformally deposited on sharp single Si microtip emitters, using microwave CH{sub 4}--Ar plasma-enhanced chemical vapor deposition in combination with a dielectrophoretic seeding process. Field-emission studies exhibited stable, extremely high (60--100 {mu}A/tip) emission current, with little variation in threshold fields as a function of film thickness or Si tip radius. The electron emission properties of high aspect ratio Si microtips, coated with diamond using the hot filament chemical vapor deposition (HFCVD) process were found to be very different from those of the UNCD-coated tips. For the HFCVD process, there is a strong dependence of the emission threshold on both the diamond coating thickness and Si tip radius. Quantum photoyield measurements of the UNCD films revealed that these films have an enhanced density of states within the bulk diamond band gap that is correlated with a reduction in the threshold field for electron emission. In addition, scanning tunneling microscopy studies indicate that the emission sites from UNCD films are related to minima or inflection points in the surface topography, and not to surface asperities. These data, in conjunction with tight binding pseudopotential calculations, indicate that grain boundaries play a critical role in the electron emission properties of UNCD films, such that these boundaries: (a) provide a conducting path from the substrate to the diamond--vacuum interface, (b) produce a geometric enhancement in the local electric field via internal structures, rather than surface topography, and (c) produce an enhancement in the local density of states within the bulk diamond band gap.

  4. Electrochemical applications of CVD diamond

    International Nuclear Information System (INIS)

    Pastor-Moreno, Gustavo

    2002-01-01

    Diamond technology has claimed an important role in industry since non-expensive methods of synthesis such as chemical vapour deposition allow to elaborate cheap polycrystalline diamond. This fact has increased the interest in the scientific community due to the outstanding properties of diamond. Since Pleskov published in 1987 the first paper in electrochemistry, many researchers around the world have studied different aspects of diamond electrochemistry such as reactivity, electrical structure, etc. As part of this worldwide interest these studies reveal new information about diamond electrodes. These studies report investigation of diamond electrodes characterized using structural techniques like scanning electrode microscopy and Raman spectroscopy. A new electrochemical theory based on surface states is presented that explains the metal and the semiconductor behaviour in terms of the doping level of the diamond electrode. In an effort to characterise the properties of diamond electrodes the band edges for hydrogen and oxygen terminated surface are located in organic solvent, hence avoiding possible interference that are present in aqueous solution. The determination of the band edges is performed by Mott-Schottky studies. These allow the calculation of the flat band potential and therefore the band edges. Additional cyclic voltammetric studies are presented for both types of surface termination. Mott-Schottky data and cyclic voltammograms are compared and explained in terms of the band edge localisation. Non-degenerately p-type semiconductor behaviour is presented for hydrogen terminated boron doped diamond. Graphitic surface states on oxidised surface boron doped diamond are responsible for the electrochemistry of redox couples that posses similar energy. Using the simple redox couple 1,4-benzoquinone effect of surface termination on the chemical behaviour of diamond is presented. Hydrogen sublayers in diamond electrodes seem to play an important role for the

  5. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  6. Luminescence and conductivity studies on CVD diamond exposed to UV light

    Science.gov (United States)

    Bizzarri, A.; Bogani, F.; Bruzzi, M.; Sciortino, S.

    1999-04-01

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination from bound states rather than due to radiative free to bound transitions, as generally assumed in TL theory. The TSC signal is likely to arise from impurity band rather than from free carriers conduction.

  7. Luminescence and conductivity studies on CVD diamond exposed to UV light

    International Nuclear Information System (INIS)

    Bizzarri, A.; Bogani, F.; Bruzzi, M.; Sciortino, S.

    1999-01-01

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination from bound states rather than due to radiative free to bound transitions, as generally assumed in TL theory. The TSC signal is likely to arise from impurity band rather than from free carriers conduction

  8. Luminescence and conductivity studies on CVD diamond exposed to UV light

    Energy Technology Data Exchange (ETDEWEB)

    Bizzarri, A.; Bogani, F.; Bruzzi, M.; Sciortino, S

    1999-04-21

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination from bound states rather than due to radiative free to bound transitions, as generally assumed in TL theory. The TSC signal is likely to arise from impurity band rather than from free carriers conduction.

  9. A new CVD diamond mosaic-detector for (n, α) cross-section measurements at the n{sub T}OF experiment at CERN

    Energy Technology Data Exchange (ETDEWEB)

    Weiß, C., E-mail: christina.weiss@cern.ch [Atominstitut, Technische Universität Wien (Austria); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Griesmayer, E. [Atominstitut, Technische Universität Wien (Austria); Guerrero, C. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Altstadt, S. [Johann-Wolfgang-Goethe Universität, Frankfurt (Germany); Andrzejewski, J. [Uniwersytet Łódzki, Lodz (Poland); Audouin, L. [Centre National de la Recherche Scientifique/IN2P3 - IPN, Orsay (France); Badurek, G. [Atominstitut, Technische Universität Wien (Austria); Barbagallo, M. [Istituto Nazionale di Fisica Nucleare, Bari (Italy); Bécares, V. [Centro de Investigaciones Energeticas Medioambientales y Tecnológicas (CIEMAT), Madrid (Spain); Bečvář, F. [Charles University, Prague (Czech Republic); Belloni, F. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); Berthoumieux, E. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Billowes, J. [University of Manchester, Oxford Road, Manchester (United Kingdom); Boccone, V. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Bosnar, D. [Department of Physics, Faculty of Science, University of Zagreb (Croatia); Brugger, M.; Calviani, M. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Calviño, F. [Universitat Politecnica de Catalunya, Barcelona (Spain); and others

    2013-12-21

    At the n{sub T}OF experiment at CERN a dedicated single-crystal chemical vapor deposition (sCVD) Diamond Mosaic-Detector has been developed for (n,α) cross-section measurements. The detector, characterized by an excellent time and energy resolution, consists of an array of 9 sCVD diamond diodes. The detector has been characterized and a cross-section measurement has been performed for the {sup 59}Ni(n,α){sup 56}Fe reaction in 2012. The characteristics of the detector, its performance and the promising preliminary results of the experiment are presented. -- Highlights: •A large-area detector of 3 ×3 sCVD diamonds was built for (n, α) measurements. •The {sup 59}Ni(n, α){sup 56}Fe cross-section was measured successfully at n{sub T}OF/CERN. •The energy resolution of the detector meets the expectations from simulations. •The reaction products during the measurement at n{sub T}OF could clearly be separated. •The detector is suitable for (n, α) measurements in a heterogeneous beam.

  10. TL and LOE dosimetric evaluation of diamond films exposed to beta and ultraviolet radiation

    International Nuclear Information System (INIS)

    Preciado F, S.; Melendrez, R.; Chernov, V.; Barboza F, M.; Schreck, M.; Cruz Z, E.

    2005-01-01

    The diamond possesses a privileged position regarding other materials of great technological importance. Their applications go from the optics, microelectronics, metals industry, medicine and of course as dosemeter, in the registration and detection of ionizing and non ionizing radiation. In this work the results of TL/LOE obtained in two samples of diamond of 10 μm thickness grown by the chemical vapor deposition method (CVD) assisted by microwave plasma. The films were deposited in a silicon substrate (001) starting from a mixture of gases composed of CH 4 /H 2 and 750 ppm of molecular nitrogen as dopant. The samples were exposed to beta radiation (Sr 90 / Y 90 ) and ultraviolet, being stimulated later on thermal (TL) and optically (LOE) to evaluate their dosimetric properties. The sample without doping presented high response TL/LOE to the ultraviolet and beta radiation. The TL glow curve of the sample without doping showed two TL peaks with second order kinetics in the range of 520 to 550 K, besides a peak with first order kinetics of more intensity around 607 K. The TL efficiency of the non doped sample is bigger than the doped with nitrogen; however the LOE efficiency is similar in both samples. The results indicate that the CVD diamond possesses excellent perspectives for dosimetric applications, with special importance in radiotherapy due to it is biologically compatible with the human tissue. (Author)

  11. STRUCTURING OF DIAMOND FILMS USING MICROSPHERE LITHOGRAPHY

    Directory of Open Access Journals (Sweden)

    Mária Domonkos

    2014-10-01

    Full Text Available In this study, the structuring of micro- and nanocrystalline diamond thin films is demonstrated. The structuring of the diamond films is performed using the technique of microsphere lithography followed by reactive ion etching. Specifically, this paper presents a four-step fabrication process: diamond deposition (microwave plasma assisted chemical vapor deposition, mask preparation (by the standard Langmuir-Blodgett method, mask modification and diamond etching. A self-assembled monolayer of monodisperse polystyrene (PS microspheres with close-packed ordering is used as the primary template. Then the PS microspheres and the diamond films are processed in capacitively coupled radiofrequency plasma  using different plasma chemistries. This fabrication method illustrates the preparation of large arrays of periodic and homogeneous hillock-like structures. The surface morphology of processed diamond films is characterized by scanning electron microscopy and atomic force microscope. The potential applications of such diamond structures in various fields of nanotechnology are also briefly discussed.

  12. Diamond MEMS: wafer scale processing, devices, and technology insertion

    Science.gov (United States)

    Carlisle, J. A.

    2009-05-01

    Diamond has long held the promise of revolutionary new devices: impervious chemical barriers, smooth and reliable microscopic machines, and tough mechanical tools. Yet it's been an outsider. Laboratories have been effectively growing diamond crystals for at least 25 years, but the jump to market viability has always been blocked by the expense of diamond production and inability to integrate with other materials. Advances in chemical vapor deposition (CVD) processes have given rise to a hierarchy of carbon films ranging from diamond-like carbon (DLC) to vapor-deposited diamond coatings, however. All have pros and cons based on structure and cost, but they all share some of diamond's heralded attributes. The best performer, in theory, is the purest form of diamond film possible, one absent of graphitic phases. Such a material would capture the extreme hardness, high Young's modulus and chemical inertness of natural diamond. Advanced Diamond Technologies Inc., Romeoville, Ill., is the first company to develop a distinct chemical process to create a marketable phase-pure diamond film. The material, called UNCD® (for ultrananocrystalline diamond), features grain sizes from 3 to 300 nm in size, and layers just 1 to 2 microns thick. With significant advantages over other thin films, UNCD is designed to be inexpensive enough for use in atomic force microscopy (AFM) probes, microelectromechanical machines (MEMS), cell phone circuitry, radio frequency devices, and even biosensors.

  13. Preparation of diamond like carbon thin film on stainless steel and ...

    Indian Academy of Sciences (India)

    Diamond-like carbon; buffer layer; plasma CVD; surface characterization; biomedical applications. Abstract. We report the formation of a very smooth, continuous and homogeneous diamond-like carbon DLC thin coating over a bare stainless steel surface without the need for a thin Si/Cr/Ni/Mo/W/TiN/TiC interfacial layer.

  14. Electroluminescence Spectrum Shift with Switching Behaviour of Diamond Thin Films

    Institute of Scientific and Technical Information of China (English)

    王小平; 王丽军; 张启仁; 姚宁; 张兵临

    2003-01-01

    We report a special phenomenon on switching behaviour and the electroluminescence (EL) spectrum shift of doped diamond thin films. Nitrogen and cerium doped diamond thin films were deposited on a silicon substrate by microwave plasma-assisted chemical vapour deposition system and other special techniques. An EL device with a three-layer structure of nitrogen doped diamond/cerium doped diamond/SiO2 thin films was made. The EL device was driven by a direct-current power supply. Its EL character has been investigated, and a switching behaviour was observed. The EL light emission colour of diamond films changes from yellow (590nm) to blue (454 nm) while the switching behaviour appears.

  15. Thermal diffusivity of diamond films using a laser pulse technique

    International Nuclear Information System (INIS)

    Albin, S.; Winfree, W.P.; Crews, B.S.

    1990-01-01

    Polycrystalline diamond films were deposited using a microwave plasma-enhanced chemical vapor deposition process. A laser pulse technique was developed to measure the thermal diffusivity of diamond films deposited on a silicon substrate. The effective thermal diffusivity of a diamond film on silicon was measured by observing the phase and amplitude of the cyclic thermal waves generated by laser pulses. An analytical model is presented to calculate the effective inplane (face-parallel) diffusivity of a two-layer system. The model is used to reduce the effective thermal diffusivity of the diamond/silicon sample to a value for the thermal diffusivity and conductivity of the diamond film

  16. Chemically vapor deposited diamond films as dosimetric material for potential clinical applications

    Directory of Open Access Journals (Sweden)

    Kabacińska Renata

    2018-03-01

    Full Text Available Thermally stimulated luminescence (TL, cathodoluminescence (CL and Raman spectroscopy of CVD diamond films grown on silicon substrates have been studied in order to obtain information on defects created during the growth, which induce the levels within the gap. TL between 300 K and 700 K, and CL from 200 nm to 1200 nm have been teasured. The glow curves show a peak located around 610 K with different intensities, depending on the sample thickness, associated with a trap of energy, equal to 0.83 eV and with attempt-to-escape-time of the order of 108 s-1. Broad CL bands observed at 428±1 nm (2.90 ±0.01 eV and 500±1 nm (2.47±0.004 eV are attributed to closely spaced and widely separated donor-acceptor (D-A pairs, respectively. The TL and CL results were correlated with diamond quality estimated from Raman spectroscopy measurements.

  17. Phenomenological effets of tantalum incorporation into diamond films: Experimental and first principle studies

    Energy Technology Data Exchange (ETDEWEB)

    Ullah, Mahtab, E-mail: mahtabullah@bzu.edu.pk [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Rana, Anwar Manzoor; Ahmad, E. [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Raza, Rizwan [Department of Physics, COMSATS Institute of Information Technology, Lahore-54000 (Pakistan); Hussain, Fayyaz [Department of Physics, Bahauddin Zakariya University Multan (Pakistan); Hussain, Akhtar; Iqbal, Muhammad [Theoretical Physics Division, PINSTECH, P.O. Nilore, Islamabad (Pakistan)

    2016-09-01

    Graphical abstract: - Highlights: • Fabrication of tantalum incorporated diamonds films using HFCVD technique. • Decrease in resistivity by increasing tantalum content in diamond thin films. • Electronic structure calculations of tantalum incorporated diamonds films through VASP code. • A rise of bond length and bond angles by addition of tantalum in the diamond lattice. • Confirmation of decrease of resistivity by adding tantalum due to creation of impurity states in the bandgap. - Abstract: Tantalum (Ta) incorporated diamond films are synthesized on silicon substrate by chemical vapor deposition under gas mixture of CH{sub 4} + H{sub 2}. Characterizations of the resulting films indicate that morphology and resistivity of as-grown diamond films are significantly influenced by the process parameters and the amount of tantalum incorporated in the diamond films. XRD plots reveal that diamond films are composed of TaC along with diamond for higher concentration of tantalum and Ta{sub 2}C phases for lower concentration of tantalum. EDS spectra confirms the existence of tantalum in the diamond films. Resistivity measurements illustrate a sudden fall of about two orders of magnitude by the addition of tantalum in the diamond films. Band structure of Ta-incorporated diamond has been investigated based on density functional theory (DFT) using VASP code. Band structure calculations lead to the semiconducting behavior of Ta-incorporated diamond films because of the creation of defects states inside the band gap extending towards conduction band minimum. Present DFT results support experimental trend of resistivity that with the incorporation of tantalum into diamond lattice causes a decrease in the resistivity of diamond films so that tantalum-incorporated diamond films behave like a good semiconductor.

  18. Thermally stimulated currents in polycrystalline diamond films and their application to ultraviolet dosimetry

    International Nuclear Information System (INIS)

    Trajkov, E.; Prawer, S.

    1999-01-01

    Quantifying individual exposure to solar ultraviolet radiation (UVR) is imperative to understanding the epidemiology of UVR related skin cancer. The development of personal UVR dosimeters is hence essential for obtaining data regarding individual UVR exposure, which can then be used to establish appropriate protective measures for occupational and recreational exposure. Because diamond is a tissue equivalent material and has a wide band-gap, CVD polycrystalline diamond has been proposed for use in solar-blind UV dosimetry. It has been reported that the photoconductivity in polycrystalline diamond films is enhanced after UV illumination Photo-generated carriers can be trapped at some deep levels after illumination. Because these levels are deep the thermal release of carriers is a slow process at room temperature. Therefore the new carrier distribution reached after illumination can result in a metastable state because the temperature is too low to restore the initial equilibrium. The sample can be bought back to initial equilibrium by heating. If the current is recorded during heating of the samples one can observe current peaks corresponding to the thermal release of trapped carriers, the so-called thermally stimulated currents (TSC). From first-order kinetics, we find that the TSC intensity is proportional to the initial density of trapped carriers, n to . Since n to varies with the radiation dose, the measurement of TSC can find an application in radiation dosimetry since the measurement of TSC gives a direct measure of that dose. Nitrogen can be used to introduce deep traps in diamond. This investigation will involve examining the affect of the nitrogen concentration on the irradiation response of the films. Furthermore, we will analyse the fading rate of the TSC signal. If diamond films are to have a practical application in UVR dosimetry, then ideally we require a linear relationship between the dose response and the TSC, and we also require a low fading rate

  19. High-order Stokes and anti-Stokes Raman generation in monoisotopic CVD {sup 12}C-diamond

    Energy Technology Data Exchange (ETDEWEB)

    Kaminskii, Alexander A. [Institute of Crystallography, Russian Academy of Sciences, Moscow (Russian Federation); Lux, Oliver; Rhee, Hanjo; Eichler, Hans J. [Institute of Optics and Atomic Physics, Technische Universitaet Berlin (Germany); Ralchenko, Victor G.; Bolshakov, Andrey P. [General Physics Institute, Russian Academy of Sciences, Moscow (Russian Federation); Shirakawa, Akira; Yoneda, Hitoki [Institute for Laser Science, University of Electro-Communications, Tokyo (Japan)

    2016-06-15

    We determined, for the first time, the room temperature phonon energy related to the F{sub 2g} vibration mode (ω{sub SRS(12C)} ∝ 1333.2 cm{sup -1}) in a mono-crystalline single-isotope CVD {sup 12}C-diamond crystal by means of stimulated Raman scattering (SRS) spectroscopy. Picosecond one-micron excitation using a Nd{sup 3+}:Y{sub 3}Al{sub 5}O{sub 12}-laser generates a nearly two-octave spanning SRS frequency comb (∝12000 cm{sup -1}) consisting of higher-order Stokes and anti-Stokes components. The spacing of the spectral lines was found to differ by Δω{sub SRS} ∝ 0.9 cm{sup -1} from the comb spacing (ω{sub SRS(natC)} ∝ 1332.3 cm{sup -1}) when pumping a conventional CVD diamond crystal with a natural composition of the two stable carbon isotopes {sup 12}C (98.93%) and {sup 13}C (1.07%). (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  1. One step deposition of highly adhesive diamond films on cemented carbide substrates via diamond/β-SiC composite interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Tao; Zhuang, Hao; Jiang, Xin, E-mail: xin.jiang@uni-siegen.de

    2015-12-30

    Graphical abstract: - Highlights: • Novel diamond/beta-silicon carbide composite gradient interlayers were synthesized. • The interlayer features a cross-sectional gradient with increasing diamond content. • Diamond top layers and the interlayers were deposited in one single process. • The adhesion of the diamond film is drastically improved by employing the interlayer. • The stress was suppressed by manipulating the distribution of diamond and silicon carbide. - Abstract: Deposition of adherent diamond films on cobalt-cemented tungsten carbide substrates has been realized by application of diamond/beta-silicon carbide composite interlayers. Diamond top layers and the interlayers were deposited in one single process by hot filament chemical vapor deposition technique. Two different kinds of interlayers have been employed, namely, gradient interlayer and interlayer with constant composition. The distribution of diamond and beta-silicon carbide phases was precisely controlled by manipulating the gas phase composition. X-ray diffraction and Raman spectroscopy were employed to determine the existence of diamond, beta-silicon carbide and cobalt silicides (Co{sub 2}Si, CoSi) phases, as well as the quality of diamond crystal and the residual stress in the films. Rockwell-C indentation tests were carried out to evaluate the film adhesion. It is revealed that the adhesion of the diamond film is drastically improved by employing the interlayer. This is mainly influenced by the residual stress in the diamond top layer, which is induced by the different thermal expansion coefficient of the film and the substrate. It is even possible to further suppress the stress by manipulating the distribution of diamond and beta-silicon carbide in the interlayer. The most adhesive diamond film on cemented carbide is thus obtained by employing a gradient composite interlayer.

  2. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    International Nuclear Information System (INIS)

    Okhotnikov, V V; Linnik, S A; Gaidaichuk, A V; Shashev, D V; Nazarova, G Yu; Yurchenko, V I

    2016-01-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·10 7 pcs/cm 2 ). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,). (paper)

  3. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    Science.gov (United States)

    Okhotnikov, V. V.; Linnik, S. A.; Gaidaichuk, A. V.; Shashev, D. V.; Nazarova, G. Yu; Yurchenko, V. I.

    2016-02-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·107 pcs/cm2). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,).

  4. D.C. Arcjet Diamond Deposition

    Science.gov (United States)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  5. Repulsive effects of hydrophobic diamond thin films on biomolecule detection

    Energy Technology Data Exchange (ETDEWEB)

    Ruslinda, A. Rahim, E-mail: ruslindarahim@gmail.com [Institute of Nano Electronic Engineering, Universiti Malaysia Perlis, Jln Kgr-Alor Setar, Seriab, 01000 Kangar, Perlis (Malaysia); Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan); Ishiyama, Y. [Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan); Penmatsa, V. [Department of Mechanical and Materials Engineering, Florida International University, 10555 West Flagler Street, Miami, FL 33174 (United States); Ibori, S.; Kawarada, H. [Department of Nano Science and Nano Engineering, School of Advance Science and Engineering, Ohkubo 3-4-1, Shinjuku, 169-8555 Tokyo (Japan)

    2015-02-15

    Highlights: • We report the effect of fluorine plasma treatment on diamond thin film to resist the nonspecific adsorption of biomolecules. • The diamond thin film were highly hydrophobic with a surface energy value of ∼25 mN/m. • The repulsive effect shows excellent binding efficiency for both DNA and HIV-1 Tat protein. - Abstract: The repulsive effect of hydrophobic diamond thin film on biomolecule detection, such as single-nucleotide polymorphisms and human immunodeficiency virus type 1 trans-activator of transcription peptide protein detection, was investigated using a mixture of a fluorine-, amine-, and hydrogen-terminated diamond surfaces. These chemical modifications lead to the formation of a surface that effectively resists the nonspecific adsorption of proteins and other biomolecules. The effect of fluorine plasma treatment on elemental composition was also investigated via X-ray photoelectron spectroscopy (XPS). XPS results revealed a fluorocarbon layer on the diamond thin films. The contact angle measurement results indicated that the fluorine-treated diamond thin films were highly hydrophobic with a surface energy value of ∼25 mN/m.

  6. Repulsive effects of hydrophobic diamond thin films on biomolecule detection

    International Nuclear Information System (INIS)

    Ruslinda, A. Rahim; Ishiyama, Y.; Penmatsa, V.; Ibori, S.; Kawarada, H.

    2015-01-01

    Highlights: • We report the effect of fluorine plasma treatment on diamond thin film to resist the nonspecific adsorption of biomolecules. • The diamond thin film were highly hydrophobic with a surface energy value of ∼25 mN/m. • The repulsive effect shows excellent binding efficiency for both DNA and HIV-1 Tat protein. - Abstract: The repulsive effect of hydrophobic diamond thin film on biomolecule detection, such as single-nucleotide polymorphisms and human immunodeficiency virus type 1 trans-activator of transcription peptide protein detection, was investigated using a mixture of a fluorine-, amine-, and hydrogen-terminated diamond surfaces. These chemical modifications lead to the formation of a surface that effectively resists the nonspecific adsorption of proteins and other biomolecules. The effect of fluorine plasma treatment on elemental composition was also investigated via X-ray photoelectron spectroscopy (XPS). XPS results revealed a fluorocarbon layer on the diamond thin films. The contact angle measurement results indicated that the fluorine-treated diamond thin films were highly hydrophobic with a surface energy value of ∼25 mN/m

  7. High-Resolution Energy and Intensity Measurements with CVD Diamond at REX-ISOLDE

    CERN Document Server

    Griesmayer, E; Dobos, D; Wenander, F; Bergoz, J; Bayle, H; Frais-Kölbl, H; Leinweber, J; Aumeyr, T; CERN. Geneva. BE Department

    2009-01-01

    A novel beam instrumentation device for the HIE-REX (High In-tensity and Energy REX) upgrade has been developed and tested at the On-Line Isotope Mass Separator ISOLDE, located at the European Laboratory for Particle Physics (CERN). This device is based on CVD diamond detector technology and is used for measuring the beam intensity, particle counting and measuring the energy spectrum of the beam. An energy resolution of 0.6% was measured at a carbon ion energy of 22.8 MeV. This corresponds to an energy spread of ± 140 keV.

  8. Adherence of diamond films on refractory metal substrates for thermionic applications

    International Nuclear Information System (INIS)

    Tsao, B.H.; Ramalingam, M.L.; Adams, S.F.; Cloyd, J.S.

    1991-01-01

    Diamond films are currently being considered as electrical insulation material for application in the thermionic fuel element of a power producing nuclear reactor system. The function of the diamond insulator in this application is to electrically isolate the collector of each cell in the TFE from the coolant and outer sheath. Deposition of diamond films on plane surfaces of Si/SiO 2 have already been demonstrated to be quite effective. However, the diamond films on refractory metal surfaces tend to spall off in the process of deposition revealing an inefficient adherence characteristic between the film and the substrate. This paper is geared towards explaining this deficiency by way of selected experimentation and the use of analytical tools to predict uncertainties such as the mismatch in coefficient of expansion, micrographic study of the interface between the film and the substrate and X-ray diffraction spectra. The investigation of the adherence characteristics of several diamond films on Mo and Nb substrates revealed that there was an allowable stress that resulted in the formation of the critical thickness for the diamond film

  9. Multilayer CVD Diamond Coatings in the Machining of an Al6061-15 Vol % Al2O3 Composite

    Directory of Open Access Journals (Sweden)

    Mohammadmehdi Shabani

    2017-10-01

    Full Text Available Ceramic cutting inserts coated with ten-fold alternating micro- and nanocrystalline diamond (MCD/NCD layers grown by hot filament chemical vapor deposition (CVD were tested in the machining of an Al based metallic matrix composite (MMC containing 15 vol % Al2O3 particles. Inserts with total coating thicknesses of approximately 12 µm and 24 µm were produced and used in turning: cutting speed (v of 250 to 1000 m·min−1; depth of cut (DOC from 0.5 to 3 mm and feed (f between 0.1 and 0.4 mm·rev−1. The main cutting force increases linearly with DOC (ca. 294 N per mm and with feed (ca. 640 N per mm·rev−1. The thicker coatings work within the following limits: DOC up to 1.5 mm and maximum speeds of 750 m·min−1 for feeds up to 0.4 mm·rev−1. Flank wear is predominant but crater wear is also observed due to the negative tool normal rake. Layer-by-layer wear of the tool rake, and not total delamination from the substrate, evidenced one of the advantages of using a multilayer design. The MCD/NCD multilayer diamond coated indexable inserts have longer tool life than most CVD diamond systems and behave as well as most polycrystalline diamond (PCD tools.

  10. Effect of substrate roughness on growth of diamond by hot filament ...

    Indian Academy of Sciences (India)

    Administrator

    Polycrystalline diamond coatings are grown on Si (100) substrate by hot filament CVD technique. We investigate ... toughness of the film as the crystal changes its phase from monocrystalline to .... is a characteristic of graphite. We mark the.

  11. Modified diamond electrodes for electrolysis and electroanalysis applications

    International Nuclear Information System (INIS)

    Einaga, Yasuaki; Sato, Rika; Olivia, Herlambang; Shin, Dongchan; Ivandini, T.A.; Fujishima, Akira

    2004-01-01

    The outstanding properties of diamond make it a very attractive material for use in many potential applications. In particular, the superior electrochemical properties of highly boron-doped conductive diamond films, prepared by the chemical vapor deposition (CVD) process, have received attention from electrochemists. This paper reports several diversified applications of boron-doped diamond electrodes; highly sensitive and interference-free microfiber electrodes with over-oxidized polypyrrole modification, integrated electrochemical detector for microchip capillary electrophoresis (CE), and smoothing treatments of micro-polycrystalline surface. Studies have been made of the electrochemical properties of each system and their application in electroanalysis is discussed

  12. Neutron Detection at JET Using Artificial Diamond Detectors

    International Nuclear Information System (INIS)

    Pillon, M.; Angelone, M.; Lattanzi, D.; Milani, E.; Tucciarone, A.; Verona-Rinati, G.; Popovichev, S.; Murari, A.

    2006-01-01

    Three CVD diamond detectors are installed and operated at Joint European Torus, Culham laboratory. Diamond detectors are very promising detectors to be used in fusion environment due to their radiation hardness, gamma discrimination properties, fast response and spectroscopy properties. The aim of this work is to test and qualify artificial diamond detectors as neutron counters and spectrometers on a large fusion device. Two of these detectors are polycrystalline CVD diamond films of thickness 30 mm and 40 mm respectively while the third detector is a monocrystalline CVD of 110 mm thickness. The first polycrystalline diamond is covered with 4 mm of LiF 95 % enriched in 6 Li and enclosed inside a polyethylene moderator cap. This detector is used with a standard electronic chain made with a charge preamplifier, shaping amplifier and threshold discriminator. It is used to measure the time-dependent total neutron yield produced by JET plasma and its signal is compared with JET fission chambers. The second polycrystalline diamond is connected with a fast (1 GHz) preamplifier and a threshold discriminator via a long (about 100 m) double screened cable. This detector is used to detect the 14 MeV neutrons produced by triton burn-up using the reaction 12 C (n, α) 9 Be which occurs in diamond and a proper discriminator threshold. The response of this detector is fast and the electronic is far from the high radiation environment. Its signal is used in comparison with JET silicon diodes. The third monocrystalline diamond is also connected using a standard electronic and is used to demonstrate the feasibility of 14 MeV neutron spectrometry at about 3% peak resolution taking advantage of the spectrometer properties of monocrystalline diamonds. The results obtained are presented in this work. (author)

  13. P-type diamond stripper foils for tandem ion accelerators

    International Nuclear Information System (INIS)

    Phelps, A.W.; Koba, R.

    1989-01-01

    The authors are developing a stripper foil composed of a p-type diamond membrane. This diamond stripper foil should have a significantly longer lifetime than any conventional stripper foil material. To be useful for stripper foils, the boron-doped blue diamond films must be thinner than 0.8 μm and pore-free. Two methods are compared for their ability to achieve a high nucleation areal density on a W substrate. Some W substrates were first coated with think layer of boron (≤20 nm) in order to enhance nucleation. Other W substrates were scratched with submicron diamond particles. A schematic diagram of the stripper foil is shown. Stripper foils were created by etching away the central area of W substrates. The diamond membrane was then supported by an annulus of W. Tungsten was selected as a ring-support material because of its high electrical and thermal conductivity, relatively low thermal expansion, and proven suitability as a substrate for diamond CVD. Warping or fracture of the diamond film after substrate etch-back was investigated

  14. Rhenium Alloys as Ductile Substrates for Diamond Thin-Film Electrodes.

    Science.gov (United States)

    Halpern, Jeffrey M; Martin, Heidi B

    2014-02-01

    Molybdenum-rhenium (Mo/Re) and tungsten-rhenium (W/Re) alloys were investigated as substrates for thin-film, polycrystalline boron-doped diamond electrodes. Traditional, carbide-forming metal substrates adhere strongly to diamond but lose their ductility during exposure to the high-temperature (1000°C) diamond, chemical vapor deposition environment. Boron-doped semi-metallic diamond was selectively deposited for up to 20 hours on one end of Mo/Re (47.5/52.5 wt.%) and W/Re (75/25 wt.%) alloy wires. Conformal diamond films on the alloys displayed grain sizes and Raman signatures similar to films grown on tungsten; in all cases, the morphology and Raman spectra were consistent with well-faceted, microcrystalline diamond with minimal sp 2 carbon content. Cyclic voltammograms of dopamine in phosphate-buffered saline (PBS) showed the wide window and low baseline current of high-quality diamond electrodes. In addition, the films showed consistently well-defined, dopamine electrochemical redox activity. The Mo/Re substrate regions that were uncoated but still exposed to the diamond-growth environment remained substantially more flexible than tungsten in a bend-to-fracture rotation test, bending to the test maximum of 90° and not fracturing. The W/Re substrates fractured after a 27° bend, and the tungsten fractured after a 21° bend. Brittle, transgranular cleavage fracture surfaces were observed for tungsten and W/Re. A tension-induced fracture of the Mo/Re after the prior bend test showed a dimple fracture with a visible ductile core. Overall, the Mo/Re and W/Re alloys were suitable substrates for diamond growth. The Mo/Re alloy remained significantly more ductile than traditional tungsten substrates after diamond growth, and thus may be an attractive metal substrate for more ductile, thin-film diamond electrodes.

  15. Influence of CVD diamond tips and Er:YAG laser irradiation on bonding of different adhesive systems to dentin

    OpenAIRE

    da Silva, Melissa Aline [UNESP; Nicolo, Rebeca Di [UNESP; Barcellos, Daphne Camara [UNESP; Batista, Graziela Ribeiro [UNESP; Pucci, Cesar Rogerio [UNESP; Torres, Carlos Rocha Gomes [UNESP; Borges, Alessandra Bühler [UNESP

    2013-01-01

    Aim: The aim of this study was to compare the microtensile bond strength of three adhesive systems, using different methods of dentin preparation. Materials and methods: A hundred and eight bovine teeth were used. The dentin from buccal face was exposed and prepared with three different methods, divided in 3 groups: Group 1 (DT)- diamond tip on a high-speed handpiece; Group 2 (CVD)-CVD tip on a ultrasonic handpiece; Group 3 (LA)-Er: YAG laser. The teeth were divided into 3 subgroups, accordin...

  16. The processing of heteroepitaxial thin-film diamond for electronic applications

    International Nuclear Information System (INIS)

    McGrath, J.

    1998-09-01

    Thin film diamond is of particular interest because of its wide applicability, including its potential use in high temperature electronics. This thesis describes a study of some of the processing stages required to exploit thin film diamond as an electronic device. Initial experiments were carried out to optimise bi-metallic contact schemes on orientated diamond film using electrical measurements and chemical analysis. Temperature stability was also investigated and it was concluded that the most favourable ohmic contact scheme is aluminium-on-titanium. Further electrical measurements confirmed that the contribution of resistance made by the contacts themselves to the metal/diamond/metal system overall was acceptably low, specifically 6 Ω.cm 2 for an undoped diamond system and less than 3 x 10 -6 Ω.cm 2 for boron doped diamond. To improve the as-grown resistivity of diamond films, an oxygen/argon plasma etch process was applied. The input parameters of the plasma system were optimised to give the maximum achievable resistivity of 4 x 10 11 Ω.cm. This was attained using a statistical design procedure via analysis of resistivity and etch rate outputs. Having optimised post growth treatment and contact metallisation, undoped and doped orientated diamond films were characterised via voltage and temperature dependencies. It was concluded that the dominant charge transport mechanisms for undoped diamond, nitrogen and boron doped diamond were variable range hopping at low temperatures up to 523 K and grain boundary effects. At higher temperatures, valence or impurity band conduction appeared to be the probable mechanisms with activation energies of 0.23 eV for nitrogen doped diamond and 0.08 eV for boron doped diamond. Preliminary experiments electrical properties of diamond and initial results suggested the presence of a high density of recombination centres. The final stage of experimental research initiated a study of direct electron beam writing lithography to

  17. Tribological properties of nanocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A.; Fenske, G.R.; Krauss, A.R.; Gruen, D.M.; McCauley, T.; Csencsits, R.T. [Argonne National Lab., IL (United States). Energy Technology Div.

    1999-11-01

    In this paper, we present the friction and wear properties of nanocrystalline diamond (NCD) films grown in Ar-fullerene (C{sub 60}) and Ar-CH{sub 4} microwave plasmas. Specifically, we will address the fundamental tribological issues posed by these films during sliding against Si{sub 3}N{sub 4} counterfaces in ambient air and inert gases. Grain sizes of the films grown by the new method are very small (10-30 nm) and are much smoother (20-40 nm, root mean square) than those of films grown by the conventional H{sub 2}-CH{sub 4} microwave-assisted chemical vapor deposition process. Transmission electron microscopy (TEM) revealed that the grain boundaries of these films are very sharp and free of nondiamond phases. The microcrystalline diamond films grown by most conventional methods consist of large grains and a rough surface finish, which can cause severe abrasion during sliding against other materials. The friction coefficients of films grown by the new method (i.e. in Ar-C{sub 60} and Ar-CH{sub 4} plasmas) are comparable with those of natural diamond, and wear damage on counterface materials is minimal. Fundamental tribological studies indicate that these films may undergo phase transformation during long-duration, high-speed and/or high-load sliding tests and that the transformation products trapped at the sliding interfaces can intermittently dominate friction and wear performance. Using results from a combination of TEM, electron diffraction, Raman spectroscopy, and electron energy loss spectroscopy, we describe the structural chemistry of the debris particles trapped at the sliding interfaces and elucidate their possible effects on friction and wear of NCD films in dry N{sub 2}. Finally, we suggest a few potential applications in which NCD films can improve performance and service lives. (orig.)

  18. Morphology modulating the wettability of a diamond film.

    Science.gov (United States)

    Tian, Shibing; Sun, Weijie; Hu, Zhaosheng; Quan, Baogang; Xia, Xiaoxiang; Li, Yunlong; Han, Dong; Li, Junjie; Gu, Changzhi

    2014-10-28

    Control of the wetting property of diamond surface has been a challenge because of its maximal hardness and good chemical inertness. In this work, the micro/nanoarray structures etched into diamond film surfaces by a maskless plasma method are shown to fix a surface's wettability characteristics, and this means that the change in morphology is able to modulate the wettability of a diamond film from weakly hydrophilic to either superhydrophilic or superhydrophobic. It can be seen that the etched diamond surface with a mushroom-shaped array is superhydrophobic following the Cassie mode, whereas the etched surface with nanocone arrays is superhydrophilic in accordance with the hemiwicking mechnism. In addition, the difference in cone densities of superhydrophilic nanocone surfaces has a significant effect on water spreading, which is mainly derived from different driving forces. This low-cost and convenient means of altering the wetting properties of diamond surfaces can be further applied to underlying wetting phenomena and expand the applications of diamond in various fields.

  19. New route to the fabrication of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Varshney, Deepak; Morell, Gerardo; Palomino, Javier; Resto, Oscar; Gil, Jennifer; Weiner, Brad R.

    2014-01-01

    Nanocrystalline diamond (NCD) thin films offer applications in various fields, but the existing synthetic approaches are cumbersome and destructive. A major breakthrough has been achieved by our group in the direction of a non-destructive, scalable, and economic process of NCD thin-film fabrication. Here, we report a cheap precursor for the growth of nanocrystalline diamond in the form of paraffin wax. We show that NCD thin films can be fabricated on a copper support by using simple, commonplace paraffin wax under reaction conditions of Hot Filament Chemical Vapor Deposition (HFCVD). Surprisingly, even the presence of any catalyst or seeding that has been conventionally used in the state-of-the-art is not required. The structure of the obtained films was analyzed by scanning electron microscopy and transmission electron microscopy. Raman spectroscopy and electron energy-loss spectroscopy recorded at the carbon K-edge region confirm the presence of nanocrystalline diamond. The process is a significant step towards cost-effective and non-cumbersome fabrication of nanocrystalline diamond thin films for commercial production

  20. Diamonds for beam instrumentation

    International Nuclear Information System (INIS)

    Griesmayer, Erich

    2013-01-01

    Diamond is perhaps the most versatile, efficient and radiation tolerant material available for use in beam detectors with a correspondingly wide range of applications in beam instrumentation. Numerous practical applications have demonstrated and exploited the sensitivity of diamond to charged particles, photons and neutrons. In this paper, a brief description of a generic diamond detector is given and the interaction of the CVD diamond detector material with protons, electrons, photons and neutrons is presented. Latest results of the interaction of sCVD diamond with 14 MeV mono-energetic neutrons are shown.

  1. Stress in ion-implanted CVD Si3N4 films

    International Nuclear Information System (INIS)

    EerNisse, E.P.

    1977-01-01

    The compressive stress buildup caused in chemical-vapor-deposited (CVD) Si 3 N 4 films by ion implantation is shown to be caused entirely by atomic collision effects, ionization effects being unimportant. The stress introduction rate is shown to be independent of CVD processing variables and O content of the film. The maximum attainable compressive stress change is 3.5 x 10 10 dyn/cm 2 , resulting in a maximum net compressive stress of 2 x 10 10 dyn/cm 2 for films on Si where the as-deposited films inherently have 1.5 x 10 10 dyn/cm 2 tensile stress before ion implantation. Results are presented which show that O in the films inhibits thermal annealing of the ion-implantation-induced compressive stress. Results for introduction rate and annealing effects are presented in normalized form so that workers can use the effects for intentional stress level adjustment in the films to reduce probability of cracking and detachment

  2. Diamond detector technology: status and perspectives

    CERN Document Server

    Kagan, Harris; Artuso, M; Bachmair, F; Bäni, L; Bartosik, M; Beacham, J; Beck, H P; Bellini,, V; Belyaev, V; Bentele, B; Berdermann, E; Bergonzo, P; Bes, A; Brom, J-M; Bruzzi, M; Cerv, M; Chiodini, G; Chren, D; Cindro, V; Claus, G; Collot, J; Cumalat, J; Dabrowski, A; D'Alessandro, R; De Boer, W; Dehning, B; Dorfer, C; Dunser, M; Eremin, V; Eusebi, R; Forcolin, G; Forneris, J; Frais-Kölbl, H; Gan, K K; Gastal, M; Giroletti, C; Goffe, M; Goldstein, J; Golubev, A; Gorišek, A; Grigoriev, E; Grosse-Knetter, J; Grummer, A; Gui, B; Guthoff, M; Haughton, I; Hiti, B; Hits, D; Hoeferkamp, M; Hofmann, T; Hosslet, J; Hostachy, J-Y; Hügging, F; Hutton, C; Jansen, H; Janssen, J; Kanxheri, K; Kasieczka, G; Kass, R; Kassel, F; Kis, M; Kramberger, G; Kuleshov, S; Lacoste, A; Lagomarsino, S; Lo Giudice, A; Lukosi, E; Maazouzi, C; Mandic, I; Mathieu, C; Mcfadden, N; Menichelli, M; Mikuž, M; Morozzi, A; Moss, J; Mountain, R; Murphy, S; Muškinja, M; Oh, A; Oliviero, P; Passeri, D; Pernegger, H; Perrino, R; Picollo, F; Pomorski, M; Potenza, R; Quadt, A; Re, A; Reichmann, M; Riley, G; Roe, S; Sanz, D; Scaringella, M; Schaefer, D; Schmidt, C J; Schnetzer, S; Schreiner, T; Sciortino, S; Scorzoni, A; Seidel, S; Servoli, L; Sopko, B; Sopko, V; Spagnolo, S; Spanier, S; Stenson, K; Stone, R; Sutera, C; Taylor, Aaron; Traeger, M; Tromson, D; Trischuk, W; Tuve, C; Uplegger, L; Velthuis, J; Venturi, N; Vittone, E; Wagner, Stephen; Wallny, R; Wang, J C; Weingarten, J; Weiss, C; Wengler, T; Wermes, N; Yamouni, M; Zavrtanik, M

    2017-01-01

    The status of material development of poly-crystalline chemical vapor deposition (CVD) diamond is presented. We also present beam test results on the independence of signal size on incident par-ticle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition the first beam test results from 3D detectors made with poly-crystalline CVD diamond are presented. Finally the first analysis of LHC data from the ATLAS Diamond Beam Monitor (DBM) which is based on pixelated poly-crystalline CVD diamond sensors bump-bonded to pixel readout elec-tronics is shown.

  3. Gas barrier properties of diamond-like carbon films coated on PTFE

    International Nuclear Information System (INIS)

    Ozeki, K.; Nagashima, I.; Ohgoe, Y.; Hirakuri, K.K.; Mukaibayashi, H.; Masuzawa, T.

    2009-01-01

    Diamond-like carbon (DLC) films were deposited on polytetrafluoroethylene (PTFE) using radio frequency (RF) plasma-enhanced chemical vapour deposition (PE-CVD). Before the DLC coating, the PTFE substrate was modified with a N 2 plasma pre-treatment to enhance the adhesive strength of the DLC to the substrate. The influences of the N 2 plasma pre-treatment and process pressure on the gas permeation properties of these DLC-coated PTFE samples were investigated. In the Raman spectra, the G peak position shifted to a lower wave number with increasing process pressure. With scanning electron microscopy (SEM), a network of microcracks was observed on the surface of the DLC film without N 2 plasma pre-treatment. The density of these cracks decreased with increasing process pressure. In the film subjected to a N 2 plasma pre-treatment, no cracks were observed at any process pressure. In the gas barrier test, the gas permeation decreased drastically with increasing film thickness and saturated at a thickness of 0.2 μm. The DLC-coated PTFE with the N 2 plasma pre-treatment exhibited a greater reduction in gas permeation than did the samples without pre-treatment. For both sample types, gas permeation decreased with increasing process pressure.

  4. Laser Raman microprobe spectroscopy as a diagnostic for the characterisation of diamond and diamond like carbon (DLC) thin films

    International Nuclear Information System (INIS)

    Johnston, C.

    1990-10-01

    Invariably when manufacturing an artificial diamond film a mixture of carbon is deposited - tetragonally bonded (diamond), trigonally bonded (graphite) and other allotropic crystalline forms and amorphous carbons. This imposes a need for careful analysis to determine exactly what carbon types constitute the films. Raman spectroscopy is particularly sensitive to crystal and atomic structure and has a number of advantages which make it one of the most useful techniques for interrogating diamond and DLC thin films. Although Raman spectroscopy alone cannot fully characterise the film, it can give more information than simply what particular form of carbon or other impurities are present in the film. It can be used to determine the ratio of sp 2 to sp 3 bonding within the film, and to some extent the crystallite or domain size and the internal stress of the film. The use of laser Raman microprobe spectroscopy as a diagnostic tool in the analysis of diamond and DLC thin films is demonstrated for a variety of carbon films on various substrates and the characterisation of these films is discussed. (author)

  5. Optically stimulated luminescence and thermoluminescence in CVD diamond and dosimetric evaluation in fields of ionizing radiation; Luminiscencia opticamente estimulada y termoluminiscencia en diamante DQV y evaluacion dosimetrica en campos de radiacion ionizante

    Energy Technology Data Exchange (ETDEWEB)

    Barboza F, M.; Chernov, V.; Pedroza M, M. [Centro de Investigaciones en Fisica, Universidad de Sonora, A.P. 5-088, 83190 Hermosillo, Sonora (Mexico); Schreck, M. [Universitat Augsburg, Institut fur Physik D-86135, Augsburg (Germany); Preciado F, S.; Melendrez, R. [Universidad de Sonora, A.P. 130, 83000 Hermosillo, Sonora (Mexico)

    2006-07-01

    The optically stimulated luminescence (OSL) results a highly appropriate dosimetric technique for readings of absorbed radiation 'in alive' and 'in situ', as well as in real time. The CVD diamond on the other hand presents excellent qualities like radiation reader thanks to its reproducibility, radiation resistance, biocompatibility and non toxicity. The present work studies the answer of two diamond films pure and polluted with nitrogen (750 ppm) grown by the Chemical Vapor Deposition method (CVD) on silicon substrate (001) irradiated with beta (Sr-90) in the 0.833-100 Gy interval. The optical stimulation was carried out by 40 seconds with infrared laser (830 nm, 0.36 W/cm{sup 2}) and the filter BG-39 (300-600 nm) coupled the PM. The intensity and the decay of the hyperbolic type of the LOE curves were similar in both samples, for the non doped diamond were observed trapping states in 200-380 C being compared with those that it presents the polluted diamond with nitrogen in 80-277 C. The dosimetric behavior in the pure sample is observed lineal in two regions 0-16 Gy and in 30-100 Gy, only the doped sample didn't present linearity in the all range of the studied dose. The advantage is stood out of LOE on TL because the first one not requires of thermal stimulation in such a way that is more appropriate to detect and to measure radiation doses in biomedicine. (Author)

  6. CVD diamond metallization and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Fraimovitch, D., E-mail: dimitryf@mail.tau.ac.il [Faculty of Engineering, Tel Aviv University, 69978 Tel Aviv (Israel); Adelberd, A.; Marunko, S. [Faculty of Engineering, Tel Aviv University, 69978 Tel Aviv (Israel); Lefeuvre, G. [Micron Semiconductor Ltd. Royal Buildings, Marlborough Road, Lancing Business Park, BN15 8SJ (United Kingdom); Ruzin, A. [Faculty of Engineering, Tel Aviv University, 69978 Tel Aviv (Israel)

    2017-02-11

    In this study we compared three diamond substrate grades: polycrystalline, optical grade single crystal, and electronic grade single crystal for detector application. Beside the bulk type, the choice of contact material, pre-treatment, and sputtering process details have shown to alter significantly the diamond detector performance. Characterization of diamond substrate permittivity and losses indicate grade and crystallinity related, characteristic differences for frequencies in 1 kHz–1 MHz range. Substantial grade related variations were also observed in surface electrostatic characterization performed by contact potential difference (CPD) mode of an atomic force microscope. Study of conductivity variations with temperature reveal that bulk trap energy levels are also dependent on the crystal grade.

  7. CVD diamond metallization and characterization

    International Nuclear Information System (INIS)

    Fraimovitch, D.; Adelberd, A.; Marunko, S.; Lefeuvre, G.; Ruzin, A.

    2017-01-01

    In this study we compared three diamond substrate grades: polycrystalline, optical grade single crystal, and electronic grade single crystal for detector application. Beside the bulk type, the choice of contact material, pre-treatment, and sputtering process details have shown to alter significantly the diamond detector performance. Characterization of diamond substrate permittivity and losses indicate grade and crystallinity related, characteristic differences for frequencies in 1 kHz–1 MHz range. Substantial grade related variations were also observed in surface electrostatic characterization performed by contact potential difference (CPD) mode of an atomic force microscope. Study of conductivity variations with temperature reveal that bulk trap energy levels are also dependent on the crystal grade.

  8. Nanocrystalline diamond coatings for machining

    Energy Technology Data Exchange (ETDEWEB)

    Frank, M.; Breidt, D.; Cremer, R. [CemeCon AG, Wuerselen (Germany)

    2007-07-01

    This history of CVD diamond synthesis goes back to the fifties of the last century. However, the scientific and economical potential was only gradually recognized. In the eighties, intensive worldwide research on CVD diamond synthesis and applications was launched. Industrial products, especially diamond-coated cutting tools, were introduced to the market in the middle of the nineties. This article shows the latest developments in this area, which comprises nanocrystalline diamond coating structures. (orig.)

  9. Comprehensive Evaluation of the Properties of Nanocrystalline Diamond Coatings Grown Using CVD with E/H Field Glow Discharge Stabilization

    Directory of Open Access Journals (Sweden)

    Iu. Nasieka

    2015-01-01

    Full Text Available The nanocrystalline diamond films (coatings were prepared using the plasma enhanced chemical vapor deposition (PECVD technique. In this method, direct current (DC glow discharge in the crossed E/H fields was used to activate the gas phase. The diamond coatings were deposited from the working gas mixture CH4/H2 with addition of nitrogen in various concentrations. It was ascertained that addition of N2 to the working gas mixture leads to reduction in the sizes of diamond grains as well as to the substantial decrease in the resistivity of the studied films. The electrophysical data are in good agreement with the changes induced by varying the N2 content in the Raman scattering spectra. The increase in the N2 concentration causes significant lowering of the crystalline diamond related peak and increase in the intensity of the peaks related to the sp2-bonded carbon. These changes in the spectra indicate significant disordering of the structure of prepared films and its uniformity in the nanodiamond film volume. With the great possibility, it is associated with a decrease in the sizes of diamond crystalline grains and tendency of NCD film to amorphization.

  10. Tribological behavior of diamond-like carbon film with different tribo-pairs: A size effect study

    International Nuclear Information System (INIS)

    Xie Guoxin; Zheng Beirong; Li Wei; Xue Wei

    2008-01-01

    A friction force microscope (FFM) with different probes and a ball-on-disk (BOD) tribo-meter were used to investigate the tribological properties of diamond-like carbon (DLC) films. DLC films were prepared by chemical vapor deposition (CVD) method by altering the deposition parameters, and their morphologies and structural information were examined with an atomic force microscope (AFM) and the Raman spectrum. The wear traces of the DLC films after frictional tests were analyzed by an optical microscope. It is found that surface roughness and adhesion play important roles in characterizing the tribological properties of DLC films using FFM. Moreover, the debris accumulation is another significant factor affecting the frictional behavior of DLC films, especially for the sharp tip. The difference in coefficients of friction (COFs) obtained by the BOD method among different DLC films under water lubrication is much smaller than the case without water lubrication. The variation trends in COF for the flat tip and the BOD test are similar in comparison with the result obtained with the sharp tip. The wear traces after frictional tests suggest that DLC films under water lubrication are prone to be damaged more readily

  11. Tribological behavior of diamond-like carbon film with different tribo-pairs: A size effect study

    Energy Technology Data Exchange (ETDEWEB)

    Xie Guoxin [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)], E-mail: xie-gx@163.com; Zheng Beirong [College of Mechanical and Electrical Engineering, Wenzhou University, Wenzhou 323035 (China); Li Wei [School of Science, Zhejiang University of Science and Technology, Hangzhou 310023 (China); Xue Wei [College of Mechanical and Electrical Engineering, Wenzhou University, Wenzhou 323035 (China)

    2008-08-30

    A friction force microscope (FFM) with different probes and a ball-on-disk (BOD) tribo-meter were used to investigate the tribological properties of diamond-like carbon (DLC) films. DLC films were prepared by chemical vapor deposition (CVD) method by altering the deposition parameters, and their morphologies and structural information were examined with an atomic force microscope (AFM) and the Raman spectrum. The wear traces of the DLC films after frictional tests were analyzed by an optical microscope. It is found that surface roughness and adhesion play important roles in characterizing the tribological properties of DLC films using FFM. Moreover, the debris accumulation is another significant factor affecting the frictional behavior of DLC films, especially for the sharp tip. The difference in coefficients of friction (COFs) obtained by the BOD method among different DLC films under water lubrication is much smaller than the case without water lubrication. The variation trends in COF for the flat tip and the BOD test are similar in comparison with the result obtained with the sharp tip. The wear traces after frictional tests suggest that DLC films under water lubrication are prone to be damaged more readily.

  12. Influence of CVD diamond tips and Er:YAG laser irradiation on bonding of different adhesive systems to dentin.

    Science.gov (United States)

    da Silva, Melissa Aline; Di Nicolo, Rebeca; Barcellos, Daphne Camara; Batista, Graziela Ribeiro; Pucci, Cesar Rogerio; Rocha Gomes Torres, Carlos; Borges, Alessandra Bühler

    2013-01-01

    The aim of this study was to compare the microtensile bond strength of three adhesive systems, using different methods of dentin preparation. A hundred and eight bovine teeth were used. The dentin from buccal face was exposed and prepared with three different methods, divided in 3 groups: Group 1 (DT)- diamond tip on a high-speed handpiece; Group 2 (CVD)-CVD tip on a ultrasonic handpiece; Group 3 (LA)-Er: YAG laser. The teeth were divided into 3 subgroups, according adhesive systems used: Subgroup 1-Adper Single Bond Plus/3M ESPE (SB) total-etch adhesive; Subgroup 2-Adper Scotchbond SE/3M ESPE (AS) selfetching adhesive; Subgroup 3-Clearfil SE Bond/Kuraray (CS) selfetching adhesive. Blocks of composite (Filtek Z250-3M ESPE) 4 mm high were built up and specimens were stored in deionized water for 24 hours at 37°C. Serial mesiodistal and buccolingual cuts were made and stick-like specimens were obtained, with transversal section of 1.0 mm(2). The samples were submitted to microtensile test at 1 mm/min and load of 10 kg in a universal testing machine. Data (MPa) were subjected to ANOVA and Tukey's tests (p adhesive produced significantly lower bond strength values compared to other groups. Surface treatment with Er: YAG laser associated with Single Bond Plus or Clearfil SE Bond adhesives and surface treatment with CVD tip associated with Adper Scotchbond SE adhesive produced significantly lower bond strength values compared to surface treatment with diamond or CVD tips associated with Single Bond Plus or Adper Scotchbond SE adhesives. Interactions between laser and the CVD tip technologies and the different adhesive systems can produce a satisfactory bonding strength result, so that these associations may be beneficial and enhance the clinical outcomes.

  13. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuezhang [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); Wei Qiuping, E-mail: qiupwei@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yu Zhiming, E-mail: zhiming@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yang Taiming; Zhai Hao [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. Black-Right-Pointing-Pointer The nucleation density was increased to 10{sup 11} cm{sup -2}. Black-Right-Pointing-Pointer Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. Black-Right-Pointing-Pointer Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp{sup 3}-bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10{sup 11} cm{sup -2}, and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  14. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    International Nuclear Information System (INIS)

    Liu Xuezhang; Wei Qiuping; Yu Zhiming; Yang Taiming; Zhai Hao

    2013-01-01

    Highlights: ► Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. ► The nucleation density was increased to 10 11 cm −2 . ► Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. ► Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp 3 -bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10 11 cm −2 , and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  15. Silicon Oil DC200(R)5CST as AN Alternative Coolant for Cvd Diamond Windows

    Science.gov (United States)

    Vaccaro, A.; Aiello, G.; Meier, A.; Schere, T.; Schreck, S.; Spaeh, P.; Strauss, D.; Gantenbein, G.

    2011-02-01

    The production of high power mm-wave radiation is a key technology in large fusion devices, since it is required for localized plasma heating and current drive. Transmission windows are necessary to keep the vacuum in the gyrotron system and also act as tritium barriers. With its excellent optical, thermal and mechanical properties, synthetic CVD (Chemical Vapor Deposition) diamond is the state of the art material for the cw transmission of the mm-wave beams produced by high power gyrotrons. The gyrotrons foreseen for the W7-X stellarator are designed for cw operation with 1 MW output power at 140 GHz. The output window unit is designed by TED (Thales Electron Devices, France) using a single edge circumferentially cooled CVD-diamond disc with an aperture of 88 mm. The window unit is cooled by de-ionized water which is considered as chemical aggressive and might cause corrosion in particular at the brazing. The use of a different coolant such as silicon oil could prevent this issue. The cooling circuit has been simulated by steady-state CFD analysis. A total power generation of 1 kW (RF transmission losses) with pure Gaussian distribution has been assumed for the diamond disc. The performance of both water and the industrial silicon oil DC200(R) have been investigated and compared with a focus on the temperature distribution on the disc, the pressure drop across the cooling path and the heat flux distribution. Although the silicon oil has a higher viscosity (~x5), lower heat capacity (~x1/2) and lower thermal conductivity (~x1/3), it has proven to be a good candidate as alternative to water.

  16. A Review on the Low-Dimensional and Hybridized Nanostructured Diamond Films

    Directory of Open Access Journals (Sweden)

    Hongdong Li

    2015-01-01

    Full Text Available In the last decade, besides the breakthrough of high-rate growth of chemical vapor deposited single-crystal diamonds, numerous nanostructured diamond films have been rapidly developed in the research fields of the diamond-based sciences and industrial applications. The low-dimensional diamonds of two-dimensional atomic-thick nanofilms and nanostructural diamond on the surface of bulk diamond films have been theoretically and experimentally investigated. In addition, the diamond-related hybrid nanostructures of n-type oxide/p-type diamond and n-type nitride/p-type diamond, having high performance physical and chemical properties, are proposed for further applications. In this review, we first briefly introduce the three categories of diamond nanostructures and then outline the current advances in these topics, including their design, fabrication, characterization, and properties. Finally, we address the remaining challenges in the research field and the future activities.

  17. VOx effectively doping CVD-graphene for transparent conductive films

    Science.gov (United States)

    Ji, Qinghua; Shi, Liangjing; Zhang, Qinghong; Wang, Weiqi; Zheng, Huifeng; Zhang, Yuzhi; Liu, Yangqiao; Sun, Jing

    2016-11-01

    Chemical vapor deposition(CVD)-synthesized graphene is potentially an alternative for tin-doped indium oxide (ITO) transparent conductive films (TCFs), however its sheet resistance is still too high to meet many demands. Vanadium oxide has been widely applied as smart window materials, however, no study has been reported to use it as dopant to improve the conductivity of graphene TCFs. In this study, we firstly reported that VOx doping can effectively lower the sheet resistance of CVD-graphene films while keeping its good optical properties, whose transmittance is as high as 86-90%. The optimized VOx-doped graphene exhibits a sheet resistance as low as 176 Ω/□, which decreases by 56% compared to the undoped graphene films. The doping process is convenient, stable, economical and easy to operate. What is more, VOx can effectively increase the work function(WF) of the film, making it more appropriate for use in solar cells. The evolution of the VOx species annealed at different temperatures below 400 °C has been detailed studied for the first time, based on which the doping mechanism is proposed. The prepared VOx doped graphene is expected to be a promising candidate for transparent conductive film purposes.

  18. Panel 2 - properties of diamond and diamond-like-carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Blau, P.J.; Clausing, R.E. [Oak Ridge National Lab., TN (United States); Ajayi, O.O.; Liu, Y.Y.; Purohit, A. [Argonne National Lab., IL (United States); Bartelt, P.F. [Deere & Co., Moline, IL (United States); Baughman, R.H. [Allied Signal, Morristown, NJ (United States); Bhushan, B. [Ohio State Univ., Columbus (United States); Cooper, C.V. [United Technologies Research Center, East Hartford, CT (United States); Dugger, M.T. [Sandia National Laboratories, Albuquerque, NM (United States); Freedman, A. [Aerodyne Research, Inc., Billerica, MA (United States); Larsen-Basse, J. [National Science Foundation, Washington, DC (United States); McGuire, N.R. [Caterpillar, Peoria, IL (United States); Messier, R.F. [Pennsylvania State Univ., University Park (United States); Noble, G.L.; Ostrowki, M.H. [John Crane, Inc., Morton Grove, IL (United States); Sartwell, B.D. [Naval Research Lab., Washington, DC (United States); Wei, R. [Colorado State Univ., Fort Collins (United States)

    1993-01-01

    This panel attempted to identify and prioritize research and development needs in determining the physical, mechanical and chemical properties of diamond and diamond-like-carbon films (D/DLCF). Three specific goals were established. They were: (1) To identify problem areas which produce concern and require a better knowledge of D/DLCF properties. (2) To identify and prioritize key properties of D/DLCF to promote transportation applications. (3) To identify needs for improvement in properties-measurement methods. Each of these goals is addressed subsequently.

  19. Cell adhesion and growth on ultrananocrystalline diamond and diamond-like carbon films after different surface modifications

    Czech Academy of Sciences Publication Activity Database

    Mikšovský, Jan; Voss, A.; Kozarova, R.; Kocourek, Tomáš; Písařík, Petr; Ceccone, G.; Kulisch, W.; Jelínek, Miroslav; Apostolova, M.D.; Reithmaier, J.P.; Popov, C.

    2014-01-01

    Roč. 297, APR (2014), s. 95-102 ISSN 0169-4332 R&D Projects: GA MŠk LD12069 Institutional support: RVO:68378271 Keywords : ultrananocrystalline diamond films * diamond -like carbon films * surface modification * direct contact cell tests Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.711, year: 2014 http://www.sciencedirect.com/science/article/pii/S0169433214001251

  20. Grain boundaries and mechanical properties of nanocrystalline diamond films.

    Energy Technology Data Exchange (ETDEWEB)

    Busmann, H.-G.; Pageler, A.; Gruen, D. M.

    1999-08-06

    Phase-pure nanocrystalline diamond thin films grown from plasmas of a hydrogen-poor carbon argon gas mixture have been analyzed regarding their hardness and elastic moduli by means of a microindentor and a scanning acoustic microscope.The films are superhard and the moduli rival single crystal diamond. In addition, Raman spectroscopy with an excitation wavelength of 1064 nm shows a peak at 1438 l/cm and no peak above 1500 l/cm, and X-ray photoelectron spectroscopy a shake-up loss at 4.2 eV. This gives strong evidence for the existence of solitary double bonds in the films. The hardness and elasticity of the films then are explained by the assumption, that the solitary double bonds interconnect the nanocrystals in the films, leading to an intergrain boundary adhesion of similar strength as the intragrain diamond cohesion. The results are in good agreement with recent simulations of high-energy grain boundaries.

  1. Protein-modified nanocrystalline diamond thin films for biosensor applications.

    Science.gov (United States)

    Härtl, Andreas; Schmich, Evelyn; Garrido, Jose A; Hernando, Jorge; Catharino, Silvia C R; Walter, Stefan; Feulner, Peter; Kromka, Alexander; Steinmüller, Doris; Stutzmann, Martin

    2004-10-01

    Diamond exhibits several special properties, for example good biocompatibility and a large electrochemical potential window, that make it particularly suitable for biofunctionalization and biosensing. Here we show that proteins can be attached covalently to nanocrystalline diamond thin films. Moreover, we show that, although the biomolecules are immobilized at the surface, they are still fully functional and active. Hydrogen-terminated nanocrystalline diamond films were modified by using a photochemical process to generate a surface layer of amino groups, to which proteins were covalently attached. We used green fluorescent protein to reveal the successful coupling directly. After functionalization of nanocrystalline diamond electrodes with the enzyme catalase, a direct electron transfer between the enzyme's redox centre and the diamond electrode was detected. Moreover, the modified electrode was found to be sensitive to hydrogen peroxide. Because of its dual role as a substrate for biofunctionalization and as an electrode, nanocrystalline diamond is a very promising candidate for future biosensor applications.

  2. Growth and characterization of nanodiamond layers prepared using the plasma-enhanced linear antennas microwave CVD system

    Energy Technology Data Exchange (ETDEWEB)

    Fendrych, Frantisek; Taylor, Andrew; Peksa, Ladislav; Kratochvilova, Irena; Kluiber, Zdenek; Fekete, Ladislav [Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i, Na Slovance 2, CZ-18221 Prague 8 (Czech Republic); Vlcek, Jan [Department of Physics and Measurement, Institute of Chemical Technology Prague, Technicka 5, CZ-16628 Prague 6 (Czech Republic); Rezacova, Vladimira; Petrak, Vaclav [Faculty of Biomedical Engineering, Czech Technical University, Sitna 3105, CZ-27201 Kladno 2 (Czech Republic); Liehr, Michael [Leybold Optics Dresden GmbH, Zur Wetterwarte 50, D-01109 Dresden (Germany); Nesladek, Milos, E-mail: fendrych@fzu.c [IMOMEC division, IMEC, Institute for Materials Research, University Hasselt, Wetenschapspark 1, B-3590 Diepenbeek (Belgium)

    2010-09-22

    Industrial applications of plasma-enhanced chemical vapour deposition (CVD) diamond grown on large area substrates, 3D shapes, at low substrate temperatures and on standard engineering substrate materials require novel plasma concepts. Based on the pioneering work of the group at AIST in Japan, the high-density coaxial delivery type of plasmas has been explored (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). However, an important challenge is to obtain commercially interesting growth rates at very low substrate temperatures. In this work we introduce the concept of novel linear antenna sources, designed at Leybold Optics Dresden, using high-frequency pulsed MW discharge with a high plasma density. This type of pulse discharges leads to the preparation of nanocrystalline diamond (NCD) thin films, compared with ultra-NCD thin films prepared in (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). We present optical emission spectroscopy data for the CH{sub 4}-CO{sub 2}-H{sub 2} gas chemistry and we discuss the basic properties of the NCD films grown.

  3. Ferromagnetism appears in nitrogen implanted nanocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Remes, Zdenek [Institute of Physics ASCR v.v.i., Cukrovarnicka 10, 162 00 Prague 6 (Czech Republic); Sun, Shih-Jye, E-mail: sjs@nuk.edu.tw [Department of Applied Physics, National University of Kaohsiung, Kaohsiung 811, Taiwan (China); Varga, Marian [Department of Applied Physics, National University of Kaohsiung, Kaohsiung 811, Taiwan (China); Chou, Hsiung [Department of Physics, National Sun Yat-Sen University, Kaohsiung 804, Taiwan (China); Hsu, Hua-Shu [Department of Applied Physics, National Pingtung University of Education, Pingtung 900, Taiwan (China); Kromka, Alexander [Department of Applied Physics, National University of Kaohsiung, Kaohsiung 811, Taiwan (China); Horak, Pavel [Nuclear Physics Institute, 250 68 Rez (Czech Republic)

    2015-11-15

    The nanocrystalline diamond films turn to be ferromagnetic after implanting various nitrogen doses on them. Through this research, we confirm that the room-temperature ferromagnetism of the implanted samples is derived from the measurements of magnetic circular dichroism (MCD) and superconducting quantum interference device (SQUID). Samples with larger crystalline grains as well as higher implanted doses present more robust ferromagnetic signals at room temperature. Raman spectra indicate that the small grain-sized samples are much more disordered than the large grain-sized ones. We propose that a slightly large saturated ferromagnetism could be observed at low temperature, because the increased localization effects have a significant impact on more disordered structure. - Highlights: • Nitrogen implanted nanocrystalline diamond films exhibit ferromagnetism at room temperature. • Nitrogen implants made a Raman deviation from the typical nanocrystalline diamond films. • The ferromagnetism induced from the structure distortion is dominant at low temperature.

  4. Optimization of Cvd Diamond Coating Type on Micro Drills in Pcb Machining

    Science.gov (United States)

    Lei, X. L.; He, Y.; Sun, F. H.

    2016-12-01

    The demand for better tools for machining printed circuit boards (PCBs) is increasing due to the extensive usage of these boards in digital electronic products. This paper is aimed at optimizing coating type on micro drills in order to extend their lifetime in PCB machining. First, the tribotests involving micro crystalline diamond (MCD), nano crystalline diamond (NCD) and bare tungsten carbide (WC-Co) against PCBs show that NCD-PCB tribopair exhibits the lowest friction coefficient (0.35) due to the unique nano structure and low surface roughness of NCD films. Thereafter, the dry machining performance of the MCD- and NCD-coated micro drills on PCBs is systematically studied, using diamond-like coating (DLC) and TiAlN-coated micro drills as comparison. The experiments show that the working lives of these micro drills can be ranked as: NCD>TiAlN>DLC>MCD>bare WC-Co. The superior cutting performance of NCD-coated micro drills in terms of the lowest flank wear growth rate, no tool degradation (e.g. chipping, tool tipping) appearance, the best hole quality as well as the lowest feed force may come from the excellent wear resistance, lower friction coefficient against PCB as well as the high adhesive strength on the underneath substrate of NCD films.

  5. Method for producing fluorinated diamond-like carbon films

    Science.gov (United States)

    Hakovirta, Marko J.; Nastasi, Michael A.; Lee, Deok-Hyung; He, Xiao-Ming

    2003-06-03

    Fluorinated, diamond-like carbon (F-DLC) films are produced by a pulsed, glow-discharge plasma immersion ion processing procedure. The pulsed, glow-discharge plasma was generated at a pressure of 1 Pa from an acetylene (C.sub.2 H.sub.2) and hexafluoroethane (C.sub.2 F.sub.6) gas mixture, and the fluorinated, diamond-like carbon films were deposited on silicon substrates. The film hardness and wear resistance were found to be strongly dependent on the fluorine content incorporated into the coatings. The hardness of the F-DLC films was found to decrease considerably when the fluorine content in the coatings reached about 20%. The contact angle of water on the F-DLC coatings was found to increase with increasing film fluorine content and to saturate at a level characteristic of polytetrafluoroethylene.

  6. Study of the diamond and diamond like films formation and technology development for the films precipitation on solid surface for wear resistance increasing of tools, machine and mechanism parts

    International Nuclear Information System (INIS)

    Imanbekov, Z.; Bekmuhambetov, E.

    1996-01-01

    Purpose of the project: The purpose of the project is a development and a creation of an effective technology of the diamond and diamond like films precipitation on materials, including refractory metals, semiconductors and composite on the carbon fibers base. The study method includes the experimental investigation of the base surface structure and properties, preparation of the surface for diamond and diamond like coats growing. The precipitation of above mentioned films will be carried out from the plasma being formed from carbon gas medium at low pressure. The main purposes of the project are: - development of the technology for obtaining the films with specified properties; provision of required rate -of the precipitation; - decreasing of temperature; - manufacturing of the functioning laboratory stand with further developing of a pilot- - commercial plant for diamond and diamond like coating. It is supposed to develop a method of the monocrystal diamond films growing, that are useful for semiconductor devices manufacturing The methods: A thermo-emission and ECR methods will be used for investigation of the diamond and diamond like films formation and growth processes. The plant that will be used for the first method is a two electrode construction. Refractory metals (W,Re) being heated up to 2500 Kelvin degrees, are usually used as an actuating electrode. The second one is a base on which the precipitation is carrying out. Refractory metals, steel, silicon can be used as the base. Actuating medium is a mixture of carbon and inert gases. The second method is based on a principle of a plasma formation with use of 2.45 GHz Shf radiation power. An ECR-plasma is formed in conjunction with magnetic field in the actuating chamber. This method allows to precipitate high quality films at lower pressure. Expected results: The main purpose of the project is to assimilate the high effective technology of the diamond and diamond like films precipitation on different

  7. Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films

    International Nuclear Information System (INIS)

    Ohdaira, Keisuke; Tomura, Naohito; Ishii, Shohei; Matsumura, Hideki

    2011-01-01

    We investigate residual forms of hydrogen (H) atoms such as bonding configuration in poly-crystalline silicon (poly-Si) films formed by the flash-lamp-induced crystallization of catalytic chemical vapor deposited (Cat-CVD) a-Si films. Raman spectroscopy reveals that at least part of H atoms in flash-lamp-crystallized (FLC) poly-Si films form Si-H 2 bonds as well as Si-H bonds with Si atoms even using Si-H-rich Cat-CVD a-Si films, which indicates the rearrangement of H atoms during crystallization. The peak desorption temperature during thermal desorption spectroscopy (TDS) is as high as 900 o C, similar to the reported value for bulk poly-Si.

  8. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  9. Electronic properties of single crystal CVD diamond and its suitability for particle detection in hadron physics experiments

    Energy Technology Data Exchange (ETDEWEB)

    Pomorski, Michal

    2008-08-07

    This work presents the study on the suitability of single-crystal CVD diamond for particle-detection systems in present and future hadron physics experiments. Different characterization methods of the electrical and the structural properties were applied to gain a deeper understanding of the crystal quality and the charge transport properties of this novel semiconductor material. First measurements regarding the radiation tolerance of diamond were performed with sensors heavily irradiated with protons and neutrons. Finally, detector prototypes were fabricated and successfully tested in various experiments as time detectors for minimum ionizing particles as well as for spectroscopy of heavy ions at the energy ranges available at the SIS and the UNILAC facilities of GSI. (orig.)

  10. Electronic properties of single crystal CVD diamond and its suitability for particle detection in hadron physics experiments

    International Nuclear Information System (INIS)

    Pomorski, Michal

    2008-01-01

    This work presents the study on the suitability of single-crystal CVD diamond for particle-detection systems in present and future hadron physics experiments. Different characterization methods of the electrical and the structural properties were applied to gain a deeper understanding of the crystal quality and the charge transport properties of this novel semiconductor material. First measurements regarding the radiation tolerance of diamond were performed with sensors heavily irradiated with protons and neutrons. Finally, detector prototypes were fabricated and successfully tested in various experiments as time detectors for minimum ionizing particles as well as for spectroscopy of heavy ions at the energy ranges available at the SIS and the UNILAC facilities of GSI. (orig.)

  11. Physics and applications of CVD diamond

    CERN Document Server

    Koizumi, Satoshi; Nesladek, Milos

    2008-01-01

    Here, leading scientists report on why and how diamond can be optimized for applications in bioelectronic and electronics. They cover such topics as growth techniques, new and conventional doping mechanisms, superconductivity in diamond, and excitonic properties, while application aspects include quantum electronics at room temperature, biosensors as well as diamond nanocantilevers and SAWs.Written in a review style to make the topic accessible for a wider community of scientists working in interdisciplinary fields with backgrounds in physics, chemistry, biology and engineering, this is e

  12. Noble gas studies in vapor-growth diamonds: Comparison with shock-produced diamonds and the origin of diamonds in ureilites

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, Junichi; Fukunaga, Kazuya; Ito, Keisuke (Kobe Univ. (Japan))

    1991-07-01

    The authors synthesized vapor-trowth diamonds by two kinds of Chemical Vapor Deposition (CVD) using microwave (MWCVD) and hot filament (HFCVD) ionization of gases, and examined elemental abundances and isotopic compositions of the noble gases trapped in the diamonds. It is remarkable that strong differences existed in the noble gas concentrations in the two kinds of CVD diamonds: large amounts of noble gases were trapped in the MWCVD diamonds, but not in the HFCVD diamonds. The heavy noble gases (Ar to Xe) in the MWCVD diamonds were highly fractionated compared with those in the ambient atmosphere, and are in good agreement with the calculated fractionation patterns for plasma at an electron temperature of 7,000-9,000 K. These results strongly suggest that the trapping mechanism of noble gases in CVD diamonds is ion implantation during diamond growth. The degrees of fractionation of heavy noble gases were also in good agreement with those in ureilites. The vapor-growth hypothesis is discussed in comparison with the impact-shock hypothesis as a better model for the origin of diamonds in ureilites. The diamond (and graphite, amorphous carbon, too) may have been deposited on early condensates such as Re, Ir, W, etc. This model explains the chemical features of vein material in ureilites; the refractory siderophile elements are enriched in carbon and noble gases and low in normal siderophiles. The vapor-growth model is also compatible with the oxygen isotopic data of ureilites which suggests that nebular processes are primarily responsible for the composition of ureilites.

  13. Hydrogen content and density in nanocrystalline carbon films of a predominant diamond character

    International Nuclear Information System (INIS)

    Hoffman, A.; Heiman, A.; Akhvlediani, R.; Lakin, E.; Zolotoyabko, E.; Cyterman, C.

    2003-01-01

    Nanocrystalline carbon films possessing a prevailing diamond or graphite character, depending on substrate temperature, can be deposited from a methane hydrogen mixture by the direct current glow discharge plasma chemical vapor deposition method. While at a temperature of ∼880 deg. C, following the formation of a thin precursor graphitic film, diamond nucleation occurs and a nanodiamond film grows, at higher and lower deposition temperatures the films maintain their graphitic character. In this study the hydrogen content, density and nanocrystalline phase composition of films deposited at various temperatures are investigated. We aim to elucidate the role of hydrogen in nanocrystalline films with a predominant diamond character. Secondary ion mass spectroscopy revealed a considerable increase of the hydrogen concentration in the films that accompanies the growth of nanodiamond. It correlates with near edge x-ray adsorption spectroscopy measurements, that showed an appearance of spectroscopic features associated with the diamond structure, and with a substantial increase of the film density detected by x-ray reflectivity. Electron energy loss spectroscopy showed that nanocrystalline diamond films can be deposited from a CH 4 /H 2 mixture with hydrogen concentration in the 80%-95% range. For a deposition temperature of 880 deg. C, the highest diamond character of the films was found for a hydrogen concentration of 91% of H 2 . The deposition temperature plays an important role in diamond formation, strongly influencing the content of adsorbed hydrogen with an optimum at 880 deg. C. It is suggested that diamond nucleation and growth of the nanodiamond phase is driven by densification of the deposited graphitic films which results in high local compressive stresses. Nanodiamond formation is accompanied by an increase of hydrogen concentration in the films. It is suggested that hydrogen retention is critical for stabilization of nanodiamond crystallites. At lower

  14. Commissioning and first operation of the pCVD diamond ATLAS Beam Conditions Monitor

    CERN Document Server

    Dobos, D

    2009-01-01

    The main aim of the ATLAS Beam Conditions Monitor is to protect the ATLAS Inner Detector silicon trackers from high radiation doses caused by LHC beam incidents, e.g. magnet failures. The BCM uses in total 16 1x1 cm2 500 μm thick polycrystalline chemical vapor deposition (pCVD) diamond sensors. They are arranged in 8 positions around the ATLAS LHC interaction point. Time difference measurements with sub nanosecond resolution are performed to distinguish between particles from a collision and spray particles from a beam incident. An abundance of the latter leads the BCM to provoke an abort of the LHC beam. A FPGA based readout system with a sampling rate of 2.56 GHz performs the online data analysis and interfaces the results to ATLAS and the beam abort system. The BCM diamond sensors, the detector modules and their readout system are described. Results of the operation with the first LHC beams are reported and results of commissioning and timing measurements (e.g. with cosmic muons) in preparation for first ...

  15. Diamond sensors for future high energy experiments

    Energy Technology Data Exchange (ETDEWEB)

    Bachmair, Felix, E-mail: bachmair@phys.ethz.ch

    2016-09-21

    With the planned upgrade of the LHC to High-Luminosity-LHC [1], the general purpose experiments ATLAS and CMS are planning to upgrade their innermost tracking layers with more radiation tolerant technologies. Chemical Vapor Deposition CVD diamond is one such technology. CVD diamond sensors are an established technology as beam condition monitors in the highest radiation areas of all LHC experiments. The RD42-collaboration at CERN is leading the effort to use CVD diamond as a material for tracking detectors operating in extreme radiation environments. An overview of the latest developments from RD42 is presented including the present status of diamond sensor production, a study of pulse height dependencies on incident particle flux and the development of 3D diamond sensors.

  16. Ion-implantation of erbium into the nanocrystalline diamond thin films

    Czech Academy of Sciences Publication Activity Database

    Nekvindová, P.; Babchenko, Oleg; Cajzl, J.; Kromka, Alexander; Macková, Anna; Malinský, Petr; Oswald, Jiří; Prajzler, Václav; Remeš, Zdeněk; Varga, Marián

    2016-01-01

    Roč. 18, 7-8 (2016), s. 679-684 ISSN 1454-4164 R&D Projects: GA ČR(CZ) GA14-05053S; GA MŠk(CZ) LM2011019 Institutional support: RVO:68378271 ; RVO:61389005 Keywords : nanocrystalline diamond * optical waveguides * erbium * luminescence * ion implantation * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.449, year: 2016

  17. Influence of grain boundaries on elasticity and thermal conductivity of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Mohr, Markus; Daccache, Layal; Horvat, Sebastian; Brühne, Kai; Jacob, Timo; Fecht, Hans-Jörg

    2017-01-01

    Diamond combines several outstanding material properties such as the highest thermal conductivity and highest elastic moduli of all materials. This makes diamond an interesting candidate for a multitude of applications. Nonetheless, nanocrystalline diamond films, layers and coatings, usually show properties different to those of single crystalline diamond. This is usually attributed to the larger volume fraction of the grain boundaries with atomic structure different from the single crystal. In this work we measured Young's modulus and thermal conductivity of nanocrystalline diamond films with average grain sizes ranging from 6 to 15 nm. The measured thermal conductivities are modeled considering the thermal boundary conductance between grains as well as a grain size effect on the phonon mean free path. We make a comparison between elastic modulus and thermal boundary conductance of the grain boundaries G_k for different nanocrystalline diamond films. We conclude that the grain boundaries thermal boundary conductance G_k is a measure of the cohesive energy of the grain boundaries and therefore also of the elastic modulus of the nanocrystalline diamond films.

  18. Infrared absorption study of hydrogen incorporation in thick nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Tang, C.J.; Neves, A.J.; Carmo, M.C.

    2005-01-01

    We present an infrared (IR) optical absorbance study of hydrogen incorporation in nanocrystalline diamond films. The thick nanocrystalline diamond films were synthesized by microwave plasma-assisted chemical vapor deposition and a high growth rate about 3.0 μm/h was achieved. The morphology, phase quality, and hydrogen incorporation were assessed by means of scanning electron microscopy, Raman spectroscopy, and Fourier-transform infrared spectroscopy (FTIR). Large amount of hydrogen bonded to nanocrystalline diamond is clearly evidenced by the huge CH stretching band in the FTIR spectrum. The mechanism of hydrogen incorporation is discussed in light of the growth mechanism of nanocrystalline diamond. This suggests the potential of nanocrystalline diamond for IR electro-optical device applications

  19. Visible sub-band gap photoelectron emission from nitrogen doped and undoped polycrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Elfimchev, S., E-mail: sergeyel@tx.technion.ac.il; Chandran, M.; Akhvlediani, R.; Hoffman, A.

    2017-07-15

    Highlights: • Nitrogen related centers in diamond film are mainly responsible for visible sub-band-gap photoelectron emission. • The influence of film thickness and substrate on the measured photoelectron emission yields was not found. • Nanocrystalline diamonds have low electron emission yields most likely because of high amount of defects. • Visible sub-band gap photoelectron emission may increase with temperature due to electron trapping/detrapping processes. - Abstract: In this study the origin of visible sub-band gap photoelectron emission (PEE) from polycrystalline diamond films is investigated. The PEE yields as a function of temperature were studied in the wavelengths range of 360–520 nm. Based on the comparison of electron emission yields from diamond films deposited on silicon and molybdenum substrates, with different thicknesses and nitrogen doping levels, we suggested that photoelectrons are generated from nitrogen related centers in diamond. Our results show that diamond film thickness and substrate material have no significant influence on the PEE yield. We found that nanocrystalline diamond films have low electron emission yields, compared to microcrystalline diamond, due to the presence of high amount of defects in the former, which trap excited electrons before escaping into the vacuum. However, the low PEE yield of nanocrystalline diamond films was found to increase with temperature. The phenomenon was explained by the trap assisted photon enhanced thermionic emission (ta-PETE) model. According to the ta-PETE model, photoelectrons are trapped by shallow traps, followed by thermal excitation at elevated temperatures and escape into the vacuum. Activation energies of trap levels were estimated for undoped nanocrystalline, undoped microcrystalline and N-doped diamond films using the Richardson-Dushman equation, which gives 0.13, 0.39 and 0.04 eV, respectively. Such low activation energy of trap levels makes the ta-PETE process very

  20. Parametric assessments on hydrogenic species transport in CVD-diamond vacuum windows used in ITER ECRH

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, C.; Sedano, L.A.; Fernandez, A. [EURATOM-CIEMAT Association, Madrid (Spain)

    2007-07-01

    Insulators used as H and CD and Diagnostic vacuum windows (VW) in ITER may become modified by surface intake and bulk transport of hydrogenic species. VW, operating under severe radiation levels, have a primary safety role as tritium confinement barriers. Ionizing radiation enhances the (H') uptake and release at surfaces and diffusion rates in the bulk. Radiation damage modifies the material's bulk trapped inventories by increasing steady state trapping centre concentrations. An experimental programme is ongoing at CIEMAT, to quantify radiation effects on H transport characteristics and also the possible impact on the VW. The reference material for ECRH VW is CVD diamond. As a parallel activity, parametric transport assessments are being made in order to obtain a wide evaluation of permeation fluxes, ranges, and soluted/trapped inventories in CVD diamond. Transport models have been developed based on extended capabilities of finite differences integrator tool TMAP7. Special attention is paid to radiation parameters defining inputs acting on transport magnitudes. These inputs have been analysed by using ionizing/damage radiation transport tools such as MCNPX/SRIM. VW operational scenarios are discussed with special attention being paid to the ITER design assumptions for the values of H-species source terms (neutrals and implanted) in the ECRH system. The available material transport database with and without radiation is discussed and taken as reference for this parametric exercise. Permeation fluxes through base materials are shown to be below DRG limits established for ITER. (orig.)

  1. Graphene diamond-like carbon films heterostructure

    International Nuclear Information System (INIS)

    Zhao, Fang; Afandi, Abdulkareem; Jackman, Richard B.

    2015-01-01

    A limitation to the potential use of graphene as an electronic material is the lack of control over the 2D materials properties once it is deposited on a supporting substrate. Here, the use of Diamond-like Carbon (DLC) interlayers between the substrate and the graphene is shown to offer the prospect of overcoming this problem. The DLC films used here, more properly known as a-C:H with ∼25% hydrogen content, have been terminated with N or F moieties prior to graphene deposition. It is found that nitrogen terminations lead to an optical band gap shrinkage in the DLC, whilst fluorine groups reduce the DLC's surface energy. CVD monolayer graphene subsequently transferred to DLC, N terminated DLC, and F terminated DLC has then been studied with AFM, Raman and XPS analysis, and correlated with Hall effect measurements that give an insight into the heterostructures electrical properties. The results show that different terminations strongly affect the electronic properties of the graphene heterostructures. G-F-DLC samples were p-type and displayed considerably higher mobility than the other heterostructures, whilst G-N-DLC samples supported higher carrier densities, being almost metallic in character. Since it would be possible to locally pattern the distribution of these differing surface terminations, this work offers the prospect for 2D lateral control of the electronic properties of graphene layers for device applications

  2. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films - Coating characterization and first cell biological results.

    Science.gov (United States)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD>HAp/B-NCD>uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  3. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  4. Charge transfer effects, thermo and photochromism in single crystal CVD synthetic diamond.

    Science.gov (United States)

    Khan, R U A; Martineau, P M; Cann, B L; Newton, M E; Twitchen, D J

    2009-09-09

    We report on the effects of thermal treatment and ultraviolet irradiation on the point defect concentrations and optical absorption profiles of single crystal CVD synthetic diamond. All thermal treatments were below 850 K, which is lower than the growth temperature and unlikely to result in any structural change. UV-visible absorption spectroscopy measurements showed that upon thermal treatment (823 K), various broad absorption features diminished: an absorption band at 270 nm (used to deduce neutral single substitutional nitrogen (N(S)(0)) concentrations) and also two broad features centred at approximately 360 and 520 nm. Point defect centre concentrations as a function of temperature were also deduced using electron paramagnetic resonance (EPR) spectroscopy. Above ∼500 K, we observed a decrease in the concentration of N(S)(0) centres and a concomitant increase in the negatively charged nitrogen-vacancy-hydrogen (NVH) complex (NVH(-)) concentration. Both transitions exhibited an activation energy between 0.6 and 1.2 eV, which is lower than that for the N(S)(0) donor (∼1.7 eV). Finally, it was found that illuminating samples with intense short-wave ultraviolet light recovered the N(S)(0) concentration and also the 270, 360 and 520 nm absorption features. From these results, we postulate a valence band mediated charge transfer process between NVH and single nitrogen centres with an acceptor trap depth for NVH of 0.6-1.2 eV. Because the loss of N(S)(0) concentration is greater than the increase in NVH(-) concentration we also suggest the presence of another unknown acceptor existing at a similar energy to NVH. The extent to which the colour in CVD synthetic diamond is dependent on prior history is discussed.

  5. Field electron emission characteristics of chemical vapour deposition diamond films with controlled sp2 phase concentration

    International Nuclear Information System (INIS)

    Lu, X.; Yang, Q.; Xiao, C.; Hirose, A.

    2008-01-01

    Diamond films were synthesized in a microwave plasma-enhanced chemical vapour deposition reactor. The microstructure and surface morphology of deposited films were characterized by Raman spectroscope and scanning electron microscope. The sp 2 phase concentration in diamond films was varied and its effect on the field electron emission (FEE) properties was investigated. Diamond films deposited under higher methane concentration exhibit better FEE property including lower turn-on electric field and larger emission current. The predominating factor modifying the FEE property is presumed to be the increase of sp 2 phase concentration. The influence of bias voltage on the FEE property of diamond films is not monotonic. Postgrowth acid treatment reduces the sp 2 phase content in diamond films without changing diamond grain sizes. The corresponding FEE property was degraded

  6. Indigenous development of diamond detectors for monitoring neutrons

    International Nuclear Information System (INIS)

    Singh, Arvind; Amit Kumar; Topkar, Anita; Pithawa, C.K.

    2013-01-01

    High purity synthetic chemically vapor deposited (CVD) diamond has several outstanding characteristics that make it as an important material for detector applications specifically for extreme environmental conditions like high temperature, high radiation, and highly corrosive environments. Diamond detectors are especially considered promising for monitoring fast neutrons produced by the D-T nuclear fusion reactions in next generation fusion facilities such as ITER. When fast neutrons interact with carbon, elastic, inelastic and (n,α) type reactions can occur. These reactions can be employed for the detection of fast neutrons using diamond. We have initiated the development of diamond detectors based on synthetic CVD substrates. In this paper, the first test of a polycrystalline CVD diamond detector with fast neutrons is reported. The test results demonstrate that this detector can be used for monitoring fast neutrons. The diamond detectors have been fabricated using 5 mm x 5 mm, 300 μm polycrystalline diamond substrates. Aluminum metallization has been used on both sides of the detector to provide electrical contacts. The performance of fabricated detectors was first evaluated using current and capacitance measurements. The leakage current was observed to be stable and about a few pAs for voltages up to 300V. The capacitance-voltage characteristics showed a constant capacitance which is as expected. To confirm the response of the detector to charged particles, the pulse height spectrum (PHS) was obtained using 238 Pu- 239 Pu dual α- source. The PHS showed a continuum without any peak due to polycrystalline nature of diamond film. The response of the detector to fast neutrons has been studied using the fast neutron facility at NXF, BARC. The PHS obtained for a neutron yield of 4 x 10 8 n/s is shown. The average counts per second (cps) measured for diamond detector for different neutron yields is shown. The plot shows linearity with coefficient of determination R

  7. CVD diamond - fundamental phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Yarbrough, W.A. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This compilation of figures and diagrams addresses the basic physical processes involved in the chemical vapor deposition of diamond. Different methods of deposition are illustrated. For each method, observations are made of the prominent advantages and disadvantages of the technique. Chemical mechanisms of nucleation are introduced.

  8. Catalytic growth of carbon nanowires on composite diamond/silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sellam, Amine [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Miska, Patrice [Université de Lorraine, Institut Jean Lamour, Département P2M (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Ghanbaja, Jaafar [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Barrat, Silvère, E-mail: Silvere.Barrat@ijl.nancy-universite.fr [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France)

    2014-01-01

    Polycrystalline diamond (PCD) films and carbon nanowires (CNWs) provide individually highly attractive properties for science and technology applications. The possibility of carbon composite materials made from a combination of these materials remains a potential approach widely discussed in literature but modestly investigated. We report in this work an early attempt to explore this opportunity in the light of some specific experimental considerations. Carbon nanowires (CNWs) are grown at low temperature without the conventional use of external hydrocarbon vapor source on silicon substrates partially covered by a thin film of coalesced micrometric CVD diamond. Composite substrates constituted by PCD on silicon were first cleaned with H{sub 2} plasma then used for the PVD deposition of 5 nm Ni thin films. Then, samples were heat treated in a CVD reactor at 580 °C in the presence of pure H{sub 2} pressure of 60 hPa at different annealing times. Comparative effect of annealing time on the dewetting of Ni thin films and the subsequent CNWs growth process was considered in this work using systematic observations by SEM. Possible mechanisms underlying CNWs growth in pure H{sub 2} gas were proposed. The nature and structure of these CNWs have been investigated by TEM microscopy and by Raman spectroscopy on the sample showing the highest CNWs density.

  9. An economic CVD technique for pure SnO 2 thin films deposition

    Indian Academy of Sciences (India)

    A modified new method of CVD for formation of pure layers of tin oxide films was developed. This method is very simple and inexpensive and produces films with good electrical properties. The effect of substrate temperature on the sheet resistance, resistivity, mobility, carrier concentration and transparency of the films has ...

  10. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  11. Application of heat treatment and dispersive strengthening concept in interlayer deposition to enhance diamond film adherence

    Energy Technology Data Exchange (ETDEWEB)

    Lin Chiiruey [Tatung Inst. of Technol., Taipei (Taiwan, Province of China). Dept. of Mech. Eng.; Kuo Chengtzu; Chang Rueyming [Institute of Materials Science and Engineering, National Chiao Tung University, 1001 Ta-Hsueh Road, Hsinchu 30050 (Taiwan, Province of China)

    1997-10-31

    Two different deposition processes were carried out to enhance adherence of diamond films on WC+3-5%Co substrate with Ti-Si as the interlayer. One process can be called two-step diamond deposition process. Another process can be called interlayer heat treatment process. Diamond films were deposited by a microwave plasma chemical vapor deposition system. Ti and Si interlayer are deposited by DC sputter and an E-gun, respectively. Film morphologies, interface structure and film quality were examined by SEM, XRD, Auger electron spectroscopy and Raman spectroscopy. The residual stresses and adhesion strengths of the films were determined by Raman spectroscopy and indentation adhesion testing, respectively. Comparing the regular one-step diamond deposition process with the present two different new processes, the average dP/dX values, which are a measure of the adherence of the film, are 354 kgf/mm, 494 kgf/mm and 787 kgf/mm, respectively. In other words, the interlayer heat treatment process gives the best film adherence on average. For the two-step diamond deposition process, the interlayer thickness and the percent diamond surface coverage of the first diamond deposition step are the main parameters, and there exists an optimum Ti thickness and percent diamond coverage for the best film adherence. The main contribution to better film adherence is not a large difference in residual stress, but is due to the following reasons. The interlayer heat treatment can transform amorphous Si to polycrystalline Si, and may form strong TiC and SiC bonding. The polycrystalline Si and the diamond particles from the first diamond deposition step can be an effective seeds to enhance diamond nucleation. (orig.) 11 refs.

  12. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  13. Cutting characteristics of dental diamond burs made with CVD technology Características de corte de pontas odontológicas diamantadas obtidas pela tecnologia CVD

    Directory of Open Access Journals (Sweden)

    Luciana Monti Lima

    2006-04-01

    Full Text Available The aim of this study was to determine the cutting ability of chemical vapor deposition (CVD diamond burs coupled to an ultrasonic dental unit handpiece for minimally invasive cavity preparation. One standard cavity was prepared on the mesial and distal surfaces of 40 extracted human third molars either with cylindrical or with spherical CVD burs. The cutting ability was compared regarding type of substrate (enamel and dentin and direction of handpiece motion. The morphological characteristics, width and depth of the cavities were analyzed and measured using scanning electron micrographs. Statistical analysis using the Kruskal-Wallis test (p O objetivo deste estudo foi determinar a habilidade de corte das pontas de diamante obtidas pelo processo de deposição química a vapor (CVD associadas ao aparelho de ultra-som no preparo cavitário minimamente invasivo. Uma cavidade padronizada foi preparada nas faces mesial e distal de 40 terceiros molares, utilizando-se pontas de diamante CVD cilíndrica e esférica. A habilidade de corte foi comparada quanto ao tipo de substrato (esmalte e dentina e quanto à direção do movimento realizado com a ponta. As características morfológicas, a largura e profundidade das cavidades foram analisadas e medidas em microscopia eletrônica de varredura. A análise estatística pelo teste de Kruskal-Wallis (p < 0,05 revelou que a largura e profundidade das cavidades foram significativamente maiores em dentina. Cavidades mais largas foram obtidas quando se utilizou a ponta de diamante CVD cilíndrica, e mais profundas quando a ponta esférica foi empregada. A direção do movimento da ponta não influenciou o tamanho das cavidades, sendo os cortes produzidos pelas pontas de diamante CVD precisos e conservadores.

  14. Ultrafast photoluminescence spectroscopy of H- and O-terminated nanocrystalline diamond films

    Czech Academy of Sciences Publication Activity Database

    Dzurňák, B.; Trojánek, F.; Preclíková, J.; Kromka, Alexander; Rezek, Bohuslav; Malý, P.

    2011-01-01

    Roč. 20, č. 8 (2011), 1155-1159 ISSN 0925-9635 R&D Projects: GA AV ČR KAN400100701; GA ČR GD202/09/H041 Institutional research plan: CEZ:AV0Z10100521 Keywords : diamond * femtosecond photoluminescence spectroscopy * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.913, year: 2011

  15. Highly stressed carbon film coatings on silicon potential applications

    CERN Multimedia

    Sharda, T

    2002-01-01

    The fabrication of highly stressed and strongly adhered nanocrystalline diamond films on Si substrates is presented. A microwave plasma CVD method with controlled and continuous bias current density was used to grow the films. The stress/curvature of the films can be varied and controlled by altering the BCD. Potential applications for these films include particle physics and x-ray optics.

  16. Low temperature diamond growth by linear antenna plasma CVD over large area

    International Nuclear Information System (INIS)

    Izak, Tibor; Babchenko, Oleg; Potocky, Stepan; Kromka, Alexander; Varga, Marian

    2012-01-01

    Recently, there is a great effort to increase the deposition area and decrease the process temperature for diamond growth which will enlarge its applications including use of temperature sensitive substrates. In this work, we report on the large area (20 x 30 cm 2 ) and low temperature (250 C) polycrystalline diamond growth by pulsed linear antenna microwave plasma system. The influence of substrate temperature varied from 250 to 680 C, as controlled by the table heater and/or by microwave power, is studied. It was found that the growth rate, film morphology and diamond to non-diamond phases (sp 3 /sp 2 carbon bonds) are influenced by the growth temperature, as confirmed by SEM and Raman measurements. The surface chemistry and growth processes were studied in terms of activation energies (E a ) calculated from Arrhenius plots. The activation energies of growth processes were very low (1.7 and 7.8 kcal mol -1 ) indicating an energetically favourable growth process from the CO 2 -CH 4 -H 2 gas mixture. In addition, from activation energies two different growth regimes were observed at low and high temperatures, indicating different growth mechanism. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Diamond detectors for high energy physics experiments

    Science.gov (United States)

    Bäni, L.; Alexopoulos, A.; Artuso, M.; Bachmair, F.; Bartosik, M.; Beacham, J.; Beck, H.; Bellini, V.; Belyaev, V.; Bentele, B.; Berdermann, E.; Bergonzo, P.; Bes, A.; Brom, J.-M.; Bruzzi, M.; Cerv, M.; Chiodini, G.; Chren, D.; Cindro, V.; Claus, G.; Collot, J.; Cumalat, J.; Dabrowski, A.; D'Alessandro, R.; Dauvergne, D.; de Boer, W.; Dorfer, C.; Dünser, M.; Eremin, V.; Eusebi, R.; Forcolin, G.; Forneris, J.; Frais-Kölbl, H.; Gallin-Martel, L.; Gallin-Martel, M. L.; Gan, K. K.; Gastal, M.; Giroletti, C.; Goffe, M.; Goldstein, J.; Golubev, A.; Gorišek, A.; Grigoriev, E.; Grosse-Knetter, J.; Grummer, A.; Gui, B.; Guthoff, M.; Haughton, I.; Hiti, B.; Hits, D.; Hoeferkamp, M.; Hofmann, T.; Hosslet, J.; Hostachy, J.-Y.; Hügging, F.; Hutton, C.; Jansen, H.; Janssen, J.; Kagan, H.; Kanxheri, K.; Kasieczka, G.; Kass, R.; Kassel, F.; Kis, M.; Konovalov, V.; Kramberger, G.; Kuleshov, S.; Lacoste, A.; Lagomarsino, S.; Lo Giudice, A.; Lukosi, E.; Maazouzi, C.; Mandic, I.; Mathieu, C.; Menichelli, M.; Mikuž, M.; Morozzi, A.; Moss, J.; Mountain, R.; Murphy, S.; Muškinja, M.; Oh, A.; Oliviero, P.; Passeri, D.; Pernegger, H.; Perrino, R.; Picollo, F.; Pomorski, M.; Potenza, R.; Quadt, A.; Re, A.; Reichmann, M.; Riley, G.; Roe, S.; Sanz, D.; Scaringella, M.; Schaefer, D.; Schmidt, C. J.; Schnetzer, S.; Sciortino, S.; Scorzoni, A.; Seidel, S.; Servoli, L.; Smith, S.; Sopko, B.; Sopko, V.; Spagnolo, S.; Spanier, S.; Stenson, K.; Stone, R.; Sutera, C.; Tannenwald, B.; Taylor, A.; Traeger, M.; Tromson, D.; Trischuk, W.; Tuve, C.; Uplegger, L.; Velthuis, J.; Venturi, N.; Vittone, E.; Wagner, S.; Wallny, R.; Wang, J. C.; Weingarten, J.; Weiss, C.; Wengler, T.; Wermes, N.; Yamouni, M.; Zavrtanik, M.

    2018-01-01

    Beam test results of the radiation tolerance study of chemical vapour deposition (CVD) diamond against different particle species and energies is presented. We also present beam test results on the independence of signal size on incident particle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition functionality of poly-crystalline CVD diamond 3D devices was demonstrated in beam tests and 3D diamond detectors are shown to be a promising technology for applications in future high luminosity experiments.

  18. Laser Cutting of Thick Diamond Films Using Low-Power Laser

    Energy Technology Data Exchange (ETDEWEB)

    Park, Y.J.; Baik, Y.J. [Korea Institute of Science and Technology, Seoul (Korea)

    2000-02-01

    Laser cutting of thick diamond films is studied rising a low-power(10 W) copper vapor laser. Due to the existence of the saturation depth in laser cutting, thick diamond films are not easily cut by low-power lasers. In this study, we have adopted a low thermal- conductivity underlayer of alumina and a heating stage (up to 500 deg. C in air) to prevent the laser energy from consuming-out and, in turn, enhance the cutting efficiency. Aspect ratio increases twice from 3.5 to 7 when the alumina underlayer used. Adopting a heating stage also increases aspect ratio and more than 10 is obtained at higher temperatures than 400 deg. C. These results show that thick diamond films can be cut, with low-power lasers, simply by modifying the thermal property of underlayer. (author). 13 refs., 5 figs.

  19. Fabrication of cerium-doped yttrium aluminum garnet thin films by a mist CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Murai, Shunsuke, E-mail: murai@dipole7.kuic.kyoto-u.ac.jp; Sato, Takafumi; Yao, Situ; Kamakura, Ryosuke; Fujita, Koji; Tanaka, Katsuhisa

    2016-02-15

    We synthesized thin films, consisting of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce), using the mist chemical vapor deposition (CVD) method, which allows the fabrication of high-quality thin films under atmospheric conditions without the use of vacuum equipment. Under a deposition rate of approximately 1 μm/h, the obtained thin films had a typical thickness of 2 μm. The XRD analysis indicated that the thin films consisted of single-phase YAG:Ce. The Rutherford backscattering confirmed the stoichiometry; the composition of the film was determined to be (Y, Ce){sub 3}Al{sub 5}O{sub 12}, with a Ce content of Ce/(Y+Ce)=2.5%. The YAG:Ce thin films exhibited fluorescence due to the 5d–4f electronic transitions characteristic of the Ce ions occupying the eight-coordinated dodecahedral sites in the YAG lattice. - Highlights: • We have synthesized thin films of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce) by using a mist chemical vapor deposition (CVD) method for the first time. • The thickness of the single-phase and stoichiometric thin film obtained by 2 h deposition and following heat treatments is 2 μm. • The thin film is porous but optically transparent, and shows yellow fluorescence upon irradiation with a blue light. • Mist-CVD is a green and sustainable technique that allows fabrication of high-quality thin films at atmospheric conditions without vacuum equipment.

  20. A multilayer innovative solution to improve the adhesion of nanocrystalline diamond coatings

    Energy Technology Data Exchange (ETDEWEB)

    Poulon-Quintin, A., E-mail: poulon@icmcb-bordeaux.cnrs.fr [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Faure, C.; Teulé-Gay, L.; Manaud, J.P. [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France)

    2015-03-15

    Highlights: • Improvement of the NCD adhesion on WC-12%Co substrates for tooling applications using a multi-interlayer additional system. • Reduction of the graphite layer thickness and continuity at the interface with the diamond. • Transmission electron microscopy study for a better understanding of the diffusion phenomena occurring at the interfaces. - Abstract: Nano-crystalline diamond (NCD) films grown under negative biased substrates by chemical vapor deposition (CVD) are widely used as surface overlay coating onto cermet WC-Co cutting tools to get better performances. To improve the diamond adhesion to the cermet substrate, suitable multi-layer systems have been added. They are composed of a cobalt diffusion barrier close to the substrate (single and sequenced nitrides layers) coated with a nucleation extra layer to improve the nucleus density of diamond during CVD processing. For all systems, before and after diamond deposition, transmission electron microscopy (TEM) has been performed for a better understanding of the diffusion phenomena occurring at the interfaces and to evaluate the presence of graphitic species at the interface with the diamond. Innovative multilayer system dedicated to the regulation of cobalt diffusion coated with a bilayer system optimized for the carbon diffusion control, is shown as an efficient solution to significantly reduce the graphite layer formation at the interface with the diamond down to 10 nm thick and to increase the adhesion of NCD diamond layer as scratch-tests confirm.

  1. CVD growth and characterization of 3C-SiC thin films

    Indian Academy of Sciences (India)

    Unknown

    Cubic silicon carbide (3C-SiC) thin films were grown on (100) and (111) Si substrates by CVD technique using ... of grown films were studied using optical microscopy, scanning electron microscopy (SEM), X-ray diffraction (XRD) analysis and X-ray ... the oxide mask gets damaged (Edgar et al 1998). There- fore, lower ...

  2. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    Energy Technology Data Exchange (ETDEWEB)

    Strąkowska, Paulina [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Beutner, René [Max Bergmann Center, Technische Universität Dresden (Germany); Gnyba, Marcin [Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Zielinski, Andrzej [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Scharnweber, Dieter, E-mail: Dieter.Scharnweber@tu-dresden.de [Max Bergmann Center, Technische Universität Dresden (Germany)

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  3. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    International Nuclear Information System (INIS)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-01-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  4. Combined effect of nitrogen doping and nanosteps on microcrystalline diamond films for improvement of field emission

    International Nuclear Information System (INIS)

    Mengui, U.A.; Campos, R.A.; Alves, K.A.; Antunes, E.F.; Hamanaka, M.H.M.O.; Corat, E.J.; Baldan, M.R.

    2015-01-01

    Highlights: • Hot filament chemical vapor deposition using methane, hydrogen and a solution of urea in methanol produced nitrogen-doped diamond films. • Diamonds had the grain morphology changed for long growth time (28 h), and the nitrogen doping were evaluated by Raman spectroscopy. • Field emission characterization shows a decrease up to 70% in threshold field, related to reference diamond layer. - Abstract: Nitrogen-doped microcrystalline diamond (N-MCD) films were grown on Si substrates using a hot filament reactor with methanol solution of urea as N source. Electrostatic self-assembly seeding of nanocrystalline diamond were used to obtain continuous and uniform films. Simultaneous changes in grains morphology and work function of diamond by nitrogen doping decreased the threshold field and the angular coefficient of Fowler–Nordhein plots. The field emission properties of our N-MCD films are comparable to carbon nanotube films

  5. Combined effect of nitrogen doping and nanosteps on microcrystalline diamond films for improvement of field emission

    Energy Technology Data Exchange (ETDEWEB)

    Mengui, U.A., E-mail: ursulamengui@gmail.com [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil); Campos, R.A.; Alves, K.A.; Antunes, E.F. [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil); Hamanaka, M.H.M.O. [Centro de Tecnologia da Informação Renato Archer, Divisão de Superfícies de Interação e Displays, Rodovia D. Pedro I (SP 65) km 143.6, CP 6162, CEP 13089-500, Campinas, SP (Brazil); Corat, E.J.; Baldan, M.R. [INPE – Instituto Nacional de Pesquisas Espaciais Laboratório Associado de Sensores e Materiais – LAS, Av. dos Astronautas 1758, CP 515, CEP 12.245-970, São José dos Campos, SP (Brazil)

    2015-04-15

    Highlights: • Hot filament chemical vapor deposition using methane, hydrogen and a solution of urea in methanol produced nitrogen-doped diamond films. • Diamonds had the grain morphology changed for long growth time (28 h), and the nitrogen doping were evaluated by Raman spectroscopy. • Field emission characterization shows a decrease up to 70% in threshold field, related to reference diamond layer. - Abstract: Nitrogen-doped microcrystalline diamond (N-MCD) films were grown on Si substrates using a hot filament reactor with methanol solution of urea as N source. Electrostatic self-assembly seeding of nanocrystalline diamond were used to obtain continuous and uniform films. Simultaneous changes in grains morphology and work function of diamond by nitrogen doping decreased the threshold field and the angular coefficient of Fowler–Nordhein plots. The field emission properties of our N-MCD films are comparable to carbon nanotube films.

  6. Polycrystalline diamond film UV detectors for excimer lasers

    International Nuclear Information System (INIS)

    Ralchenko, V G; Savel'ev, A V; Konov, Vitalii I; Mazzeo, G; Spaziani, F; Conte, G; Polyakov, V I

    2006-01-01

    Photoresistive metal-semiconductor-metal detectors based on polycrystalline diamond films are fabricated for recording cw and pulsed UV radiation. The detectors have a high spectral selectivity (the UV-to-VIS response ratio is ∼10 5 ) and a temporal resolution of the order of 10 9 s. 'Solar-blind' photostable diamond detectors are promising for applications in UV lithography, laser micromachining, medicine, and space research. (letters)

  7. Radiation defects and electron disordering in proton-irradiated diamond films

    International Nuclear Information System (INIS)

    Maschenko, V.E.; Soloviev, G.G.

    1991-01-01

    The absorption spectra are studied in the region of the fundamental absorption band and its longwave boundary for the 0.6 μm thick diamond films deposited onto Al 2 O 3 single crystal underlayers and irradiated by 100 keV and 50 keV protons at fluences of 10 13 -10 16 cm -2 . The E 0 β (6.10-5.9eV) and E 0 α (5.51-5.43eV) maxima and the exponential tails towards lower energies are resolved in the spectra of initial films. The halfwidths of the maxima and a weak temperature dependence of their parameters and of the Urbach tail slope are indicative of disordering of the film structure. The intrinsic maxima near and above the indirect absorption boundary in diamond Γ 25 '-Δ are identified with transitions in the disordered cubic and hexagonal phases of the diamond films. The proton irradiation stimulates the intensity redistribution of the intrinsic maxima, the absorption enhancement, and the change of the Urbach tail slope. The character of radiolysis has been found to depend on the composition of the nonirradiated carbon films and on proton fluence. (author). 8 refs.; 3 figs

  8. Ultrananocrystalline diamond film as an optimal cell interface for biomedical applications.

    Science.gov (United States)

    Bajaj, Piyush; Akin, Demir; Gupta, Amit; Sherman, Debby; Shi, Bing; Auciello, Orlando; Bashir, Rashid

    2007-12-01

    Surfaces of materials that promote cell adhesion, proliferation, and growth are critical for new generation of implantable biomedical devices. These films should be able to coat complex geometrical shapes very conformally, with smooth surfaces to produce hermetic bioinert protective coatings, or to provide surfaces for cell grafting through appropriate functionalization. Upon performing a survey of desirable properties such as chemical inertness, low friction coefficient, high wear resistance, and a high Young's modulus, diamond films emerge as very attractive candidates for coatings for biomedical devices. A promising novel material is ultrananocrystalline diamond (UNCD) in thin film form, since UNCD possesses the desirable properties of diamond and can be deposited as a very smooth, conformal coating using chemical vapor deposition. In this paper, we compared cell adhesion, proliferation, and growth on UNCD films, silicon, and platinum films substrates using different cell lines. Our results showed that UNCD films exhibited superior characteristics including cell number, total cell area, and cell spreading. The results could be attributed to the nanostructured nature or a combination of nanostructure/surface chemistry of UNCD, which provides a high surface energy, hence promoting adhesion between the receptors on the cell surface and the UNCD films.

  9. High energy ion beam induced modifications in diamond and diamond like carbon thin films

    International Nuclear Information System (INIS)

    Dilawar, N.; Sah, S.; Mehta, B.R.; Vankar, V.D.

    1996-01-01

    Diamond and DLC films deposited using hot-filament chemical vapour deposition technique at various parameters were irradiated with 50 MeV Si 4+ ions. The resulting microstructural changes were studied using X-ray diffraction and scanning electron microscopy. All the samples showed the development of β-SiC and hexagonal carbon phases at the expense of the diamond/DLC phase. The ERD analysis was carried out to determine the hydrogen concentration and its distribution in DLC films. The absolute hydrogen concentration in DLC samples is of the order of 10 22 atoms/cm 3 which gets depleted on irradiation. The DLC samples show a clear dependence of hydrogen content on the deposition parameters. (author)

  10. Periodically arranged benzene-linker molecules on boron-doped single-crystalline diamond films for DNA

    Czech Academy of Sciences Publication Activity Database

    Shin, D.; Tokuda, N.; Rezek, Bohuslav; Nebel, C.E.

    2006-01-01

    Roč. 8, - (2006), s. 844-850 ISSN 1388-2481 Institutional research plan: CEZ:AV0Z10100521 Keywords : electrochemical surface modification * single-crystalline CVD diamond * covalent DNA Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.484, year: 2006

  11. Diamond films deposited by oxygen-enhanced linear plasma chemistry

    Czech Academy of Sciences Publication Activity Database

    Kromka, Alexander; Babchenko, Oleg; Ižák, Tibor; Varga, Marián; Davydova, Marina; Krátká, Marie; Rezek, Bohuslav

    2013-01-01

    Roč. 5, č. 6 (2013), s. 509-514 ISSN 2164-6627 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP108/12/0996 Institutional support: RVO:68378271 Keywords : diamond films * process gas chemistry * pulsed microwave plasma * surface conductivity of diamond Subject RIV: BM - Solid Matter Physics ; Magnetism

  12. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  13. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  14. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  15. The characteristics of ESR and 3-D TL spectra of diamonds

    International Nuclear Information System (INIS)

    Liu Shunsheng; Lu Xu; Fu Huifang

    2003-01-01

    Electron Spin Resonance (ESR) and 3-dimensional Thermoluminescence (3-D TL) spectra of natural diamond, high temperature-high pressure artificial diamond and high temperature-low pressure chemical vapor deposited (CVD) diamond were determined. The characteristics of spectra have been studied. It is found that isolated nitrogen, nitrogen exchange pair and nitrogen atom pair (S=1) are main forms of electron spin resonance nitrogen in natural and high temperature-high pressure artificial diamonds. The spectrum of CVD diamond is sampler, and contains only one peak caused by suspended bond of unsaturated carbon ones. For 3-D TL spectra, natural diamond has two peaks (∼370 nm and ∼510 nm) in 100-200 degree C temperature range, high temperature-high pressure artificial diamond only has ∼370 nm peak, and CVD diamond only has ∼500 nm peak. These characteristics would be useful for the quality inspection and classification of diamonds, as well as for the study of geologic actions associated with natural diamond

  16. Effects of substrate pretreatments on diamond synthesis for Si{sub 3}N{sub 4} based ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Shibuya, Y. [Prefectural Industrial Research Inst., Shizuoka (Japan); Takaya, M. [Chiba Institute of Technology, Tsudanuma 2-chome, Narashino-shi, 275 (Japan)

    1998-07-08

    Diamond synthesis for Si{sub 3}N{sub 4} ceramics after various substrate pretreatments has been carried out by the microwave-plasma enhanced chemical vapor deposition (CVD) method using a mixture of methane and hydrogen gases. Four types of pretreatments for various substrates were performed as follows: scratching with diamond powder (I), applying O{sub 2}-C{sub 2}H{sub 2} combustion flames (II), polishing with alumina (III), and platinum vapor deposition (IV). The products deposited on the substrate were examined with micro-Raman spectroscopy, scanning electron microscopy (SEM) and an X-ray diffractometer (XRD). It was found that the application of O{sub 2}-C{sub 2}H{sub 2} flames as a pretreatment of the substrate in diamond synthesis was suitable, because a higher density of diamond nucleation could be obtained, and a film-like diamond could be formed on the surface in a shorter time than without applying them. The diamond could be synthesized on the surface for all four types of substrate pretreatments performed in the present study. The effects of the substrate pretreatments on the surface morphology of grown diamond were that a film-like diamond for (I) or (II), a particle-like diamond for (III) and a particle and/or a film-like diamond for (IV) were formed on the surface. The surface morphology of grown diamond depended very much on the substrate temperature under deposition. (orig.) 18 refs.

  17. Cathodoluminescence characteristics of polycrystalline diamond films grown by cyclic deposition method

    International Nuclear Information System (INIS)

    Seo, Soo-Hyung; Park, Chang-Kyun; Park, Jin-Seok

    2002-01-01

    Polycrystalline diamond films were deposited using a cyclic deposition method where the H 2 plasma for etching (t E ) and the CH 4 +H 2 plasma for growing (t G ) are alternately modulated with various modulation ratios (t E /t G ). From the measurement of full width at half maximum and I D /I G intensity ratio obtained from the Raman spectra, it was found that diamond defects and non-diamond carbon phases were reduced a little by adopting the cyclic deposition method. From the cathodoluminescence (CL) characteristics measured for deposited films, the nitrogen-related band (centered at approximately 590 nm) as well as the so-called band-A (centered at approximately 430 nm) were observed. As the cyclic ratio t E /t G increased, the relative intensity ratio of band-A to nitrogen-related band (I A /I N ) was found to monotonically decrease. In addition, analysis of X-ray diffraction spectra and scanning electron microscope morphologies showed that CL characteristics of deposited diamond films were closely related to their crystal orientations and morphologies

  18. Thin film diamond microstructure applications

    Science.gov (United States)

    Roppel, T.; Ellis, C.; Ramesham, R.; Jaworske, D.; Baginski, M. E.; Lee, S. Y.

    1991-01-01

    Selective deposition and abrasion, as well as etching in atomic oxygen or reduced-pressure air, have been used to prepare patterned polycrystalline diamond films which, on further processing by anisotropic Si etching, yield the microstructures of such devices as flow sensors and accelerometers. Both types of sensor have been experimentally tested in the respective functions of hot-wire anemometer and both single- and double-hinged accelerometer.

  19. Cell adhesion and growth on ultrananocrystalline diamond and diamond-like carbon films after different surface modifications

    Energy Technology Data Exchange (ETDEWEB)

    Miksovsky, J. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Voss, A. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Kozarova, R. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Kocourek, T.; Pisarik, P. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Ceccone, G. [Unit Nanobiosciences, European Commission Joint Research Centre, Ispra (Italy); Kulisch, W. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Jelinek, M. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Apostolova, M.D. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Reithmaier, J.P. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Popov, C., E-mail: popov@ina.uni-kassel.de [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany)

    2014-04-01

    Graphical abstract: - Highlights: • UNCD and DLC films were modified by UV/O{sub 3} treatments, O{sub 2} or NH{sub 3}-containing plasmas. • Surface composition, wettability and surface energy change upon modifications. • Higher efficiency of UNCD modifications was observed. • Cell attachment and growth were influenced by the surface termination and roughness. - Abstract: Diamond and diamond-like carbon (DLC) films possess a set of excellent physical and chemical properties which together with a high biocompatibility make them attractive candidates for a number of medical and biotechnological applications. In the current work thin ultrananocrystalline diamond (UNCD) and DLC films were comparatively investigated with respect to cell attachment and proliferation after different surface modifications. The UNCD films were prepared by microwave plasma enhanced chemical vapor deposition, the DLC films by pulsed laser deposition (PLD). The films were comprehensively characterized with respect to their basic properties, e.g. crystallinity, morphology, chemical bonding nature, etc. Afterwards the UNCD and DLC films were modified applying O{sub 2} or NH{sub 3}/N{sub 2} plasmas and UV/O{sub 3} treatments to alter their surface termination. The surface composition of as-grown and modified samples was studied by X-ray photoelectron spectroscopy (XPS). Furthermore the films were characterized by contact angle measurements with water, formamide, 1-decanol and diiodomethane; from the results obtained the surface energy with its dispersive and polar components was calculated. The adhesion and proliferation of MG63 osteosarcoma cells on the different UNCD and DLC samples were assessed by measurement of the cell attachment efficiency and MTT assays. The determined cell densities were compared and correlated with the surface properties of as-deposited and modified UNCD and DLC films.

  20. Linear antenna microwave plasma CVD diamond deposition at the edge of no-growth region of C-H-O ternary diagram

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Hruška, Karel; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2612-2615 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP205/12/0908 Institutional research plan: CEZ:AV0Z10100521 Keywords : C-H-O phase diagram * nanocrystalline diamond * plasma enhanced CVD * Raman spectroscopy * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  1. An economic CVD technique for pure SnO2 thin films deposition ...

    Indian Academy of Sciences (India)

    An economic CVD technique for pure SnO2 thin films deposition: Temperature effects ..... C are depicted in figure 7. It is observed that the cut-off wave- ... cating that the energy gap of the SnO2 films varies among. 3·54, 3·35 and 1·8 eV.

  2. Raman spectroscopy study of the influence of processing conditions on the structure of polycrystalline diamond films

    International Nuclear Information System (INIS)

    Ramamurti, R.; Shanov, V.; Singh, R.N.; Mamedov, S.; Boolchand, P.

    2006-01-01

    Diamond films are prepared by microwave plasma-enhanced chemical-vapor deposition on Si (100) substrates using the H 2 -Ar-CH 4 gases. Raman scattering data, including the peak position, intensity, area, and width, are analyzed in depth and used to obtain the sp 3 - and sp 2 -bonded carbon contents and the nature of internal stresses in the films. Polarization behavior of the Raman peaks is analyzed to assess its role on the quantitative analysis of the diamond films, which suggested that the 1150 cm -1 Raman peak in nanocrystalline diamond films could be attributed to sp 2 -bonded carbon. The role of the H 2 /Ar content in the gas mixture and substrate temperature on the characteristics of the diamond film is studied. Thickness and grain size of diamond films are also determined by scanning electron microscopy and related to the deposition conditions and Raman results. Deposition conditions, which led to highest sp 3 -bonded carbon content and growth rate, are identified

  3. Controlled surface chemistry of diamond/β-SiC composite films for preferential protein adsorption.

    Science.gov (United States)

    Wang, Tao; Handschuh-Wang, Stephan; Yang, Yang; Zhuang, Hao; Schlemper, Christoph; Wesner, Daniel; Schönherr, Holger; Zhang, Wenjun; Jiang, Xin

    2014-02-04

    Diamond and SiC both process extraordinary biocompatible, electronic, and chemical properties. A combination of diamond and SiC may lead to highly stable materials, e.g., for implants or biosensors with excellent sensing properties. Here we report on the controllable surface chemistry of diamond/β-SiC composite films and its effect on protein adsorption. For systematic and high-throughput investigations, novel diamond/β-SiC composite films with gradient composition have been synthesized using the hot filament chemical vapor deposition (HFCVD) technique. As revealed by scanning electron microscopy (SEM), the diamond/β-SiC ratio of the composite films shows a continuous change from pure diamond to β-SiC over a length of ∼ 10 mm on the surface. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) was employed to unveil the surface termination of chemically oxidized and hydrogen treated surfaces. The surface chemistry of the composite films was found to depend on diamond/β-SiC ratio and the surface treatment. As observed by confocal fluorescence microscopy, albumin and fibrinogen were preferentially adsorbed from buffer: after surface oxidation, the proteins preferred to adsorb on diamond rather than on β-SiC, resulting in an increasing amount of proteins adsorbed to the gradient surfaces with increasing diamond/β-SiC ratio. By contrast, for hydrogen-treated surfaces, the proteins preferentially adsorbed on β-SiC, leading to a decreasing amount of albumin adsorbed on the gradient surfaces with increasing diamond/β-SiC ratio. The mechanism of preferential protein adsorption is discussed by considering the hydrogen bonding of the water self-association network to OH-terminated surfaces and the change of the polar surface energy component, which was determined according to the van Oss method. These results suggest that the diamond/β-SiC gradient film can be a promising material for biomedical applications which

  4. SERS activity of Ag decorated nanodiamond and nano-β-SiC, diamond-like-carbon and thermally annealed diamond thin film surfaces.

    Science.gov (United States)

    Kuntumalla, Mohan Kumar; Srikanth, Vadali Venkata Satya Siva; Ravulapalli, Satyavathi; Gangadharini, Upender; Ojha, Harish; Desai, Narayana Rao; Bansal, Chandrahas

    2015-09-07

    In the recent past surface enhanced Raman scattering (SERS) based bio-sensing has gained prominence owing to the simplicity and efficiency of the SERS technique. Dedicated and continuous research efforts have been made to develop SERS substrates that are not only stable, durable and reproducible but also facilitate real-time bio-sensing. In this context diamond, β-SiC and diamond-like-carbon (DLC) and other related thin films have been promoted as excellent candidates for bio-technological applications including real time bio-sensing. In this work, SERS activities of nanodiamond, nano-β-SiC, DLC, thermally annealed diamond thin film surfaces were examined. DLC and thermally annealed diamond thin films were found to show SERS activity without any metal nanostructures on their surfaces. The observed SERS activities of the considered surfaces are explained in terms of the electromagnetic enhancement mechanism and charge transfer resonance process.

  5. Influence of duration time of CVD process on emissive properties of carbon nanotubes films

    Directory of Open Access Journals (Sweden)

    Stępinska Izabela

    2015-03-01

    Full Text Available In this paper various types of films made of carbon nanotubes (CNTs are presented. These films were prepared on different substrates (Al2O3, Si n-type by the two-step method. The two-step method consists of physical vapor deposition step, followed by chemical vapor deposition step (PVD/CVD. Parameters of PVD process were the same for all initial films, while the duration times of the second step - the CVD process, were different (15, 30 min.. Prepared films were characterized by scanning electron microscopy (SEM, transmission electron microscopy (TEM and field emission (FE measurements. The I-E and F-N characteristics of electron emission were discussed in terms of various forms of CNT films. The value of threshold electric field ranged from few V/μm (for CNT dispersed rarely on the surface of the film deposited on Si up to ~20 V/μm (for Al2O3 substrate.

  6. Diamond Nucleation Using Polyethene

    Science.gov (United States)

    Morell, Gerardo (Inventor); Makarov, Vladimir (Inventor); Varshney, Deepak (Inventor); Weiner, Brad (Inventor)

    2013-01-01

    The invention presents a simple, non-destructive and non-abrasive method of diamond nucleation using polyethene. It particularly describes the nucleation of diamond on an electrically viable substrate surface using polyethene via chemical vapor deposition (CVD) technique in a gaseous environment.

  7. Properties of planar structures based on Policluster films of diamond and AlN

    Science.gov (United States)

    Belyanin, A. F.; Luchnikov, A. P.; Nalimov, S. A.; Bagdasarian, A. S.

    2018-01-01

    AlN films doped with zinc were grown on Si substrates by RF magnetron reactive sputtering of a compound target. Policluster films of diamond doped with boron were formed on layered Si/AlN substrates from the gas phase hydrogen and methane, activated arc discharge. By electron microscopy, X-ray diffraction and Raman spectroscopy the composition and structure of synthetic policluster films of diamond and AlN films were studied. Photovoltaic devices based on the AlN/PFD layered structure are presented.

  8. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    Energy Technology Data Exchange (ETDEWEB)

    Villalpando, I. [Centro de Investigacion de los Recursos Naturales, Antigua Normal Rural, Salaices, Lopez, Chihuahua (Mexico); John, P.; Wilson, J. I. B., E-mail: isaelav@hotmail.com [School of Engineering and Physical Sciences, Heriot-Watt University, Riccarton, Edinburgh, EH14-4AS (United Kingdom)

    2017-11-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  9. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    International Nuclear Information System (INIS)

    Villalpando, I.; John, P.; Wilson, J. I. B.

    2017-01-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  10. Synthetic diamond devices for radiotherapy applications: Thermoluminescent dosimeter and ionisation chamber

    International Nuclear Information System (INIS)

    Descamps, C.; Tromson, D.; Mer, C.; Nesladek, M.; Bergonzo, P.

    2006-01-01

    In radiotherapy field, the major usage of dosimeters is in the measurement of the dose received by the patient during radiotherapy (in-vivo measurements) and in beam calibration and uniformity checks. Diamond exhibits several interesting characteristics that make it a good candidate for radiation detection. It is indeed soft-tissue equivalent (Z=6 compared to Z=7.42 for human tissue), mechanically robust and relatively insensitive to radiation damage, chemically stable and non toxic. Moreover, the recent availability of synthetic samples, grown under controlled conditions using the chemical vapour deposition (C.V.D.) technique, allowed decreasing the high cost and the long delivery time of diamond devices. Diamond can be use for off-line dosimetry as thermoluminescent dosimeters or for on-line dosimetry as ionisation chamber [2,3]. These both applications are reported here. For this study, samples were grown in the laboratory and devices were then tested under X-ray irradiations and in clinical environment under medical cobalt source. The work described in this paper was performed in the framework of the European Integrated Project M.A.E.S.T.R.O., Methods and Advanced Equipment for Simulation and Treatment in Radio-Oncology, (6. FP) which is granted by the European Commission.The first results of this study clearly show that C.V.D. diamond detectors are suitable for dosimetry in radiotherapy applications. Moreover, for both T.L. dosimeters and ionisation chambers applications, and even though the sensitivity is subsequently reduced, nitrogen incorporation in films seems to significantly improve the dosimetric characteristics of the devices. Therefore, the optimisation of the material quality appears as a very important issue in order to increase the dosimetric characteristics of devices and more particularly, for use as thermoluminescent dosimeters, other impurities (Nickel, Phosphorus) will be tested. For ionisation chamber applications, experiments with

  11. Nanocrystalline diamond coatings for cutting operations; Nanokristalline Diamantschichten fuer die Zerspanung

    Energy Technology Data Exchange (ETDEWEB)

    Frank, M.; Breidt, D.; Cremer, R. [CemeCon AG, Wuerselen (Germany). Technology

    2006-06-15

    The history of the CVD diamond synthesis goes back into the fifties. However, the scientific and economical potential was only gradually recognized. In the eighties intensive world-wide research on CVD diamond synthesis and applications were launched. Industrial products, especially diamond-coated cutting tools, were introduced to the market in the middle of the nineties. The article shows the latest developments in this area, which comprises nanocrystalline diamond coating structures. (orig.)

  12. Effect of substrate bias voltage on tensile properties of single crystal silicon microstructure fully coated with plasma CVD diamond-like carbon film

    Science.gov (United States)

    Zhang, Wenlei; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2018-06-01

    Tensile strength and strength distribution in a microstructure of single crystal silicon (SCS) were improved significantly by coating the surface with a diamond-like carbon (DLC) film. To explore the influence of coating parameters and the mechanism of film fracture, SCS microstructure surfaces (120 × 4 × 5 μm3) were fully coated by plasma enhanced chemical vapor deposition (PECVD) of a DLC at five different bias voltages. After the depositions, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), thermal desorption spectrometry (TDS), surface profilometry, atomic force microscope (AFM) measurement, and nanoindentation methods were used to study the chemical and mechanical properties of the deposited DLC films. Tensile test indicated that the average strength of coated samples was 13.2-29.6% higher than that of the SCS sample, and samples fabricated with a -400 V bias voltage were strongest. The fracture toughness of the DLC film was the dominant factor in the observed tensile strength. Deviations in strength were reduced with increasingly negative bias voltage. The effect of residual stress on the tensile properties is discussed in detail.

  13. Characterization of the Diamond-like Carbon Based Functionally Gradient Film

    Institute of Scientific and Technical Information of China (English)

    2002-01-01

    Diamond-like carbon coatings have been used as solid lubricating coatings in vacuum technology for their goodphysical and chemical properties. In this paper, the hybrid technique of unbalanced magnetron sputtering and plasmaimmersion ion implantation (PIll) was adopted to fabricate diamond-like carbon-based functionally gradient film,N/TiN/Ti(N,C)/DLC, on the 304 stainless steel substrate. The film was characterized by using Raman spectroscopyand glancing X-ray diffraction (GXRD), and the topography and surface roughness of the film was observed usingAFM. The mechanical properties of the film were evaluated by nano-indentation. The results showed that the surfaceroughness of the film was approximately 0.732 nm. The hardness and elastic modulus, fracture toughness andinterfacial fracture toughness of N/TiN/Ti(N,C)/DLC functionally gradient film were about 19.84 GPa, 190.03 GPa,3.75 MPa.m1/2 and 5.68 MPa@m1/2, respectively. Compared with that of DLC monolayer and C/TiC/DLC multilayer,this DLC gradient film has better qualities as a solid lubricating coating.

  14. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  15. Low-temperature electrical transport in B-doped ultrananocrystalline diamond film

    International Nuclear Information System (INIS)

    Li, Lin; Zhao, Jing; Hu, Zhaosheng; Quan, Baogang; Li, Junjie; Gu, Changzhi

    2014-01-01

    B-doped ultrananocrystalline diamond (UNCD) films are grown using hot-filament chemical vapor deposition method, and their electrical transport properties varying with temperature are investigated. When the B-doped concentration of UNCD film is low, a step-like increase feature of the resistance is observed with decreasing temperature, reflecting at least three temperature-modified electronic state densities at the Fermi level according to three-dimensional Mott's variable range hopping transport mechanism, which is very different from that of reported B-doped nanodiamond. With increasing B-doped concentration, a superconductive transformation occurs in the UNCD film and the highest transformation temperature of 5.3 K is observed, which is higher than that reported for superconducting nanodiamond films. In addition, the superconducting coherence length is about 0.63 nm, which breaks a reported theoretical and experimental prediction about ultra-nanoscale diamond's superconductivity

  16. Diamond thin films: giving biomedical applications a new shine.

    Science.gov (United States)

    Nistor, P A; May, P W

    2017-09-01

    Progress made in the last two decades in chemical vapour deposition technology has enabled the production of inexpensive, high-quality coatings made from diamond to become a scientific and commercial reality. Two properties of diamond make it a highly desirable candidate material for biomedical applications: first, it is bioinert, meaning that there is minimal immune response when diamond is implanted into the body, and second, its electrical conductivity can be altered in a controlled manner, from insulating to near-metallic. In vitro, diamond can be used as a substrate upon which a range of biological cells can be cultured. In vivo , diamond thin films have been proposed as coatings for implants and prostheses. Here, we review a large body of data regarding the use of diamond substrates for in vitro cell culture. We also detail more recent work exploring diamond-coated implants with the main targets being bone and neural tissue. We conclude that diamond emerges as one of the major new biomaterials of the twenty-first century that could shape the way medical treatment will be performed, especially when invasive procedures are required. © 2017 The Authors.

  17. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  18. Nanostructured diamond film deposition on curved surfaces of metallic temporomandibular joint implant

    Energy Technology Data Exchange (ETDEWEB)

    Fries, Marc D; Vohra, Yogesh K [Department of Physics, University of Alabama at Birmingham (UAB), Birmingham, AL (United States)

    2002-10-21

    Microwave plasma chemical vapour deposition of nanostructured diamond films was carried out on curved surfaces of Ti-6Al-4V alloy machined to simulate the shape of a temporomandibular joint (TMJ) dental implant. Raman spectroscopy shows that the deposited films are uniform in chemical composition along the radius of curvature of the TMJ condyle. Thin film x-ray diffraction reveals an interfacial carbide layer and nanocrystalline diamond grains in this coating. Nanoindentation hardness measurements show an ultra-hard coating with a hardness value of 60{+-}5 GPa averaged over three samples. (rapid communication)

  19. Use of the diamond to the detection of particles

    International Nuclear Information System (INIS)

    Mer, C.; Tromson, D.; Brambilla, A.; Foulon, F.; Guizard, B.; Bergonzo

    2001-01-01

    Diamond synthesized by chemical vapor deposition (CVD) is a valuable material for the detection of particles: broad forbidden energy band, high mobility of electron-hole pairs, and a short life-time of charge carriers. Diamond layers have been used in alpha detectors or gamma dose ratemeters designed to be used in hostile environment. Diamond presents a high resistance to radiation and corrosion. The properties of diamond concerning the detection of particles are spoilt by the existence of crystal defects even in high quality natural or synthesized diamond. This article presents recent works that have been performed in CEA laboratories in order to optimize the use of CVD diamond in particle detectors. (A.C.)

  20. Synthesis of thick diamond films by direct current hot-cathode plasma chemical vapour deposition

    CERN Document Server

    Jin Zeng Sun; Bai Yi Zhen; Lu Xian Yi

    2002-01-01

    The method of direct current hot-cathode plasma chemical vapour deposition has been established. A long-time stable glow discharge at large discharge current and high gas pressure has been achieved by using a hot cathode in the temperature range from 1100 degree C to 1500 degree C and non-symmetrical configuration of the poles, in which the diameter of the cathode is larger than that of anode. High-quality thick diamond films, with a diameter of 40-50 mm and thickness of 0.5-4.2 mm, have been synthesized by this method. Transparent thick diamond films were grown over a range of growth rates between 5-10 mu m/h. Most of the thick diamond films have thermal conductivities of 10-12 W/K centre dot cm. The thick diamond films with high thermal conductivity can be used as a heat sink of semiconducting laser diode array and as a heat spreading and isolation substrate of multichip modules. The performance can be obviously improved

  1. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  2. Contribution to understanding and controlling a-Si:H thin films growth by mercury-sensitised photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.

    2003-09-01

    Mercury-sensitized photo-CVD technique is widely used for growing amorphous silicon thin films. This attractive method allows damage-free thin film depositions at very low substrate temperatures without the deleterious effects of the other processes. This review reports on the principle and potential of this technique. It also recalls and summarizes some fundamental issues such as experimental systems or apparatus particularities, the analysis of gas-phase reactions in the reactor, the surface-reaction model of SiH 3 and H during the film growth and all the kinetic model for lamp-induced Photo-CVD. (author)

  3. Barrier Performance of CVD Graphene Films Using a Facile P3HT Thin Film Optical Transmission Test

    Directory of Open Access Journals (Sweden)

    Srinivasa Kartik Nemani

    2018-01-01

    Full Text Available The barrier performance of CVD graphene films was determined using a poly(3-hexylthiophene (P3HT thin film optical transmission test. P3HT is a semiconducting polymer that photo-oxidatively degrades upon exposure to oxygen and light. The polymer is stable under ambient conditions and indoor lighting, enabling P3HT films to be deposited and encapsulated in air. P3HT’s stability under ambient conditions makes it desirable for an initial evaluation of barrier materials as a complimentary screening method in combination with conventional barrier tests. The P3HT test was used to demonstrate improved barrier performance for polymer substrates after addition of CVD graphene films. A layer-by-layer transfer method was utilized to enhance the barrier performance of monolayer graphene. Another set of absorption measurements were conducted to demonstrate the barrier performance of graphene and the degradation mechanism of graphene/P3HT over multiple wavelengths from 400 to 800 nm. The absorption spectra for graphene/polymer composite were simulated by solving Fresnel equations. The simulation results were found to be in good agreement with the measured absorption spectra. The P3HT degradation results qualitatively indicate the potential of graphene films as a possible candidate for medium performance barriers.

  4. Effect of TiO2/Al2O3 film coated diamond abrasive particles by sol-gel technique

    Science.gov (United States)

    Hu, Weida; Wan, Long; Liu, Xiaopan; Li, Qiang; Wang, Zhiqi

    2011-04-01

    The diamond abrasive particles were coated with the TiO2/Al2O3 film by the sol-gel technique. Compared with the uncoated diamonds, the TiO2/Al2O3 film was excellent material for the protection of the diamonds. The results showed that the incipient oxidation temperature of the TiO2/Al2O3 film coated diamonds in air atmosphere was 775 °C, which was higher 175 °C than that of the uncoated diamonds. And the coated diamonds also had better the diamond's single particle compressive strength and the impact toughness than that of uncoated diamonds after sintering at 750 °C. For the vitrified bond grinding wheels, replacing the uncoated diamonds with the TiO2/Al2O3 film coated diamonds, the volume expansion of the grinding wheels decreased from 6.2% to 3.4%, the porosity decreased from 35.7% to 25.7%, the hardness increased from 61.2HRC to 66.5HRC and the grinding ratio of the vitrified bond grinding wheels to carbide alloy (YG8) increased from 11.5 to 19.1.

  5. Status of the R&D activity on diamond particle detectors

    Science.gov (United States)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knöpfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2003-09-01

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 μm charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  6. Simulation optimization of filament parameters for uniform depositions of diamond films on surfaces of ultra-large circular holes

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xinchang, E-mail: wangxinchangz@163.com; Shen, Xiaotian; Sun, Fanghong; Shen, Bin

    2016-12-01

    Highlights: • A verified simulation model using a novel filament arrangement is constructed. • Influences of filament parameters are clarified. • A coefficient between simulated and experimental results is proposed. • Orthogonal simulations are adopted to optimize filament parameters. • A general filament arrangement suitable for different conditions is determined. - Abstract: Chemical vapor deposition (CVD) diamond films have been widely applied as protective coatings on varieties of anti-frictional and wear-resistant components, owing to their excellent mechanical and tribological properties close to the natural diamond. In applications of some components, the inner hole surface will serve as the working surface that suffers severe frictional or erosive wear. It is difficult to realize uniform depositions of diamond films on surfaces of inner holes, especially ultra-large inner holes. Adopting a SiC compact die with an aperture of 80 mm as an example, a novel filament arrangement with a certain number of filaments evenly distributed on a circle is designed, and specific effects of filament parameters, including the filament number, arrangement direction, filament temperature, filament diameter, circumradius and the downward translation, on the substrate temperature distribution are studied by computational fluid dynamics (CFD) simulations based on the finite volume method (FVM), adopting a modified computational model well consistent with the actual deposition environment. Corresponding temperature measurement experiments are also conducted to verify the rationality of the computational model. From the aspect of depositing uniform boron-doped micro-crystalline, undoped micro-crystalline and undoped fine-grained composite diamond (BDM-UMC-UFGCD) film on such the inner hole surface, filament parameters as mentioned above are accurately optimized and compensated by orthogonal simulations. Moreover, deposition experiments adopting compensated optimized

  7. Study on the Microstructure and Electrical Properties of Boron and Sulfur Codoped Diamond Films Deposited Using Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Zhang Jing

    2014-01-01

    Full Text Available The atomic-scale microstructure and electron emission properties of boron and sulfur (denoted as B-S codoped diamond films grown on high-temperature and high-pressure (HTHP diamond and Si substrates were investigated using atom force microscopy (AFM, scanning tunneling microscopy (STM, secondary ion mass spectroscopy (SIMS, and current imaging tunneling spectroscopy (CITS measurement techniques. The films grown on Si consisted of large grains with secondary nucleation, whereas those on HTHP diamond are composed of well-developed polycrystalline facets with an average size of 10–50 nm. SIMS analyses confirmed that sulfur was successfully introduced into diamond films, and a small amount of boron facilitated sulfur incorporation into diamond. Large tunneling currents were observed at some grain boundaries, and the emission character was better at the grain boundaries than that at the center of the crystal. The films grown on HTHP diamond substrates were much more perfect with higher quality than the films deposited on Si substrates. The local I-V characteristics for films deposited on Si or HTHP diamond substrates indicate n-type conduction.

  8. VO{sub x} effectively doping CVD-graphene for transparent conductive films

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qinghua; Shi, Liangjing [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China); Zhang, Qinghong [State Key Laboratory of Modification of Chemical Fibers and Polymer Materials, College of Material Science and Engineering, Donghua University, 2999 North Renmin Road, Shanghai 201620 (China); Wang, Weiqi; Zheng, Huifeng [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China); Zhang, Yuzhi [The Key Laboratory of Inorganic Coating Materials, Shanghai Institute of Ceramics, Chinese Academy of Sciences,1295 Dingxi Road, Shanghai 200050 (China); Liu, Yangqiao, E-mail: yqliu@mail.sic.ac.cn [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China); Sun, Jing, E-mail: jingsun@mail.sic.ac.cn [State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2016-11-30

    Highlights: • Doping process operated easily. • Sheet resistance decreased efficiently after doping. • Sheet resistance of doped graphene is stable after exposed in the air. • Mechanism of doping process is studied. - Abstract: Chemical vapor deposition(CVD)-synthesized graphene is potentially an alternative for tin-doped indium oxide (ITO) transparent conductive films (TCFs), however its sheet resistance is still too high to meet many demands. Vanadium oxide has been widely applied as smart window materials, however, no study has been reported to use it as dopant to improve the conductivity of graphene TCFs. In this study, we firstly reported that VO{sub x} doping can effectively lower the sheet resistance of CVD-graphene films while keeping its good optical properties, whose transmittance is as high as 86–90%. The optimized VO{sub x}-doped graphene exhibits a sheet resistance as low as 176 Ω/□, which decreases by 56% compared to the undoped graphene films. The doping process is convenient, stable, economical and easy to operate. What is more, VO{sub x} can effectively increase the work function(WF) of the film, making it more appropriate for use in solar cells. The evolution of the VO{sub x} species annealed at different temperatures below 400 °C has been detailed studied for the first time, based on which the doping mechanism is proposed. The prepared VO{sub x} doped graphene is expected to be a promising candidate for transparent conductive film purposes.

  9. Growth, characterization and device development in monocrystalline diamond films

    Science.gov (United States)

    Davis, R. F.; Glass, J. T.; Nemanich, R. J.; Bozeman, S. P.; Sowers, A. T.

    1995-06-01

    Experimental and theoretical studies concerned with interface interactions of diamond with Si, Ni, and Ni3Si substrates have been conducted. Oriented diamond films deposited on (100) Si were characterized by polar Raman, polar x-ray diffraction (XRD), and cross-sectional high resolution transmission electron microscopy (HRTEM). These sutides showed that the diamond(100)/Si(100) interface adopted the 3:2-match arrangement rather than a 45 deg rotation. Extended Hueckel tight-binding (EHTB) electronic structure calculations for a model system revealed that the interface interaction favors the 3:2-match arrangement. Growth on polycrystalline Ni3Si resulted in oriented diamond particles; under the same growth conditions, graphite was formed on the nickel substrate. Our EHTB electronic structure calculations showed that the (111) and (100) surfaces of Ni3Si have a strong preference for diamond nucleation over graphite nucleation, but this was not the case for the (111) and (100) surfaces of Ni.

  10. Fabrication and characteristics of self-assembly nano-polystyrene films by laser induced CVD

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Tingting [Department of Applied Physics, Chongqing University, Chongqing 401331 (China); Science and Technology on Plasma Physics Laboratory, Research Center of Laser Fusion, CAEP, Mianyang 621900 (China); Cai, Congzhong [Department of Applied Physics, Chongqing University, Chongqing 401331 (China); Peng, Liping [Science and Technology on Plasma Physics Laboratory, Research Center of Laser Fusion, CAEP, Mianyang 621900 (China); Wu, Weidong, E-mail: wuweidongding@163.com [Science and Technology on Plasma Physics Laboratory, Research Center of Laser Fusion, CAEP, Mianyang 621900 (China)

    2013-10-01

    The self-assembly nano-polystyrene (PS) films have been prepared by laser induced CVD at room temperature. The XPS, Raman and UV–vis absorption spectra all indicated that the films were PS. The optical properties, microstructure and controllable nanostructure of PS films have been investigated. Dewetting-like microstructure in PS films was investigated and uniform island structures with a diameter of about 200 nm were observed at the deposition pressure of 14 Pa. The films possess good toughness and precisely controlled thicknesses. The free-standing PS films with thickness of 10 nm could be obtained by this method though a series of process.

  11. Synthesis of graphene on nickel films by CVD method using methane

    International Nuclear Information System (INIS)

    Castro, Manuela O. de; Liebold-Ribeiro, Yvonne; Barros, Eduardo B.; Salomao, Francisco C.C.; Mendes Filho, Josue; Souza Filho, Antonio G.; Chesman, Carlos

    2011-01-01

    Full text: Nanomaterials have opened up many possibilities for groundbreaking innovations in various technologies of modern society. One key example is graphene, which is composed of one-atom-thick sheet of sp2-bonded carbon atoms, arranged in a hexagonal symmetry. However, real world applications of graphene require well-established and large synthesis techniques. The so-called Chemical Vapor Deposition (CVD) is one of the most promising method for synthesizing graphene. The general idea of this technique is to dissolve carbon atoms inside a transition metal melt at a certain temperature, then allowing the dissolved carbon to precipitate at lower temperatures as single layer graphene (SLG). In the present work, we used the CVD method and methane gas as carbon source for the synthesis of graphene on silicon (Si) substrates (300nm thermal oxide) covered with sputtered nickel (Ni) films as catalyst. In order to achieve large-area and defect-free graphene sheets the influence of the different growth parameters (growth temperature and time, gas flow of methane, film thickness and grain size of Ni) on quality and quantity of graphene growth were studied. The obtained graphene films were transferred to a silicon substrate by the polymer coating process, using polymethyl-methacrylate (PMMA) as coating. In order to characterize the transferred graphene we used Scanning Electron Microscopy (SEM), Raman Spectroscopy, Optical Microscopy and Atomic Force Microscopy (AFM). The results show the influence of CVD process parameters on the quality and quantity of graphene growth in our experimental conditions. Acknowledgments: The authors thank Brazilian agencies CNPq and FUNCAP for financial support and Alfonso Reina (MIT, USA) for helpful discussions. (author)

  12. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    International Nuclear Information System (INIS)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulating films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating

  13. Tribological performance of polycrystalline tantalum-carbide-incorporated diamond films on silicon substrates

    Science.gov (United States)

    Ullah, Mahtab; Rana, Anwar Manzoor; Ahmed, E.; Malik, Abdul Sattar; Shah, Z. A.; Ahmad, Naseeb; Mehtab, Ujala; Raza, Rizwan

    2018-05-01

    Polycrystalline tantalum-carbide-incorporated diamond coatings have been made on unpolished side of Si (100) wafer by hot filament chemical vapor deposition process. Morphology of the coatings has been found to vary from (111) triangular-facetted to predominantly (111) square-faceted by increasing the concentration of tantalum carbide. The results have been compared to those of a diamond reference coating with no tantalum content. An increase in roughness has been observed with the increase of tantalum carbide (TaC) due to change in morphology of the diamond films. It is noticed that roughness of the coatings increases as grains become more square-faceted. It is found that diamond coatings involving tantalum carbide are not as resistant as diamond films with no TaC content and the coefficient of friction for such coatings with microcrystalline grains can be manipulated to 0·33 under high vacuum of 10-7 Torr. Such a low friction coefficient value enhances tribological behavior of unpolished Si substrates and can possibly be used in sliding applications.

  14. Novel morphology of chemical vapor deposited diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Tang, C.J. [I3N and Department of Physics, University of Aveiro (Portugal); Jiangsu Key Laboratory for Advanced Functional Materials and Department of Physics, Changshu Institute of Technology, Changshu (China); TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Fernandes, A.J.S.; Abe, I.; Pinto, J.L. [I3N and Department of Physics, University of Aveiro (Portugal); Gracio, J. [TEMA and Department of Mechanical Engineering, University of Aveiro (Portugal); Buijnsters, J.G. [Institute for Molecules and Materials (IMM), Radboud University Nijmegen (Netherlands)

    2010-04-15

    We have obtained simultaneously nanocrystalline and {l_brace}100{r_brace} faceted large-grained polycrystalline diamond films not only on different substrates but also on the same substrate in only one deposition run using a novel approach for substrate arrangement. Furthermore, interesting unusual morphologies and microstructures composed by non-faceted nanostructures and terminated with large smooth {l_brace}100{r_brace} facet-like belt are found near the edges of the top square sample. The morphology variation is likely caused by the so called edge effect, where a strong variation in temperature is also present. We have modelled the temperature distribution on the substrates by computer simulations using the finite element method. The novel feature, namely the coexistence of oval non-faceted nanocrystalline diamond grains and large smooth {l_brace}100{r_brace} facet-like belt in one diamond grain, is in the transition from {l_brace}100{r_brace} faceted polycrystalline diamond to cauliflower-like nanocrystalline diamond. The formation mechanism is discussed based on the temperature analysis and other simulation results described in the literature. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Combined sonochemical/CVD method for preparation of nanostructured carbon-doped TiO{sub 2} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Rasoulnezhad, Hossein [Semiconductor Department, Materials and Energy Research Center (MERC), Karaj (Iran, Islamic Republic of); Kavei, Ghassem, E-mail: kaveighassem@gmail.com [Semiconductor Department, Materials and Energy Research Center (MERC), Karaj (Iran, Islamic Republic of); Ahmadi, Kamran [Semiconductor Department, Materials and Energy Research Center (MERC), Karaj (Iran, Islamic Republic of); Rahimipour, Mohammad Reza [Ceramic Department, Materials and Energy Research Center (MERC), Karaj (Iran, Islamic Republic of)

    2017-06-30

    Highlights: • Combination of sonochemical and CVD methods for preparation of nanostructured carbon-doped TiO{sub 2} thin film on glass substrate, for the first time. • High transparency, monodispersity and homogeneity of the prepared thin films. • Preparation of the carbon-doped TiO{sub 2} thin films with nanorod and nanosphere morphologies. - Abstract: The present work reports the successful synthesis of the nanostructured carbon-doped TiO{sub 2} thin films on glass substrate by combination of chemical vapor deposition (CVD) and ultrasonic methods, for the first time. In this method the ultrasound waves act as nebulizer for converting of sonochemically prepared TiO{sub 2} sol to the mist particles. These mist particles were thermally decomposed in subsequent CVD chamber at 320 °C to produce the carbon-doped TiO{sub 2} thin films. The obtained thin films were characterized by means of X-ray Diffraction (XRD), Raman spectroscopy, diffuse reflectance spectroscopy (DRS), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and scanning electron microscopy (SEM) techniques. The results show that the prepared thin films have anatase crystal structure and nanorod morphology, which calcination of them at 800 °C results in the conversion of nanorods to nanoparticles. In addition, the prepared samples have high transparency, monodispersity and homogeneity. The presence of the carbon element in the structure of the thin films causes the narrowing of the band-gap energy of TiO{sub 2} to about 2.8 eV, which results in the improvement of visible light absorption capabilities of the thin film.

  16. Test of radiation hardness of pcCVD detectors

    Energy Technology Data Exchange (ETDEWEB)

    Schlemme, Steffen [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Enders, Joachim [Technische Universitaet Darmstadt (Germany); Figuera, P.; Salamone, S. [LNS-INFN Catania (Italy); Fruehauf, J.; Kis, Mladen; Kratz, A.; Kurz, N.; Loechner, S.; Nociforo, Chiara; Schirru, Fabio; Szczepanczyk, B.; Traeger, M.; Visinka, R. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Musumarra, A. [LNS-INFN Catania (Italy); University of Catania (Italy)

    2016-07-01

    The new in-flight separator Super-FRS is under construction at the Facility for Antiproton and Ion Research (FAIR, Darmstadt). Ion rates up to 3 x 10{sup 11} {sup 238}U/spill demand an adaption of detectors to a high radiation environment. A test experiment to investigate the radiation hardness of polycrystalline diamond detectors (pcCVD) was performed at the LNS-INFN in Catania using a {sup 12}C beam at 62 MeV/u and intensities of up to 1.5 pnA. The setup consisted of pcCVD strip detectors to measure the beam profile, a single crystal diamond detector to calibrate the ionisation chamber working in current mode as a beam intensity monitor and a pcCVD sample to be irradiated. The IC used was designed for FAIR and showed a stable counting rate allowing us to calibrate and perform beam intensity measurements with it. The total measured counts on the sample were 8.25 x 10{sup 11} counts/mm{sup 2} over a period of 60 hours. Digital waveforms of the pcCVD signals were taken with an oscilloscope and analysed. The results showed no change of the pcCVD signal properties during the entire irradiation.

  17. Wafer bowing control of free-standing heteroepitaxial diamond (100) films grown on Ir(100) substrates via patterned nucleation growth

    International Nuclear Information System (INIS)

    Yoshikawa, Taro; Kodama, Hideyuki; Kono, Shozo; Suzuki, Kazuhiro; Sawabe, Atsuhito

    2015-01-01

    The potential of patterned nucleation growth (PNG) technique to control the wafer bowing of free-standing heteroepitaxial diamond films was investigated. The heteroepitaxial diamond (100) films were grown on an Ir(100) substrate via PNG technique with different patterns of nucleation regions (NRs), which were dot-arrays with 8 or 13 μm pitch aligned to < 100 > or < 110 > direction of the Ir(100) substrate. The wafer bows and the local stress distributions of the free-standing films were measured using a confocal micro-Raman spectrometer. For each NR pattern, the stress evolutions within the early stage of diamond growth were also studied together with a scanning electron microscopic observation of the coalescing diamond particles. These investigations revealed that the NR pattern, in terms of pitch and direction of dot-array, strongly affects the compressive stress on the nucleation side of the diamond film and dominantly contributes to the elastic deformation of the free-standing film. This indicates that the PNG technique with an appropriate NR pattern is a promising solution to fabricate free-standing heteroepitaxial diamond films with extremely small bows. - Highlights: • Wafer bowing control of free-standing heteroepitaxial diamond (100) films • Effect of patterned nucleation and growth (PNG) technique on wafer bowing reduction • Influence of nucleation region patterns of PNG on wafer bowing • Internal stress analysis of PNG films via confocal micro-Raman spectroscopy

  18. Role of high microwave power on growth and microstructure of thick nanocrystalline diamond films: A comparison with large grain polycrystalline diamond films

    Science.gov (United States)

    Tang, C. J.; Fernandes, A. J. S.; Girão, A. V.; Pereira, S.; Shi, Fa-Nian; Soares, M. R.; Costa, F.; Neves, A. J.; Pinto, J. L.

    2014-03-01

    In this work, we study the growth habit of nanocrystalline diamond (NCD) films by exploring the very high power regime, up to 4 kW, in a 5 kW microwave plasma chemical vapour deposition (MPCVD) reactor, through addition of a small amount of nitrogen and oxygen (0.24%) into 4% CH4 in H2 plasma. The coupled effect of high microwave power and substrate temperature on NCD growth behaviour is systematically investigated by varying only power, while fixing the remaining operating parameters. When the power increases from 2 kW to 4 kW, resulting also in rise of the Si substrate temperature higher than 150 °C, the diamond films obtained maintain the NCD habit, while the growth rate increases significantly. The highest growth rate of 4.6 μm/h is achieved for the film grown at 4 kW, which represents a growth rate enhancement of about 15 times compared with that obtained when using 2 kW power. Possible factors responsible for such remarkable growth rate enhancement of the NCD films are discussed. The evolution of NCD growth characteristics such as morphology, microstructure and texture is studied by growing thick films and comparing it with that of large grain polycrystalline (PCD) films. One important characteristic of the NCD films obtained, in contrast to PCD films, is that irrespective of deposition time (i.e. film thickness), their grain size and surface roughness remain in the nanometer range throughout the growth. Finally, based on our present and previous experimental results, a potential parameter window is established for fast growth of NCD films under high power conditions.

  19. Status of the R and D activity on diamond particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M. E-mail: bruzzi@fi.infn.it; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M

    2003-09-21

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 {mu}m charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  20. Preparation of LiMn2O4 cathode thin films for thin film lithium secondary batteries by a mist CVD process

    International Nuclear Information System (INIS)

    Tadanaga, Kiyoharu; Yamaguchi, Akihiro; Sakuda, Atsushi; Hayashi, Akitoshi; Tatsumisago, Masahiro; Duran, Alicia; Aparacio, Mario

    2014-01-01

    Highlights: • LiMn 2 O 4 thin films were prepared by using the mist CVD process. • An aqueous solution of lithium and manganese acetates is used for the precursor solution. • The cell with the LiMn 2 O 4 thin films exhibited a capacity of about 80 mAh/g. • The cell showed good cycling performance during 10 cycles. - Abstract: LiMn 2 O 4 cathode thin films for thin film lithium secondary batteries were prepared by using so-called the “mist CVD process”, employing an aqueous solution of lithium acetate and manganese acetate, as the source of Li and Mn, respectively. The aqueous solution of starting materials was ultrasonically atomized to form mist particles, and mists were transferred by nitrogen gas to silica glass substrate to form thin films. FE-SEM observation revealed that thin films obtained by this process were dense and smooth, and thin films with a thickness of about 750 nm were obtained. The electrochemical cell with the thin films obtained by sintering at 700 °C exhibited a capacity of about 80 mAh/g, and the cell showed good cycling performance during 10 cycles

  1. Structural evolution of Ti destroyable interlayer in large-size diamond film deposition by DC arc plasma jet

    Science.gov (United States)

    Guo, Jianchao; Li, Chengming; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Hua, Chenyi; Yan, Xiongbo

    2016-05-01

    The addition of titanium (Ti) interlayer was verified to reduce the residual stress of diamond films by self-fracturing and facilitate the harvest of a crack-free free-standing diamond film prepared by direct current (DC) arc plasma jet. In this study, the evolution of the Ti interlayer between large-area diamond film and substrate was studied and modeled in detail. The evolution of the interlayer was found to be relevant to the distribution of the DC arc plasma, which can be divided into three areas (arc center, arc main, and arc edge). The formation rate of titanium carbide (TiC) in the arc main was faster than in the other two areas and resulted in the preferred generation of crack in the diamond film in the arc main during cooling. Sandwich structures were formed along with the growth of TiC until the complete transformation of the Ti interlayer. The interlayer released stress via self-fracture. Avoiding uneven fragile regions that formed locally in the interlayer and achieving cooperatively released stress are crucial for the preparation of large crack-free diamond films.

  2. The fabrication and evaluation of diamond cold cathodes for field emitter display applications

    International Nuclear Information System (INIS)

    Fox, N.A.

    1998-08-01

    Semiconducting diamond is a candidate wide-band gap material for applications in vacuum microelectronic devices. Its potential use in components that are operated at high frequencies, handle high powers or are subjected to extremes of temperature and radiation have yet to be commercially realised. The work presented below sets out to determine whether semiconducting diamond is a suitable material for such active electronic devices by examining the most efficient means of initiating electron emission from Chemical Vapour Deposited (CVD), semiconducting diamond. Novel methods are reported for the incorporation of impurity atoms of Nitrogen and Phosphorus into CVD diamond that employ ion-implantation techniques. Demonstration of the efficient incorporation of these impurities to form donor states with low activation energies into polycrystalline diamond would facilitate efficient room temperature operation of pn junctions devices. The effectiveness of boron as a p-type dopant in CVD diamond films has enabled the investigation of potential field emitter structures using different boron concentrations in order to identify their respective conduction mechanisms and to make a comparison of their relative electron emission performance. It has been concluded that efficient electron emission is observed to originate from the interface of n + -p, silicon/diamond heterojunctions that employ thin p-type regions which are less than 5μm thick. The emission current may be controlled by the application of a low voltage forward bias of less than 1 volt. Only the np junction containing 400 ppm of boron in the p-diamond layer demonstrated forward biased electron emission. It is proposed that carrier conduction across the junction interface involves recombination and tunnelling steps between interface trap states. Furthermore it is believed that due to the junction interface being in direct contact with vacuum, within this region of the emitter structure, a surface conduction emission

  3. Adherent diamond coatings on cemented tungsten carbide substrates with new Fe/Ni/Co binder phase

    International Nuclear Information System (INIS)

    Polini, Riccardo; Delogu, Michele; Marcheselli, Giancarlo

    2006-01-01

    WC-Co hard metals continue to gain importance for cutting, mining and chipless forming tools. Cobalt metal currently dominates the market as a binder because of its unique properties. However, the use of cobalt as a binder has several drawbacks related to its hexagonal close-packed structure and market price fluctuations. These issues pushed the development of pre-alloyed binder powders which contain less than 40 wt.% cobalt. In this paper we first report the results of extensive investigations of WC-Fe/Ni/Co hard metal sintering, surface pretreating and deposition of adherent diamond films by using an industrial hot filament chemical vapour deposition (HFCVD) reactor. In particular, CVD diamond was deposited onto WC-Fe/Ni/Co grades which exhibited the best mechanical properties. Prior to deposition, the substrates were submitted to surface roughening by Murakami's etching and to surface binder removal by aqua regia. The adhesion was evaluated by Rockwell indentation tests (20, 40, 60 and 100 kg) conducted with a Brale indenter and compared to the adhesion of diamond films grown onto Co-cemented tungsten carbide substrates, which were submitted to similar etching pretreatments and identical deposition conditions. The results showed that diamond films on medium-grained WC-6 wt.% Fe/Ni/Co substrates exhibited good adhesion levels, comparable to those obtained for HFCVD diamond on Co-cemented carbides with similar microstructure

  4. High vacuum tribology of polycrystalline diamond coatings

    Indian Academy of Sciences (India)

    Polycrystalline diamond coatings; hot filament CVD; high vacuum tribology. 1. Introduction .... is a characteristic of graphite. We mark the (diamond ... coefficient of friction due to changes in substrate temperature. The average coefficient of.

  5. Subtle Raman signals from nano-diamond and β-SiC thin films

    International Nuclear Information System (INIS)

    Kuntumalla, Mohan Kumar; Ojha, Harish; Srikanth, Vadali Venkata Satya Siva

    2013-01-01

    Micro Raman scattering experiments are carried out in pursuit of subtle but discernable signals from nano-diamond and β-SiC thin films. The thin films are synthesized using microwave plasma assisted chemical vapor deposition technique. Raman scattering experiments in conjunction with scanning electron microscopy and x-ray diffraction were carried out to extract microstructure and phase information of the above mentioned thin films. Certain subtle Raman signals have been identified in this work. In the case of nanodiamond thin films, Raman bands at ∼ 485 and ∼ 1220 cm −1 are identified. These bands have been assigned to the nanodiamond present in nanodiamond thin films. In the case of nano β-SiC thin films, optical phonons are identified using surface enhanced Raman scattering. - Highlights: ► Subtle Raman signals from nano-diamond and β-silicon carbide related thin films. ► Raman bands at ∼ 485 and ∼ 1220 cm −1 from nanodiamond thin films are identified. ► Longitudinal optical phonon from nano β-silicon carbide thin films is identified

  6. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  7. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  8. Morphological and electrochemical properties of boron-doped diamond films on carbon cloths with enhanced surface area

    International Nuclear Information System (INIS)

    Silva, L.L.G.; Ferreira, N.G.; Corat, E.J.

    2008-01-01

    The electrochemical properties of doped diamond electrodes (10 17 -10 19 B cm -3 ) grown on carbon fiber cloths in H 2 SO 4 0.1 mol L -1 electrolyte were investigated. Cyclic voltammograms of B-doped diamond/carbon fiber cloth and carbon fiber cloth electrodes showed that both kinds of electrodes possess similar working potential windows of about 2.0 V. The electrode capacitance was determined by impedance spectroscopy and chronopotentiometry measurements and very close values were obtained. The capacitance values of the diamond film on carbon fiber cloths were 180 times higher than the ones of diamond films on Si. In this paper we have also discussed the capacitance frequency dependence of diamond/carbon cloth electrodes

  9. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  10. Diamond Detector Technology: Status and Perspectives

    CERN Document Server

    Reichmann, M; Artuso, M; Bachmair, F; Bäni, L; Bartosik, M; Beacham, J; Beck, H; Bellini, V; Belyaev, V; Bentele, B; Berdermann, E; Bergonzo, P; Bes, A; Brom, J-M; Bruzzi, M; Cerv, M; Chiodini, G; Chren, D; Cindro, V; Claus, G; Collot, J; Cumalat, J; Dabrowski, A; D'Alessandro, R; Dauvergne, D; de Boer, W; Dorfer, C; Dünser, M; Eremin, V; Eusebi, R; Forcolin, G; Forneris, J; Frais-Kölbl, H; Gallin-Martel, L; Gallin-Martel, M L; Gan, K K; Gastal, M; Giroletti, C; Goffe, M; Goldstein, J; Golubev, A; Gorišek, A; Grigoriev, E; Grosse-Knetter, J; Grummer, A; Gui, B; Guthoff, M; Haughton, I; Hiti, B; Hits, D; Hoeferkamp, M; Hofmann, T; Hosslet, J; Hostachy, J-Y; Hügging, F; Hutton, C; Jansen, H; Janssen, J; Kagan, H; Kanxheri, K; Kasieczka, G; Kass, R; Kassel, F; Kis, M; Konovalov, V; Kramberger, G; Kuleshov, S; Lacoste, A; Lagomarsino, S; Lo Giudice, A; Lukosi, E; Maazouzi, C; Mandic, I; Mathieu, C; Menichelli, M; Mikuž, M; Morozzi, A; Moss, J; Mountain, R; Murphy, S; Muškinja, M; Oh, A; Oliviero, P; Passeri, D; Pernegger, H; Perrino, R; Picollo, F; Pomorski, M; Potenza, R; Quadt, A; Re, A; Riley, G; Roe, S; Sanz-Becerra, D A; Scaringella, M; Schaefer, D; Schmidt, C J; Schnetzer, S; Sciortino, S; Scorzoni, A; Seidel, S; Servoli, L; Smith, S; Sopko, B; Sopko, V; Spagnolo, S; Spanier, S; Stenson, K; Stone, R; Sutera, C; Tannenwald, B; Taylor, A; Traeger, M; Tromson, D; Trischuk, W; Tuve, C; Uplegger, L; Velthuis, J; Venturi, N; Vittone, E; Wagner, S; Wallny, R; Wang, J C; Weingarten, J; Weiss, C; Wengler, T; Wermes, N; Yamouni, M; Zavrtanik, M

    2018-01-01

    The planned upgrade of the LHC to the High-Luminosity-LHC will push the luminosity limits above the original design values. Since the current detectors will not be able to cope with this environment ATLAS and CMS are doing research to find more radiation tolerant technologies for their innermost tracking layers. Chemical Vapour Deposition (CVD) diamond is an excellent candidate for this purpose. Detectors out of this material are already established in the highest irradiation regimes for the beam condition monitors at LHC. The RD42 collaboration is leading an effort to use CVD diamonds also as sensor material for the future tracking detectors. The signal behaviour of highly irradiated diamonds is presented as well as the recent study of the signal dependence on incident particle flux. There is also a recent development towards 3D detectors and especially 3D detectors with a pixel readout based on diamond sensors.

  11. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  12. CN distribution in flame deposition of diamond and its relation to the growth rate, morphology, and nitrogen incorporation of the diamond layer

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Schermer, J.J.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements areapplied to the chemical vapour deposition (CVD) of diamond by anoxyacetylene flame to visualize the distribution of CN in the gas phaseduring the diamond growth process. The obtained diamond deposits arecharacterized by optical as

  13. Charge transport and X-ray dosimetry performance of a single crystal CVD diamond device fabricated with pulsed laser deposited electrodes

    International Nuclear Information System (INIS)

    Abdel-Rahman, M.A.E.; Abdel-Rahman, M.A.E.; Lohstroh, A.; Bryant, P.; Jayawardena, I.

    2013-01-01

    The deposition of amorphous Carbon mixed with Nickel (C/Ni) as electrodes for a diamond radiation detector using Pulsed Laser Deposition (PLD) was demonstrated previously as a novel technique for producing near-tissue equivalent X-ray dosimeters based on polycrystalline diamond. In this study, we present the first characterisation of a single crystal CVD diamond sandwich detector (of 80 nm thickness) fabricated with this method, labelled SC-C/Ni. To examine the performance of PLD C/Ni as an electrical contact, alpha spectroscopy and x-ray induced photocurrents were studied as a function of applied bias voltage at room temperature and compared to those of polycrystalline CVD diamond detectors (PC-C/Ni); the spectroscopy data allows us to separate electron and hole contributions to the charge transport, whereas the X-ray data was investigated in terms of, linearity and dose rate dependence, sensitivity, signal to noise ratio, photoconductive gain, reproducibility and time response (rise and fall-off times). In the case of electron sensitive alpha induced signals, a charge collection efficiency (CCE) higher than 90 % has been observed at a bias of -40 V and 100 % CCE at -300 V, with an energy resolution of ∼3 % for 5.49 MeV alpha particles. The hole sample showed very poor spectroscopy performance for hole sensitive signals up to 200 Volt; this inhibited a similar numerical analysis to be carried out in a meaningful way. The dosimetric characteristic show a high signal to noise ratio (SNR) of ∼7.3x10 3 , an approximately linear relationship between the photocurrent and the dose rate and a sensitivity of 4.87 μC/Gy.mm 3 . The photoconductive gain is estimated to around 20, this gain might be supported by hole trapping effects as indicated in the alpha spectroscopy. The observed rise and fall-off times are less than 2 and 0.56 seconds, respectively - and mainly reflect the switching time of the X-ray tube used.The reproducibility of (0.504 %) approaches the value

  14. TL and LOE dosimetric evaluation of diamond films exposed to beta and ultraviolet radiation; Evaluacion dosimetrica TL y LOE de peliculas de diamante expuestas a radiacion beta y ultravioleta

    Energy Technology Data Exchange (ETDEWEB)

    Preciado F, S.; Melendrez, R.; Chernov, V.; Barboza F, M. [Universidad de Sonora, A.P. 13 y A.P. 5-088, 83000 Hermosillo, Sonora (Mexico); Schreck, M. [Universitaet Augsburg, Institut fuer Physik D-86135 (Germany); Cruz Z, E. [ICN, UNAM, 04500 Mexico D.F. (Mexico)

    2005-07-01

    The diamond possesses a privileged position regarding other materials of great technological importance. Their applications go from the optics, microelectronics, metals industry, medicine and of course as dosemeter, in the registration and detection of ionizing and non ionizing radiation. In this work the results of TL/LOE obtained in two samples of diamond of 10 {mu}m thickness grown by the chemical vapor deposition method (CVD) assisted by microwave plasma. The films were deposited in a silicon substrate (001) starting from a mixture of gases composed of CH{sub 4}/H{sub 2} and 750 ppm of molecular nitrogen as dopant. The samples were exposed to beta radiation (Sr{sup 90}/ Y{sup 90}) and ultraviolet, being stimulated later on thermal (TL) and optically (LOE) to evaluate their dosimetric properties. The sample without doping presented high response TL/LOE to the ultraviolet and beta radiation. The TL glow curve of the sample without doping showed two TL peaks with second order kinetics in the range of 520 to 550 K, besides a peak with first order kinetics of more intensity around 607 K. The TL efficiency of the non doped sample is bigger than the doped with nitrogen; however the LOE efficiency is similar in both samples. The results indicate that the CVD diamond possesses excellent perspectives for dosimetric applications, with special importance in radiotherapy due to it is biologically compatible with the human tissue. (Author)

  15. Development of a new neutron mirror made of deuterated Diamond-like carbon

    International Nuclear Information System (INIS)

    Sakurai, Dai; Chiba, Junsei; Shimizu, Hirohiko M; Nishimura, Daiki; Ino, Takashi; Kaneko, Naokatsu; Muto, Suguru; Kakusho, Nobunori; Seki, Yoshichika; Katayama, Ryo; Kitaguchi, Masaaki; Mishima, Kenji; Yamashita, Satoru; Ozeki, Kazuhide; Yoshioka, Tamaki

    2014-01-01

    We developed a new neutron mirror made of Diamond-like carbon (DLC). DLC is a film of amorphous carbon that has characteristics of both diamond and graphite. We produced DLC mirrors by ionization deposition method which is one of the chemical vapor deposition (CVD). Generally, DLC made by CVD contents a few tens of percentages of hydrogen. It decreases the Fermi potential of the DLC coating because hydrogen has negative Fermi potential. In order to increase the Fermi potential of the coating, we deuterated the DLC by using deuterated benzene for the source gas. The characteristics of the deuterated DLC(DDLC) coating was evaluated by RBS, ERDA, x-ray reflectivity, AFM. As a result, DDLC coating has 243 neV due to deuteration, which is the same level as Ni. The RMS of height of the DDLC was 0.6nm so that the DDLC coating can be applied for a focusing mirror or specular transportation of pulsed neutron. Besides, we also develop Hydrogen/Deuterium DLC multiple layer mirror. So far, 4 layers mirror has been succeeded.

  16. Role of grain size in superconducting boron-doped nanocrystalline diamond thin films grown by CVD

    Czech Academy of Sciences Publication Activity Database

    Zhang, G.; Janssens, S.D.; Vanacken, J.; Timmermans, M.; Vacík, Jiří; Ataklti, G.W.; Decelle, W.; Gillijns, W.; Goderis, B.; Haenen, K.; Wagner, P.; Moshchalkov, V.V.

    2011-01-01

    Roč. 84, č. 21 (2011), 214517/1-214517/10 ISSN 1098-0121 Institutional research plan: CEZ:AV0Z10480505 Keywords : Nanocrystalline diamond * Superconducting transition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.691, year: 2011

  17. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    International Nuclear Information System (INIS)

    Zhang, C.Z.; Tang, Y.; Li, Y.S.; Yang, Q.

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking

  18. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, C.Z.; Tang, Y. [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada); Li, Y.S. [Plasma Physics Laboratory, University of Saskatchewan, 116 Science Place, Saskatoon, SK, Canada S7N 5E2 (Canada); Yang, Q., E-mail: qiaoqin.yang@usask.ca [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada)

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking.

  19. Surface structure deduced differences of copper foil and film for graphene CVD growth

    Energy Technology Data Exchange (ETDEWEB)

    Tian, Junjun [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Hu, Baoshan, E-mail: hubaoshan@cqu.edu.cn [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Wei, Zidong; Jin, Yan [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Luo, Zhengtang [Department of Chemical and Biomolecular Engineering, The Hongkong University of Science and Technology, Kowloon (Hong Kong); Xia, Meirong [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Pan, Qingjiang [Key Laboratory of Functional Inorganic Material Chemistry, Ministry of Education, Heilongjiang University, Harbin 150080 (China); Liu, Yunling [State Key Laboratory of Inorganic Synthesis and Preparative Chemistry, College of Chemistry, Jilin University, Changchun 130012 (China)

    2014-05-01

    Highlights: • We demonstrate the significant differences between Cu foil and film in the surface morphology and crystal orientation distribution. • The different surface structure leads to the distinctive influences of the CH₄ and H₂ concentrations on the thickness and quality of as-grown graphene. • Nucleation densities and growth rate differences at the initial growth stages on the Cu foil and film were investigated and discussed. Abstract: Graphene was synthesized on Cu foil and film by atmospheric pressure chemical vapor deposition (CVD) with CH₄ as carbon source. Electron backscattered scattering diffraction (EBSD) characterization demonstrates that the Cu foil surface after the H₂-assisted pre-annealing was almost composed of Cu(1 0 0) crystal facet with larger grain size of ~100 μm; meanwhile, the Cu film surface involved a variety of crystal facets of Cu(1 1 1), Cu(1 0 0), and Cu(1 1 0), with the relatively small grain size of ~10 μm. The different surface structure led to the distinctive influences of the CH₄ and H₂ concentrations on the thickness and quality of as-grown graphene. Further data demonstrate that the Cu foil enabled more nucleation densities and faster growth rates at the initial growth stages than the Cu film. Our results are beneficial for understanding the relationship between the metal surface structure and graphene CVD growth.

  20. First dose-map measured with a polycrystalline diamond 2D dosimeter under an intensity modulated radiotherapy beam

    Energy Technology Data Exchange (ETDEWEB)

    Scaringella, M., E-mail: scaringella@gmail.com [Università di Firenze, Dipartimento di Ingegneria dell’Informazione, Firenze (Italy); Zani, M. [INFN Sezione di Firenze, Sesto Fiorentino, Firenze (Italy); Università di Firenze, Dipartimento di Scienze Biomediche, Sperimentali e Cliniche, Firenze (Italy); Baldi, A. [Università di Firenze, Dipartimento di Ingegneria Industriale, Firenze (Italy); Bucciolini, M. [INFN Sezione di Firenze, Sesto Fiorentino, Firenze (Italy); Università di Firenze, Dipartimento di Scienze Biomediche, Sperimentali e Cliniche, Firenze (Italy); Pace, E.; Sio, A. de [INFN Sezione di Firenze, Sesto Fiorentino, Firenze (Italy); Università di Firenze, Dipartimento di Fisica e Astronomia, Sesto Fiorentino, Firenze (Italy); Talamonti, C. [INFN Sezione di Firenze, Sesto Fiorentino, Firenze (Italy); Università di Firenze, Dipartimento di Scienze Biomediche, Sperimentali e Cliniche, Firenze (Italy); Bruzzi, M. [INFN Sezione di Firenze, Sesto Fiorentino, Firenze (Italy); Università di Firenze, Dipartimento di Fisica e Astronomia, Sesto Fiorentino, Firenze (Italy)

    2015-10-01

    A prototype of bidimensional dosimeter made on a 2.5×2.5 cm{sup 2} active area polycrystalline Chemical Vapour Deposited (pCVD) diamond film, equipped with a matrix of 12×12 contacts connected to the read-out electronics, has been used to evaluate a map of dose under Intensity Modulated Radiation Therapy (IMRT) fields for a possible application in pre-treatment verifications of cancer treatments. Tests have been performed under a 6–10 MVRX beams with IMRT fields for prostate and breast cancer. Measurements have been taken by measuring the 144 pixels in different positions, obtained by shifting the device along the x/y axes to span a total map of 14.4×10 cm{sup 2}. Results show that absorbed doses measured by our pCVD diamond device are consistent with those calculated by the Treatment Planning System (TPS)

  1. The first bump-bonded pixel detectors on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Palmieri, V.G.; Pan, L.S.; Peitz, A.; Pernicka, M.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Steuerer, J.; Stone, R.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Turchetta, R.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Zeuner, W.; Ziock, H.; Zoeller, M.; Charles, E.; Ciocio, A.; Dao, K.; Einsweiler, K.; Fasching, D.; Gilchriese, M.; Joshi, A.; Kleinfelder, S.; Milgrome, O.; Palaio, N.; Richardson, J.; Sinervo, P.; Zizka, G.

    1999-01-01

    Diamond is a nearly ideal material for detecting ionising radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow it to be used in high radiation environments. These characteristics make diamond sensors particularly appealing for use in the next generation of pixel detectors. Over the last year, the RD42 collaboration has worked with several groups that have developed pixel readout electronics in order to optimise diamond sensors for bump-bonding. This effort resulted in an operational diamond pixel sensor that was tested in a pion beam. We demonstrate that greater than 98% of the channels were successfully bump-bonded and functioning. The device shows good overall hit efficiency as well as clear spatial hit correlation to tracks measured in a silicon reference telescope. A position resolution of 14.8 μm was observed, consistent with expectations given the detector pitch

  2. The first bump-bonded pixel detectors on CVD diamond

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Fried, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Palmieri, V G; Pan, L S; Peitz, A; Pernicka, Manfred; Pirollo, S; Polesello, P; Pretzl, Klaus P; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Steuerer, J; Stone, R; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Turchetta, R; Vittone, E; Wagner, A; Walsh, A M; Wedenig, R; Weilhammer, Peter; Zeuner, W; Ziock, H J; Zöller, M; Charles, E; Ciocio, A; Dao, K; Einsweiler, Kevin F; Fasching, D; Gilchriese, M G D; Joshi, A; Kleinfelder, S A; Milgrome, O; Palaio, N; Richardson, J; Sinervo, P K; Zizka, G

    1999-01-01

    Diamond is a nearly ideal material for detecting ionising radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow it to be used in high radiation environments. These characteristics make diamond sensors particularly appealing for use in the next generation of pixel detectors. Over the last year, the RD42 collaboration has worked with several groups that have developed pixel readout electronics in order to optimise diamond sensors for bump-bonding. This effort resulted in an operational diamond pixel sensor that was tested in a pion beam. We demonstrate that greater than 98565544f the channels were successfully bump-bonded and functioning. The device shows good overall hit efficiency as well as clear spatial hit correlation to tracks measured in a silicon reference telescope. A position resolution of 14.8 mu m was observed, consistent with expectations given the detector pitch. (13 refs).

  3. The first bump-bonded pixel detectors on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Palmieri, V.G.; Pan, L.S.; Peitz, A.; Pernicka, M.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Steuerer, J.; Stone, R.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W. E-mail: william@physics.utoronto.ca; Turchetta, R.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Zeuner, W.; Ziock, H.; Zoeller, M.; Charles, E.; Ciocio, A.; Dao, K.; Einsweiler, K.; Fasching, D.; Gilchriese, M.; Joshi, A.; Kleinfelder, S.; Milgrome, O.; Palaio, N.; Richardson, J.; Sinervo, P.; Zizka, G

    1999-11-01

    Diamond is a nearly ideal material for detecting ionising radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow it to be used in high radiation environments. These characteristics make diamond sensors particularly appealing for use in the next generation of pixel detectors. Over the last year, the RD42 collaboration has worked with several groups that have developed pixel readout electronics in order to optimise diamond sensors for bump-bonding. This effort resulted in an operational diamond pixel sensor that was tested in a pion beam. We demonstrate that greater than 98% of the channels were successfully bump-bonded and functioning. The device shows good overall hit efficiency as well as clear spatial hit correlation to tracks measured in a silicon reference telescope. A position resolution of 14.8 {mu}m was observed, consistent with expectations given the detector pitch.

  4. Dosimetric characterization of chemical-vapor-deposited diamond film irradiated with UV and beta radiation

    Science.gov (United States)

    Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; Barboza-Flores, M.

    2003-03-01

    Diamond is an excellent prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality polycrystalline has renewed the interest in using diamond films as detectors and dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by using chemical vapor deposition. The thermoluminescence (TL) of UV and beta exposed samples shows a glow curve composed of at least four peaks; one located around 587 K presents excellent TL properties suitable for dosimetric applications with ionizing and non ionizing radiation. The TL excitation spectrum exhibits maximum TL efficiency at 220 nm. The samples show regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.32 - 0.89 eV and 1.1x10^2 - 2x10^8s_-1, respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters.

  5. Flexible diamond-like carbon film coated on rubber

    NARCIS (Netherlands)

    Pei, Y.T.; Bui, X.L.; Pal, J.P. van der; Martinez-Martinez, D.; Hosson, J.Th.M. De

    2013-01-01

    Dynamic rubber seals are major sources of friction of lubrication systems and bearings, which may take up to 70% of the total friction. The solution we present is to coat rubbers with diamond-like carbon (DLC) thin films by which the coefficient of friction is reduced to less than one tenth. Coating

  6. Structural Analysis of Planar sp3 and sp2 Films: Diamond-Like Carbon and Graphene Overlayers

    KAUST Repository

    Mansour, Ahmed

    2011-07-07

    The special electronic configuration of carbon enables the existence of wide ranging allotropes taking all possible dimensionalities. The allotropes of carbon are characterized by the type of hybridized bonding forming its structure, ranging from pure sp2 as in graphene, carbon nanotubes and fullerenes, to pure sp3 as in diamond. Amorphous and diamond-like carbon consists of a mixture of both hybridizations. This variation in hybridization in carbon materials enables a wide spectrum of properties, ranging from high bulk mechanical hardness, tribological properties and chemical inertness made possible by moving towards pure sp3 bonding to the extraordinary electrical conductivity, optical properties and in-plane mechanical strength resulting from pure sp2 bonding. Two allotropes at the extremes of this spectrum, diamond like carbon (DLC) and graphene, are investigated in this thesis; the former is investigated as a protective coating in hard drive applications, while the latter is investigated in the context of chemically derived graphene as material for transparent conducting electrode applications. DLC thin films are a main component in computer hard drives, acting as a protective coating against corrosion and mechanical wear of the magnetic layer and read-write head. The thickness of DLC films greatly affects the storage density in such devices, as larger separation between the read/write head and the magnetic layer decreases the storage density. A targeted DLC thickness of 2 nm would increase the storage density towards 1 Tbits/inch2. However, difficulty achieving continuous films at such thicknesses by commonly used sputtering methods challenges the industry to investigate alternative methods. Filtered cathodic vacuum arc (FCVA) has been proposed as an efficient technique to provide continuous, smooth and ultra-thin DLC films. We investigate the influence of deposition angle, deposition time, and substrate biasing to define the optimum process window to obtain

  7. Profiling of Current Transients in Capacitor Type Diamond Sensors

    Science.gov (United States)

    Gaubas, Eugenijus; Ceponis, Tomas; Meskauskaite, Dovile; Kazuchits, Nikolai

    2015-01-01

    The operational characteristics of capacitor-type detectors based on HPHT and CVD diamond have been investigated using perpendicular and parallel injection of carrier domain regimes. Simulations of the drift-diffusion current transients have been implemented by using dynamic models based on Shockley-Ramo’s theorem, under injection of localized surface domains and of bulk charge carriers. The bipolar drift-diffusion regimes have been analyzed for the photo-induced bulk domain (packet) of excess carriers. The surface charge formation and polarization effects dependent on detector biasing voltage have been revealed. The screening effects ascribed to surface charge and to dynamics of extraction of the injected bulk excess carrier domain have been separated and explained. The parameters of drift mobility of the electrons μe = 4000 cm2/Vs and holes μh = 3800 cm2/Vs have been evaluated for CVD diamond using the perpendicular profiling of currents. The coefficient of carrier ambipolar diffusion Da = 97 cm2/s and the carrier recombination lifetime τR,CVD ≌ 110 ns in CVD diamond were extracted by combining analysis of the transients of the sensor current and the microwave probed photoconductivity. The carrier trapping with inherent lifetime τR,HPHT ≌ 2 ns prevails in HPHT diamond. PMID:26061200

  8. Recent results on the development of radiation-hard diamond detectors

    CERN Document Server

    Conway, J S; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Dabrowski, W; Da Graca, J; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jamieson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Plano, R; Polesello, P; Prawer, S; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Russ, J; Schnetzer, S; Sciortino, S; Somalwar, S V; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R; Thomson, G B; Trawick, M; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    Charged particle detectors made from chemical vapor deposition (CVD) diamond have radiation hardness greatly exceeding that of silicon- based detectors. The CERN-based RD42 Collaboration has developed and tested CVD diamond microstrip and pixel detectors with an eye to their application in the intense radiation environment near the interaction region of hadron colliders. This paper presents recent results from tests of these detectors. (4 refs).

  9. Sub-bandgap optical absorption spectroscopy of hydrogenated microcrystalline silicon thin films prepared using hot-wire CVD (Cat-CVD) process

    International Nuclear Information System (INIS)

    Goktas, O.; Isik, N.; Okur, S.; Gunes, M.; Carius, R.; Klomfass, J.; Finger, F.

    2006-01-01

    Hydrogenated microcrystalline silicon (μc-Si:H) thin films with different silane concentration (SC) have been prepared using the HW-CVD technique. Dual beam photoconductivity (DBP), photothermal deflection spectroscopy (PDS), and transmission measurements have been used to investigate the optical properties of the μc-Si:H films. Two different sub-bandgap absorption, α(hν), methods have been applied and analyzed to obtain a better insight into the electronic states involved. A good agreement has been obtained in the absorption spectrum obtained from the PDS and DBP measurements at energies above the bandgap. Differences between PDS and DBP spectra exist below the bandgap energy where DBP spectra always give lower α(hν) values and show a dependence on the SC. For some films, differences exist in the α(hν) spectra when the DBP measurements are carried out through the film and substrate side. In addition, for some films, there remains fringe pattern left on the spectrum after the calculation of the fringe-free absorption spectrum, which indicates structural inhomogeneities present throughout the film

  10. Low resistance polycrystalline diamond thin films deposited by hot ...

    Indian Academy of Sciences (India)

    Administrator

    silicon wafers using a hydrocarbon gas (CH4) highly diluted with H2 at low pressure in a hot filament chemi- cal vapour ... the laser spot was focused on the sample surface using a ... tative spectra of diamond thin films with a typical dia-.

  11. Biological responses of diamond-like carbon (DLC) films with different structures in biomedical application.

    Science.gov (United States)

    Liao, T T; Zhang, T F; Li, S S; Deng, Q Y; Wu, B J; Zhang, Y Z; Zhou, Y J; Guo, Y B; Leng, Y X; Huang, N

    2016-12-01

    Diamond-like carbon (DLC) films are potential candidates for artificial joint surface modification in biomedical applications, and the influence of the structural features of DLC surfaces on cell functions has attracted attention in recent decades. Here, the biocompatibility of DLC films with different structures was investigated using macrophages, osteoblasts and fibroblasts. The results showed that DLC films with a low ratio of sp(2)/sp(3), which tend to have a structure similar to that of diamond, led to less inflammatory, excellent osteogenic and fibroblastic reactions, with higher cell viability, better morphology, lower release of TNF-α (tumor necrosis factor-α) and IL-6 (interleukin-6), and higher release of IL-10 (interleukin-10). The results also demonstrated that the high-density diamond structure (low ratio of sp(2)/sp(3)) of DLC films is beneficial for cell adhesion and growth because of better protein adsorption without electrostatic repulsion. These findings provide valuable insights into the mechanisms underlying inhibition of an inflammatory response and the promotion of osteoblastogenesis and fibrous propagation, and effectively build a system for evaluating the biocompatibility of DLC films. Copyright © 2016 Elsevier B.V. All rights reserved.

  12. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    Science.gov (United States)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  13. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  14. Application of printed nanocrystalline diamond film for electron emission cathode

    International Nuclear Information System (INIS)

    Zhang Xiuxia; Wei Shuyi; Lei Chongmin; Wei Jie; Lu Bingheng; Ding Yucheng; Zhu Changchun

    2011-01-01

    The low-cost and large area screen-printed nano-diamond film (NDF) for electronic emission was fabricated. The edges and corners of nanocrystalline diamond are natural field-emitters. The nano-diamond paste for screen-printing was fabricated of mixing nano-graphite and other inorganic or organic vehicles. Through enough disperse in isopropyl alcohol by ultrasonic nano-diamond paste was screen-printed on the substrates to form NDF. SEM images showed that the surface morphology of NDF was improved, and the nano-diamond emitters were exposed from NDF through the special thermal-sintering technique and post-treatment process. The field emission characteristics of NDF were measured under all conditions with 10 -6 Pa pressure. The results indicated that the field emission stability and emission uniformity of NDF were improved through hydrogen plasma post-treatment process. The turn-on field decreased from 1.60 V/μm to 1.25 V/μm. The screen-printed NDF can be applied to the displays electronic emission cathode for low-cost outdoor in large area.

  15. Guided assembly of nanoparticles on electrostatically charged nanocrystalline diamond thin films

    Directory of Open Access Journals (Sweden)

    Verveniotis Elisseos

    2011-01-01

    Full Text Available Abstract We apply atomic force microscope for local electrostatic charging of oxygen-terminated nanocrystalline diamond (NCD thin films deposited on silicon, to induce electrostatically driven self-assembly of colloidal alumina nanoparticles into micro-patterns. Considering possible capacitive, sp2 phase and spatial uniformity factors to charging, we employ films with sub-100 nm thickness and about 60% relative sp2 phase content, probe the spatial material uniformity by Raman and electron microscopy, and repeat experiments at various positions. We demonstrate that electrostatic potential contrast on the NCD films varies between 0.1 and 1.2 V and that the contrast of more than ±1 V (as detected by Kelvin force microscopy is able to induce self-assembly of the nanoparticles via coulombic and polarization forces. This opens prospects for applications of diamond and its unique set of properties in self-assembly of nano-devices and nano-systems.

  16. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  17. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  18. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  19. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  20. Electrical current at micro-/macro-scale of undoped and nitrogen-doped MWPECVD diamond films

    Science.gov (United States)

    Cicala, G.; Velardi, L.; Senesi, G. S.; Picca, R. A.; Cioffi, N.

    2017-12-01

    Chemical, structural, morphological and micro-/macro-electrical properties of undoped and nitrogen-(N-)doped diamond films are determined by X-ray photoelectron spectroscopy, Raman and photoluminescence spectroscopies, field emission scanning electron microscopy, atomic force microscopy, scanning capacitance microscopy (SCM) and two points technique for I-V characteristics, respectively. The characterization results are very useful to examine and understand the relationship among these properties. The effect of the nitrogen incorporation in diamond films is investigated through the evolution of the chemical, structural, morphological and topographical features and of the electrical behavior. The distribution of the electrical current is first assessed at millimeter scale on the surface of diamond films and then at micrometer scale on small regions in order to establish the sites where the carriers preferentially move. Specifically, the SCM images indicate a non-uniform distribution of carriers on the morphological structures mainly located along the grain boundaries. A good agreement is found by comparing the electrical currents at the micro- and macro-scale. This work aims to highlight phenomena such as photo- and thermionic emission from N-doped diamond useful for microelectronic engineering.

  1. Sandblasting induced stress release and enhanced adhesion strength of diamond films deposited on austenite stainless steel

    Science.gov (United States)

    Li, Xiao; Ye, Jiansong; Zhang, Hangcheng; Feng, Tao; Chen, Jianqing; Hu, Xiaojun

    2017-08-01

    We firstly used sandblasting to treat austenite stainless steel and then deposited a Cr/CrN interlayer by close field unbalanced magnetron sputtering on it. After that, diamond films were prepared on the interlayer. It is found that the sandblasting process induces phase transition from austenite to martensite in the surface region of the stainless steel, which decreases thermal stress in diamond films due to lower thermal expansion coefficient of martensite phase compared with that of austenite phase. The sandblasting also makes stainless steel's surface rough and the Cr/CrN interlayer film inherits the rough surface. This decreases the carburization extent of the interlayer, increases nucleation density and modifies the stress distribution. Due to lower residual stress and small extent of the interlayer's carburization, the diamond film on sandblast treated austenite stainless steel shows enhanced adhesion strength.

  2. Bias-enhanced post-treatment process for enhancing the electron field emission properties of ultrananocrystalline diamond films

    International Nuclear Information System (INIS)

    Saravanan, A.; Huang, B. R.; Sankaran, K. J.; Tai, N. H.; Dong, C. L.; Lin, I. N.

    2015-01-01

    The electron field emission (EFE) properties of ultrananocrystalline diamond films were markedly improved via the bias-enhanced plasma post-treatment (bep) process. The bep-process induced the formation of hybrid-granular structure of the diamond (bep-HiD) films with abundant nano-graphitic phase along the grain boundaries that increased the conductivity of the films. Moreover, the utilization of Au-interlayer can effectively suppress the formation of resistive amorphous-carbon (a-C) layer, thereby enhancing the transport of electrons crossing the diamond-to-Si interface. Therefore, bep-HiD/Au/Si films exhibit superior EFE properties with low turn-on field of E 0  = 2.6 V/μm and large EFE current density of J e  = 3.2 mA/cm 2 (at 5.3 V/μm)

  3. Development of diamond coated tool and its performance in ...

    Indian Academy of Sciences (India)

    Unknown

    Mechanical Engineering Department, Indian Institute of Technology, Kharagpur 721 302, India ... chemical inertness of diamond coating towards the work material, did not show any .... CVD diamond coated carbide tools, Ph D Thesis, Indian.

  4. Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides

    Directory of Open Access Journals (Sweden)

    R. Polini

    2012-01-01

    Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.

  5. Characteristics of ZnO/diamond thin films prepared by RF magnetron sputtering

    CERN Document Server

    Park, Y W; Lee, J G; Baik, Y J; Kim, H J; Jung, H J; Choi, W K; Cho, B H; Park, C Y

    1999-01-01

    Due to its high Young's modulus, diamond has the highest acoustic wave velocity among all materials and is expected to be a candidate substrate for high-frequency surface acoustic wave(SAW) devices. In this study, the deposition of ZnO, as a piezoelectric layer, on a diamond substrate is investigated. ZnO has been fabricated by using RF magnetron sputtering with a ZnO target and various Ar/O sub 2 gas ratios, RF powers, and substrate temperatures at a vacuum of 10 sup - sup 5 Torr. The sputtered ZnO films are characterized by X-ray diffraction (XRD), Rutherford backscattering spectroscopy (RBS), X-ray photoelectron spectroscopy (XPS), and I-V characteristics. All the films show only a (002) orientation. The atomic concentration of the sputtered ZnO films is changed by the oxygen gas ratio, and the ZnO films are grown with a homogeneous composition over their entire thickness. The electrical resistivity of the films varied from 4x10 sup 3 to 7x10 sup 8 OMEGA cm, depending on the Ar/O sub 2 gas ratio. The phase...

  6. Functionalization of nanocrystalline diamond films with phthalocyanines

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Christo [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Reintanz, Philipp M. [Institute of Chemistry, Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Kulisch, Wilhelm [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Degenhardt, Anna Katharina [Institute of Chemistry, Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Weidner, Tobias [Max Planck Institute for Polymer Research, Mainz (Germany); Baio, Joe E. [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR (United States); Merz, Rolf; Kopnarski, Michael [Institut für Oberflächen- und Schichtanalytik (IFOS), Kaiserslautern (Germany); Siemeling, Ulrich [Institute of Chemistry, Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Reithmaier, Johann Peter [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany); Popov, Cyril, E-mail: popov@ina.uni-kassel.de [Institute of Nanostructure Technologies and Analytics (INA), Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), University of Kassel (Germany)

    2016-08-30

    Highlights: • Grafting of phthalocyanines on nanocrystalline diamond films with different terminations. • Pc with different central atoms and side chains synthesized and characterized. • Attachment of Pc on H- and O-terminated NCD studied by XPS and NEXAFS spectroscopy. • Orientation order of phthalocyanine molecules on NCD surface. - Abstract: Phthalocyanine (Pc) derivatives containing different central metal atoms (Mn, Cu, Ti) and different peripheral chains were synthesized and comprehensively characterized. Their interaction with nanocrystalline diamond (NCD) films, as-grown by hot-filament chemical vapor deposition or after their modification with oxygen plasma to exchange the hydrogen termination with oxygen-containing groups, was studied by X-ray photoelectron spectroscopy (XPS) and near-edge X-ray absorption fine structure (NEXAFS) spectroscopy. The elemental composition as determined by XPS showed that the Pc were grafted on both as-grown and O-terminated NCD. Mn, Cu and Ti were detected together with N stemming from the Pc ring and S in case of the Ti-Pc from the peripheral ligands. The results for the elemental surface composition and the detailed study of the N 1s, S 2p and O 1s core spectra revealed that Ti-Pc grafted better on as-grown NCD but Cu-Pc and Mn-Pc on O-terminated films. Samples of Mn-Pc on as-grown and O-terminated NCD were further investigated by NEXAFS spectroscopy. The results showed ordering of the grafted molecules, laying flat on the H-terminated NCD surface while only the macrocycles were oriented parallel to the O-terminated surface with the peripheral chains perpendicular to it.

  7. Status of diamond particle detectors

    Science.gov (United States)

    Krammer, M.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fish, D.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knöpfle, K. T.; Manfredi, P. F.; Meier, D.; Mishina, M.; LeNormand, F.; Pan, L. S.; Pernegger, H.; Pernicka, M.; Re, V.; Riester, G. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.

    1998-11-01

    To continue the exciting research in the field of particle physics new accelerators and experiments are under construction. In some of these experiments, e.g. ATLAS and CMS at the Large Hadron Collider at CERN or HERA-B at DESY, the detectors have to withstand an extreme environment. The detectors must be radiation hard, provide a very fast signal, and be as thin as possible. The properties of CVD diamond allow to fulfill these requirements and make it an ideal material for the detectors close to the interaction region of these experiments, i.e. the vertex detectors or the inner trackers. The RD42 collaboration is developing diamond detectors for these applications. The program of RD42 includes the improvement of the charge collection properties of CVD diamond, the study of the radiation hardness and the development of low-noise radiation hard readout electronics. An overview of the progress achieved during the last years will be given.

  8. Thermoluminescent behavior of diamond thin films exposed to ultraviolet radiation

    International Nuclear Information System (INIS)

    Barboza F, M.; Gastelum, S.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz V, C.; Brown, F.

    2002-01-01

    In this work the thermoluminescent properties of diamond thin films are discussed which are grown up through the chemical vapor method exposed to ultraviolet radiation of 200-280 nm. The films with thickness 3, 6, 9, 12, 180 and 500 microns were grown up using a precursor gas formed of H 2 -CH 4 -CO excited through microwave energy or hot filament.The structure and morphology of the films were examined through scanning electron microscopy, indicating the formation of different diamond polycrystal structures which depend on the type of heating of the precursor gas used as well as the film dimensions. In general, the brilliance curve depends on the sample and the wavelength of the irradiation ultraviolet light, however it presents clearly thermoluminescence bands in 148, 160, 272, 304, 320 and 324 C degrees. The maximum of the thermoluminescence efficiency is obtained for the case of sample exposure with light of 214 nm. The sample of 500 microns is what exhibits greater thermoluminescent efficiency of those studied samples. The thermoluminescent behavior in function of radiation dose presents regions of linearity and supra linearity for higher and small doses respectively. The disappearance of the thermoluminescent signal depends on the characteristics of the film and it can reach until a 30 % of loss before to reach the stability. (Author)

  9. Chemical Analysis of Impurity Boron Atoms in Diamond Using Soft X-ray Emission Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Muramatsu, Yasuji; Iihara, Junji; Takebe, Toshihiko; Denlinger, Jonathan D.

    2008-03-29

    To analyze the local structure and/or chemical states of boron atoms in boron-doped diamond, which can be synthesized by the microwave plasma-assisted chemical vapor deposition method (CVD-B-diamond) and the temperature gradient method at high pressure and high temperature (HPT-B-diamond), we measured the soft X-ray emission spectra in the CK and BK regions of B-diamonds using synchrotron radiation at the Advanced Light Source (ALS). X-ray spectral analyses using the fingerprint method and molecular orbital calculations confirm that boron atoms in CVD-B-diamond substitute for carbon atoms in the diamond lattice to form covalent B-C bonds, while boron atoms in HPT-B-diamond react with the impurity nitrogen atoms to form hexagonal boron nitride. This suggests that the high purity diamond without nitrogen impurities is necessary to synthesize p-type B-diamond semiconductors.

  10. Preparation of LiMn{sub 2}O{sub 4} cathode thin films for thin film lithium secondary batteries by a mist CVD process

    Energy Technology Data Exchange (ETDEWEB)

    Tadanaga, Kiyoharu, E-mail: tadanaga@chem.osakafu-u.ac.jp [Department of Applied Chemistry, Graduate School of Engineering, Osaka Prefecture University, Sakai, Osaka, 599-8531 (Japan); Yamaguchi, Akihiro; Sakuda, Atsushi; Hayashi, Akitoshi; Tatsumisago, Masahiro [Department of Applied Chemistry, Graduate School of Engineering, Osaka Prefecture University, Sakai, Osaka, 599-8531 (Japan); Duran, Alicia; Aparacio, Mario [Instituto de Cerámica y Vidrio, Consejo Superior de Investigaciones Científicas, Kelsen 5 (Campus de Cantoblanco), Madrid, 28049 (Spain)

    2014-05-01

    Highlights: • LiMn{sub 2}O{sub 4} thin films were prepared by using the mist CVD process. • An aqueous solution of lithium and manganese acetates is used for the precursor solution. • The cell with the LiMn{sub 2}O{sub 4} thin films exhibited a capacity of about 80 mAh/g. • The cell showed good cycling performance during 10 cycles. - Abstract: LiMn{sub 2}O{sub 4} cathode thin films for thin film lithium secondary batteries were prepared by using so-called the “mist CVD process”, employing an aqueous solution of lithium acetate and manganese acetate, as the source of Li and Mn, respectively. The aqueous solution of starting materials was ultrasonically atomized to form mist particles, and mists were transferred by nitrogen gas to silica glass substrate to form thin films. FE-SEM observation revealed that thin films obtained by this process were dense and smooth, and thin films with a thickness of about 750 nm were obtained. The electrochemical cell with the thin films obtained by sintering at 700 °C exhibited a capacity of about 80 mAh/g, and the cell showed good cycling performance during 10 cycles.

  11. Development of Diamond Tracking Detectors for High Luminosity Experiments at the LHC, HL-LHC and Beyond

    CERN Document Server

    Kagan, Harris (Ohio State)

    2018-01-01

    The RD42 collaboration at CERN is leading the effort to develop radiation tolerant devices based on polycrystalline Chemical Vapor Deposition (pCVD) diamond as a material for tracking detectors operating in harsh radiation environments. Diamond has properties that make it suitable for such detector applications. During the last few years the RD42 group has succeeded in producing and characterising a number of devices to address specific issues related to their use at the LHC and HL-LHC. Herein we present the status of the RD42 project with emphasis on recent beam test results and our proposed three year research plan. In particular, we review recent results on the stability of signal size on incident particle rate in diamond detectors over a range of particle fluxes up to 20 MHz/cm2, on the radiation tolerance of CVD diamond, on the diamond work with ATLAS and CMS, on the results of 3D diamond detectors fabricated in pCVD diamond and on the work with diamond manufacturers. In addition, we present the details ...

  12. Forming method of a functional layer-built film by micro-wave plasma CVD

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Keishi

    1988-11-18

    In forming an amorphous semi-conductor material film, the micro-wave plasma CVD cannot be generally used because of such demerits as film-separation, low yield, columnar structure in the film, and problems in the optical and electrical properties. In this invention, a specific substrate is placed in a layer-built film forming unit which is capable of maintaining vacuum; raw material gas for the film formation is introduced; plasma is generated by a micro-wave energy to decompose the raw material gas, thus forming the layer-built film on the substarte. Then a film is made by adding a specific amount of calcoganide-containing gas to the raw material gas. By this, the utilization efficiency of the raw material gas gets roughly 100% and both the adhesion to the substrate and the structural flexibility of the layer-built film increase, enhancing the yield of forming various functional elements (sensor, solar cell, thin transistor film, etc.), and thus greatly reducing the production cost. 6 figs., 7 tabs.

  13. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  14. Synthesis and characterization of boron incorporated diamond-like carbon thin films

    International Nuclear Information System (INIS)

    Zhang, L.L.; Yang, Q.; Tang, Y.; Yang, L.; Zhang, C.; Hu, Y.; Cui, X.

    2015-01-01

    Boron incorporated diamond-like carbon (B-DLC) (up to 8 wt.% boron) thin films were synthesized on silicon wafers using biased target ion beam deposition technique, where diamond-like carbon (DLC) was deposited by ion beam deposition and boron (B) was simultaneously incorporated by biased target sputtering of a boron carbide (B 4 C) target under different conditions. Pure DLC films and B–C films were also synthesized by ion beam deposition and biased target sputtering of B 4 C under similar conditions, respectively, as reference samples. The microstructure and mechanical properties of the synthesized films have been characterized by various technologies. It has been found that B exists in different states in B-DLC, including carbon-rich and B-rich boron carbides, boron suboxide and boron oxide, and the oxidation of B probably occurs during the film deposition. The incorporation of B into DLC leads to the increase of sp 3 bonded carbon in the films, the increase of both film hardness and elastic modulus, and the decrease of both surface roughness and friction coefficient. Furthermore, the content of sp 3 bonded carbon, film hardness and elastic modulus increase, and the film surface roughness and friction coefficient decrease with the increase of B-rich carbide in the B-DLC films. - Highlights: • Biased target ion beam deposition technique is promising to produce high quality DLC based thin films; • Boron exists in different states in B-DLC thin films; • The incorporation of B to DLC with different levels leads to improved film properties; • The fraction of sp 3 bonded C in B-DLC thin films increase with the increase of B-rich carbide content in the films

  15. Surface plasmon effect in electrodeposited diamond-like carbon films for photovoltaic application

    Science.gov (United States)

    Ghosh, B.; Ray, Sekhar C.; Espinoza-González, Rodrigo; Villarroel, Roberto; Hevia, Samuel A.; Alvarez-Vega, Pedro

    2018-04-01

    Diamond-like carbon (DLC) films and nanocrystalline silver particles containing diamond-like carbon (DLC:Ag) films were electrodeposited on n-type silicon substrate (n-Si) to prepare n-Si/DLC and n-Si/DLC:Ag heterostructures for photovoltaic (PV) applications. Surface plasmon resonance (SPR) effect in this cell structure and its overall performance have been studied in terms of morphology, optical absorption, current-voltage characteristics, capacitance-voltage characteristics, band diagram and external quantum efficiency measurements. Localized surface plasmon resonance effect of silver nanoparticles (Ag NPs) in n-Si/DLC:Ag PV structure exhibited an enhancement of ∼28% in short circuit current density (JSC), which improved the overall efficiency of the heterostructures.

  16. Beta Radiation Enhanced Thermionic Emission from Diamond Thin Films

    Directory of Open Access Journals (Sweden)

    Alex Croot

    2017-11-01

    Full Text Available Diamond-based thermionic emission devices could provide a means to produce clean and renewable energy through direct heat-to-electrical energy conversion. Hindering progress of the technology are the thermionic output current and threshold temperature of the emitter cathode. In this report, we study the effects on thermionic emission caused by in situ exposure of the diamond cathode to beta radiation. Nitrogen-doped diamond thin films were grown by microwave plasma chemical vapor deposition on molybdenum substrates. The hydrogen-terminated nanocrystalline diamond was studied using a vacuum diode setup with a 63Ni beta radiation source-embedded anode, which produced a 2.7-fold increase in emission current compared to a 59Ni-embedded control. The emission threshold temperature was also examined to further assess the enhancement of thermionic emission, with 63Ni lowering the threshold temperature by an average of 58 ± 11 °C compared to the 59Ni control. Various mechanisms for the enhancement are discussed, with a satisfactory explanation remaining elusive. Nevertheless, one possibility is discussed involving excitation of preexisting conduction band electrons that may skew their energy distribution toward higher energies.

  17. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  18. High Q diamond hemispherical resonators: fabrication and energy loss mechanisms

    International Nuclear Information System (INIS)

    Bernstein, Jonathan J; Bancu, Mirela G; Bauer, Joseph M; Cook, Eugene H; Kumar, Parshant; Nyinjee, Tenzin; Perlin, Gayatri E; Ricker, Joseph A; Teynor, William A; Weinberg, Marc S; Newton, Eric

    2015-01-01

    We have fabricated polycrystalline diamond hemispheres by hot-filament CVD (HFCVD) in spherical cavities wet-etched into a high temperature glass substrate CTE matched to silicon. Hemispherical resonators 1.4 mm in diameter have a Q of up to 143 000 in the fundamental wineglass mode, for a ringdown time of 2.4 s. Without trimming, resonators have the two degenerate wineglass modes frequency matched as close as 2 Hz, or 0.013% of the resonant frequency (∼16 kHz). Laser trimming was used to match resonant modes on hemispheres to 0.3 Hz. Experimental and FEA energy loss studies on cantilevers and hemispheres examine various energy loss mechanisms, showing that surface related losses are dominant. Diamond cantilevers with a Q of 400 000 and a ringdown time of 15.4 s were measured, showing the potential of polycrystalline diamond films for high Q resonators. These resonators show great promise for use as hemispherical resonant gyroscopes (HRGs) on a chip. (paper)

  19. Evaluation of the adhesion strength of diamond films brazed on K-10 type hard metal

    Directory of Open Access Journals (Sweden)

    Santos Sérgio Ivan dos

    2004-01-01

    Full Text Available The coating of cutting tools with diamond films considerably increases the tool performance due to the combination of the unique tribological properties of diamond with the bulk properties of the substrate (toughness. The tool performance, however, is strongly related to the adhesion strength between the film and the substrate. In this work our main goal was to propose and to test a procedure, based on a tensile strength test, to evaluate the adhesion strength of a diamond wafer brazed on a hard metal substrate, taking into account the effect of the brazing temperature and time. The temperature range studied was from 800 to 980 °C and the brazing time ranged from 3 to 40 min. The obtained results could be used to optimize the costs and time required to the production of high performance cutting tools with brazed diamond wafers.

  20. Optical properties of diamond like carbon nanocomposite thin films

    Science.gov (United States)

    Alam, Md Shahbaz; Mukherjee, Nillohit; Ahmed, Sk. Faruque

    2018-05-01

    The optical properties of silicon incorporated diamond like carbon (Si-DLC) nanocomposite thin films have been reported. The Si-DLC nanocomposite thin film deposited on glass and silicon substrate by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) process. Fourier transformed infrared spectroscopic analysis revealed the presence of different bonding within the deposited films and deconvolution of FTIR spectra gives the chemical composition i.e., sp3/sp2 ratio in the films. Optical band gap calculated from transmittance spectra increased from 0.98 to 2.21 eV with a variation of silicon concentration from 0 to 15.4 at. %. Due to change in electronic structure by Si incorporation, the Si-DLC film showed a broad photoluminescence (PL) peak centered at 467 nm, i.e., in the visible range and its intensity was found to increase monotonically with at. % of Si.

  1. Influence of defects on diamond detection properties

    International Nuclear Information System (INIS)

    Tromson, Dominique

    2000-01-01

    This work focuses on the study of the influence of defects on the detection properties of diamond. Devices are fabricated using natural as well as synthetic diamond samples grown using the plasma enhanced chemical vapour deposition (CVD). Optical studies with infrared and Raman spectrometry are used to characterise the material properties as well as thermoluminescence and thermally stimulated current measurements. These thermally stimulated analyses reveal the presence of several trapping levels with emission temperatures below or near room temperature as well as an important level near 550 K. The influence of these defects on the alpha and X-ray detector responses is studied as a function of the initial state of the detectors (thermal treatment, irradiation) and of the measurement conditions (time, temperature). The results show a significant correlation between the charged state of traps, namely filled or empty and the response of the detectors. It appears that filling and emptying the traps respectively enhances the sensitivity and stability of detection devices to be used at room temperature and decreases the detection properties at higher temperature. Localised measurements are also used to study the spatial inhomogeneity of natural and CVD diamond samples from the 2D mapping of the detector responses. Non uniformity are attributed to a non-isotropic distribution of defects in natural diamonds. By comparing the detector responses to the topographical map of CVD samples a correlation appears between grains and grain boundaries with the variation of the detector sensitivity. Devices fabricated for detection applications with CVD samples are presented and namely for the monitoring and profiling of synchrotron beams as well as dose rate measurements in harsh environments. (author) [fr

  2. Effects of the shape anisotropy and biasing field on the magnetization reversal process of the diamond-shaped NiFe nano films

    Science.gov (United States)

    Xu, Sichen; Yin, Jianfeng; Tang, Rujun; Zhang, Wenxu; Peng, Bin; Zhang, Wanli

    2017-11-01

    The effects of the planar shape anisotropy and biasing field on the magnetization reversal process (MRP) of the diamond-shaped NiFe nano films have been investigated by micromagnetic simulations. Results show that when the length to width ratio (LWR) of the diamond-shaped film is small, the MRP of the diamond-shaped films are sensitive to LWR. But when LWR is larger than 2, a stable domain switching mode is observed which nucleates from the center of the diamond and then expands to the edges. At a fixed LWR, the magnitude of the switching fields decrease with the increase of the biasing field, but the domain switching mode is not affected by the biasing field. Further analysis shows that demagnetization energy dominates over the MRP of the diamond-shaped films. The above LWR dependence of MRP can be well explained by a variation of the shape anisotropic factor with LWR.

  3. Synthesis of diamond films by pulsed liquid injection chemical vapor deposition using a mixture of acetone and water as precursor

    International Nuclear Information System (INIS)

    Apatiga, L.M.; Morales, J.

    2009-01-01

    A chemical vapor deposition reactor based on the flash evaporation of an organic liquid precursor was used to grow diamond films on Si substrates. An effective pulsed liquid injection mechanism consisting of an injector, normally used for fuel injection in internal combustion engines, injects micro-doses of the precursor to the evaporation zone at 280 o C and is instantly evaporated. The resulting vapor mixture is transported by a carrier gas to the high-temperature reaction chamber where the diamond nucleates and grows on the substrate surface at temperatures ranging from 750 to 850 o C. The injection frequency, opening time, number of pulses and other injector parameters are controlled by a computer-driven system. The diamond film morphology and structure were characterized by scanning electron microscopy and Raman spectroscopy. The as-deposited diamond films show a ball-shaped morphology with a grain size that varies from 100 to 400 nm, as well as the characteristic diamond Raman band at 1332 cm -1 . The effects of the experimental parameters and operation principle on the diamond films quality are analyzed and discussed in terms of crystallinity, composition, structure, and morphology.

  4. The bonding of protective films of amorphic diamond to titanium

    Science.gov (United States)

    Collins, C. B.; Davanloo, F.; Lee, T. J.; Jander, D. R.; You, J. H.; Park, H.; Pivin, J. C.

    1992-04-01

    Films of amorphic diamond can be deposited from laser plasma ions without the use of catalysts such as hydrogen or fluorine. Prepared without columnar patterns of growth, the layers of this material have been reported to have ``bulk'' values of mechanical properties that have suggested their usage as protective coatings for metals. Described here is a study of the bonding and properties realized in one such example, the deposition of amorphic diamond on titanium. Measurements with Rutherford backscattering spectrometry and transmission electron microscopy showed that the diamond coatings deposited from laser plasmas were chemically bonded to Ti substrates in 100-200-Å-thick interfacial layers containing some crystalline precipitates of TiC. Resistance to wear was estimated with a modified sand blaster and in all cases the coating was worn away without any rupture or deterioration of the bonding layer. Such wear was greatly reduced and lifetimes of the coated samples were increased by a factor of better than 300 with only 2.7 μm of amorphic diamond.

  5. Microwave plasma-assisted photoluminescence enhancement in nitrogen-doped ultrananocrystalline diamond film

    Directory of Open Access Journals (Sweden)

    Yu Lin Liu

    2012-06-01

    Full Text Available Optical properties and conductivity of nitrogen-doped ultrananocrystal diamond (UNCD films were investigated following treatment with low energy microwave plasma at room temperature. The plasma also generated vacancies in UNCD films and provided heat for mobilizing the vacancies to combine with the impurities, which formed the nitrogen-vacancy defect centers. The generated color centers were distributed uniformly in the samples. The conductivity of nitrogen-doped UNCD films treated by microwave plasma was found to decrease slightly due to the reduced grain boundaries. The photoluminescence emitted by the plasma treated nitrogen-doped UNCD films was enhanced significantly compared to the untreated films.

  6. Lunar Production and Application of Solar Cells, and Synthesis of Diamond Film

    Science.gov (United States)

    Fang, P. H.

    1991-01-01

    Two projects which are carried out under the Summer Faculty Fellowship Program-1991 are discussed. A conceptual design of a solar cell manufacturing plant on a lunar base is discussed. This is a large program that requires a continuous and expanded effort, the present status of which is reflected here. An experiment on the synthesis of diamond film is discussed. Encouraging, but not yet conclusive evidence has been obtained on a new method to synthesize diamond film. The procedures and observations are presented. A third project is an analysis of the solar cell performance over five years on the moon based on Apollo missions. A paper has been completed and will be submitted to the journal Solar Cells for publication.

  7. Optical and electrical properties of boron doped diamond thin conductive films deposited on fused silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, M.; Sobaszek, M.; Gnyba, M. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Ryl, J. [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Gołuński, Ł. [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Smietana, M.; Jasiński, J. [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, 75 Koszykowa St., 00-662 Warsaw (Poland); Caban, P. [Institute of Electronic Materials Technology, 133 Wolczynska St., 01-919 Warsaw (Poland); Bogdanowicz, R., E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Gdansk University of Technology, 11/12G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, CA 91125 (United States)

    2016-11-30

    Highlights: • Growth of 60% of transmittance diamond films with resistivity as low as 48 Ω cm. • Two step seeding process of fused silica: plasma hydrogenation and wet seeding. • Nanodiamond seeding density of 2 × 10{sup 10} cm{sup −2} at fused silica substrates. • High refractive index (2.4 @550 nm) was achieved for BDD films deposited at 500 °C. - Abstract: This paper presents boron-doped diamond (BDD) film as a conductive coating for optical and electronic purposes. Seeding and growth processes of thin diamond films on fused silica have been investigated. Growth processes of thin diamond films on fused silica were investigated at various boron doping level and methane admixture. Two step pre-treatment procedure of fused silica substrate was applied to achieve high seeding density. First, the substrates undergo the hydrogen plasma treatment then spin-coating seeding using a dispersion consisting of detonation nanodiamond in dimethyl sulfoxide with polyvinyl alcohol was applied. Such an approach results in seeding density of 2 × 10{sup 10} cm{sup −2}. The scanning electron microscopy images showed homogenous, continuous and polycrystalline surface morphology with minimal grain size of 200 nm for highly boron doped films. The sp{sup 3}/sp{sup 2} ratio was calculated using Raman spectra deconvolution method. A high refractive index (range of 2.0–2.4 @550 nm) was achieved for BDD films deposited at 500 °C. The values of extinction coefficient were below 0.1 at λ = 550 nm, indicating low absorption of the film. The fabricated BDD thin films displayed resistivity below 48 Ohm cm and transmittance over 60% in the visible wavelength range.

  8. A Bayesian method to estimate the neutron response matrix of a single crystal CVD diamond detector

    International Nuclear Information System (INIS)

    Reginatto, Marcel; Araque, Jorge Guerrero; Nolte, Ralf; Zbořil, Miroslav; Zimbal, Andreas; Gagnon-Moisan, Francis

    2015-01-01

    Detectors made from artificial chemical vapor deposition (CVD) single crystal diamond are very promising candidates for applications where high resolution neutron spectrometry in very high neutron fluxes is required, for example in fusion research. We propose a Bayesian method to estimate the neutron response function of the detector for a continuous range of neutron energies (in our case, 10 MeV ≤ E n ≤ 16 MeV) based on a few measurements with quasi-monoenergetic neutrons. This method is needed because a complete set of measurements is not available and the alternative approach of using responses based on Monte Carlo calculations is not feasible. Our approach uses Bayesian signal-background separation techniques and radial basis function interpolation methods. We present the analysis of data measured at the PTB accelerator facility PIAF. The method is quite general and it can be applied to other particle detectors with similar characteristics

  9. Preparation of TiO{sub 2}/boron-doped diamond/Ta multilayer films and use as electrode materials for supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Chao, E-mail: sc_sq1988@163.com [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Li, Hongji, E-mail: hongjili@yeah.net [Tianjin Key Laboratory of Organic Solar Cells and Photochemical Conversion, School of Chemistry and Chemical Engineering, Tianjin University of Technology, Tianjin 300384 (China); Li, Cuiping, E-mail: licp226@126.com [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Li, Mingji, E-mail: limingji@163.com [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Qu, Changqing, E-mail: quchangqing@tjut.edu.cn [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Yang, Baohe, E-mail: bhyang207@163.com [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China)

    2015-12-01

    Highlights: • BDD film was deposited on Ta substrate by hot filament CVD method. • Ti layer was deposited on BDD film by radio frequency magnetron sputtering. • Nanostructured TiO{sub 2}/BDD/nanoporous Ta films were prepared. • The films exhibit good capacitance performance and excellent stability. - Abstract: We report nanostructured TiO{sub 2}/boron-doped diamond (BDD)/Ta multilayer films and their electrochemical performances as supercapacitor electrodes. The BDD films were grown on Ta substrates using electron-assisted hot filament chemical vapor deposition. Ti metal layers were deposited on the BDD surfaces by radio frequency magnetron sputtering, and nanostructured TiO{sub 2}/BDD/Ta thin films were prepared by electrochemical etching and thermal annealing. The successful formation of TiO{sub 2} and Ta layered nanostructures was demonstrated using scanning electron and transmission electron microscopies. The electrochemical responses of these electrodes were evaluated by examining their use as electrical double-layer capacitors, using cyclic voltammetry, and galvanostatic charge/discharge and impedance measurements. When the TiO{sub 2}/BDD/Ta film was used as the working electrode with 0.1 M Na{sub 2}SO{sub 4} as the electrolyte, the capacitor had a specific capacitance of 5.23 mF cm{sup −2} at a scan rate of 5 mV s{sup −1} for a B/C ratio of 0.1% w/w. Furthermore, the TiO{sub 2}/BDD/Ta film had improved electrochemical stability, with a retention of 89.3% after 500 cycles. This electrochemical behavior is attributed to the quality of the BDD, the surface roughness and electrocatalytic activities of the TiO{sub 2} layer and Ta nanoporous structures, and the synergies between them. These results show that TiO{sub 2}/BDD/Ta films are promising as capacitor electrodes for special applications.

  10. Piezoelectric actuated micro-resonators based on the growth of diamond on aluminum nitride thin films

    International Nuclear Information System (INIS)

    Hees, J; Heidrich, N; Pletschen, W; Sah, R E; Wolfer, M; Lebedev, V; Nebel, C E; Ambacher, O; Williams, O A

    2013-01-01

    Unimorph heterostructures based on piezoelectric aluminum nitride (AlN) and diamond thin films are highly desirable for applications in micro- and nanoelectromechanical systems. In this paper, we present a new approach to combine thin conductive boron-doped as well as insulating nanocrystalline diamond (NCD) with sputtered AlN films without the need for any buffer layers between AlN and NCD or polishing steps. The zeta potentials of differently treated nanodiamond (ND) particles in aqueous colloids are adjusted to the zeta potential of AlN in water. Thereby, the nucleation density for the initial growth of diamond on AlN can be varied from very low (10 8 cm −2 ), in the case of hydrogen-treated ND seeding particles, to very high values of 10 11 cm −2 for oxidized ND particles. Our approach yielding high nucleation densities allows the growth of very thin NCD films on AlN with thicknesses as low as 40 nm for applications such as microelectromechanical beam resonators. Fabricated piezo-actuated micro-resonators exhibit enhanced mechanical properties due to the incorporation of boron-doped NCD films. Highly boron-doped NCD thin films which replace the metal top electrode offer Young’s moduli of more than 1000 GPa. (paper)

  11. Polycrystalline diamond on self-assembled detonation nanodiamond: a viable route for fabrication of all-diamond preformed microcomponents

    International Nuclear Information System (INIS)

    Terranova, M L; Orlanducci, S; Tamburri, E; Guglielmotti, V; Toschi, F; Hampai, D; Rossi, M

    2008-01-01

    Surface assisted self-assembly of detonation nanodiamond particles (with typical sizes in the range 4-10 nm) has been obtained using different fractions of colloidal aqueous dispersions as starting material. The relationship between dispersion properties and structure/geometry of the aggregates deposited on Si or glass plates has been investigated. A series of differently shaped free-standing nanodiamond structures has been prepared, analysed and used as templates for the growth of polycrystalline diamond layers by the chemical vapour deposition (CVD) technique. The possibility of obtaining textured coating with a relatively strong preferred orientation (within a solid angle of about 0.6 srad) is also reported. Overall, the coupling of nanodiamond self-assembling to the CVD diamond growth enables one to produce specimens with complex 3D architectures. The proposed microfabrication methodology could represent a viable route for the production of free-standing all-diamond microcomponents, with tailored shapes and predefined crystalline features, to be used for advanced electronic applications

  12. Polycrystalline diamond on self-assembled detonation nanodiamond: a viable route for fabrication of all-diamond preformed microcomponents

    Energy Technology Data Exchange (ETDEWEB)

    Terranova, M L; Orlanducci, S; Tamburri, E; Guglielmotti, V; Toschi, F [Dipartimento di Scienze e Tecnologie Chimiche, MINASlab, Universita di Roma ' Tor Vergata' , Via della Ricerca Scientifica, 00133 Roma (Italy); Hampai, D [INFN-LNF Via E Fermi 40, Frascati (Italy); Rossi, M [Dipartimento di Energetica, Universita di Roma ' Sapienza' , Via Antonio Scarpa 16, 00161 Roma (Italy)

    2008-10-15

    Surface assisted self-assembly of detonation nanodiamond particles (with typical sizes in the range 4-10 nm) has been obtained using different fractions of colloidal aqueous dispersions as starting material. The relationship between dispersion properties and structure/geometry of the aggregates deposited on Si or glass plates has been investigated. A series of differently shaped free-standing nanodiamond structures has been prepared, analysed and used as templates for the growth of polycrystalline diamond layers by the chemical vapour deposition (CVD) technique. The possibility of obtaining textured coating with a relatively strong <110> preferred orientation (within a solid angle of about 0.6 srad) is also reported. Overall, the coupling of nanodiamond self-assembling to the CVD diamond growth enables one to produce specimens with complex 3D architectures. The proposed microfabrication methodology could represent a viable route for the production of free-standing all-diamond microcomponents, with tailored shapes and predefined crystalline features, to be used for advanced electronic applications.

  13. Status of diamond particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Krammer, M.; Adam, W.; Friedl, M.; Hrubec, J.; Pernegger, H.; Pernicka, M. [Institut fuer Hochenergiephysik der Oesterr. Akademie d. Wissenschaften, Nikolsdorferg. 18, A-1050 Vienna (Austria); Bauer, C. [MPI fuer Kernphysik, D-69029 Heidelberg (Germany); Berdermann, E.; Stelzer, H. [GSI, Darmstadt (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M.; Sciortino, S. [University of Florence, Florence (Italy); Colledani, C.; Dulinski, W.; Husson, D.; LeNormand, F.; Riester, G.L.; Turchetta, R. [LEPSI, CRN Strasbourg (France); Conway, J.; Fish, D.; Schnetzer, S.; Stone, R.; Tesarek, R.; Thomson, G.B.; Walsh, A.M. [Rutgers University, Piscataway, NJ (United States); Dabrowski, W.; Kaplon, J.; Meier, D.; Roe, S.; Rudge, A.; Wedenig, R.; Weilhammer, P. [CERN, CH-1211 Geneva (Switzerland); Delpierre, P.; Hallewell, G. [CPPM, Marseille (France); Deneuville, A.; Cheeraert, E. [LEPES, Grenoble (France); Eijk, B.V.; Hartjes, F. [NIKHEF, Amsterdam (Netherlands); Fallou, A. [CPPM, Marseille (France); Foulon, F. [Centre d' Etudes de Saclay, 91191 Gif-Sur-Yvette (France); Gan, K.K.; Kagan, H.; Kass, R.; Trawick, M.; Zoeller, M. [The Ohio State University, Columbus, OH (United States); Grigoriev, E.; Knoepfle, K.T. [MPI fuer Kernphysik, D-69029 Heidelberg (Germany); Hall-Wilton, R. [Bristol University, Bristol (United Kingdom); Han, S.; Ziock, H. [Los Alamos National Laboratory, Research Division, Los Alamos, NM (United States); Kania, D. [Lawrence Livermore National Laboratory, Livermore, CA (United States); Manfredi, P.F.; Re, V.; Speziali, V. [Universita di Pavia, Dipartimento di Elettronica, 27100 Pavia (Italy); Mishina, M. [FNAL, Batavia, IL (United States); Pan, L.S. [Sandia National Laboratory, Albuquerque, NM (United States); Roff, D.; Tapper, R.J. [Bristol University, Bristol (United Kingdom); Trischuk, W. [University of Toronto, Toronto (Canada)

    1998-11-21

    To continue the exciting research in the field of particle physics new accelerators and experiments are under construction. In some of these experiments, e.g. ATLAS and CMS at the Large Hadron Collider at CERN or HERA-B at DESY, the detectors have to withstand an extreme environment. The detectors must be radiation hard, provide a very fast signal, and be as thin as possible. The properties of CVD diamond allow to fulfill these requirements and make it an ideal material for the detectors close to the interaction region of these experiments, i.e. the vertex detectors or the inner trackers. The RD42 collaboration is developing diamond detectors for these applications. The program of RD42 includes the improvement of the charge collection properties of CVD diamond, the study of the radiation hardness and the development of low-noise radiation hard readout electronics. An overview of the progress achieved during the last years will be given. (Copyright (c) 1998 Elsevier Science B.V., Amsterdam. All rights reserved.)

  14. Status of diamond particle detectors

    International Nuclear Information System (INIS)

    Krammer, M.; Adam, W.; Friedl, M.; Hrubec, J.; Pernegger, H.; Pernicka, M.; Bauer, C.; Berdermann, E.; Stelzer, H.; Bogani, F.; Borchi, E.; Bruzzi, M.; Sciortino, S.; Colledani, C.; Dulinski, W.; Husson, D.; LeNormand, F.; Riester, G.L.; Turchetta, R.; Conway, J.; Fish, D.; Schnetzer, S.; Stone, R.; Tesarek, R.; Thomson, G.B.; Walsh, A.M.; Dabrowski, W.; Kaplon, J.; Meier, D.; Roe, S.; Rudge, A.; Wedenig, R.; Weilhammer, P.; Delpierre, P.; Hallewell, G.; Deneuville, A.; Cheeraert, E.; Eijk, B.V.; Hartjes, F.; Fallou, A.; Foulon, F.; Gan, K.K.; Kagan, H.; Kass, R.; Trawick, M.; Zoeller, M.; Grigoriev, E.; Knoepfle, K.T.; Hall-Wilton, R.; Han, S.; Ziock, H.; Kania, D.; Manfredi, P.F.; Re, V.; Speziali, V.; Mishina, M.; Pan, L.S.; Roff, D.; Tapper, R.J.; Trischuk, W.

    1998-01-01

    To continue the exciting research in the field of particle physics new accelerators and experiments are under construction. In some of these experiments, e.g. ATLAS and CMS at the Large Hadron Collider at CERN or HERA-B at DESY, the detectors have to withstand an extreme environment. The detectors must be radiation hard, provide a very fast signal, and be as thin as possible. The properties of CVD diamond allow to fulfill these requirements and make it an ideal material for the detectors close to the interaction region of these experiments, i.e. the vertex detectors or the inner trackers. The RD42 collaboration is developing diamond detectors for these applications. The program of RD42 includes the improvement of the charge collection properties of CVD diamond, the study of the radiation hardness and the development of low-noise radiation hard readout electronics. An overview of the progress achieved during the last years will be given. (Copyright (c) 1998 Elsevier Science B.V., Amsterdam. All rights reserved.)

  15. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  16. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  17. Enhanced field emission characteristics of boron doped diamond films grown by microwave plasma assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Koinkar, Pankaj M. [Center for International Cooperation in Engineering Education (CICEE), University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); Patil, Sandip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Kim, Tae-Gyu [Department of Nano System and Process Engineering, Pusan National University, 50 Cheonghak-ri, Samrangjin-eup, Miryang, Gyeongnam, Pusan 627-706 (Korea, Republic of); Yonekura, Daisuke [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan); More, Mahendra A., E-mail: mam@physics.unipune.ac.in [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Joag, Dilip S. [Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007 (India); Murakami, Ri-ichi, E-mail: murakami@me.tokushima-u.ac.jp [Department of Mechanical Engineering, University of Tokushima, 2-1 Minami-josanjima-cho, Tokushima 770-8506 (Japan)

    2011-01-01

    Boron doped diamond films were synthesized on silicon substrates by microwave plasma chemical vapor deposition (MPCVD) technique. The effect of B{sub 2}O{sub 3} concentration varied from 1000 to 5000 ppm on the field emission characteristics was examined. The surface morphology and quality of films were characterized by scanning electron microscope (SEM) and Raman spectroscopy. The surface morphology obtained by SEM showed variation from facetted microcrystal covered with nanometric grains to cauliflower of nanocrystalline diamond (NCD) particles with increasing B{sub 2}O{sub 3} concentration. The Raman spectra confirm the formation of NCD films. The field emission properties of NCD films were observed to improve upon increasing boron concentration. The values of the onset field and threshold field are observed to be as low as 0.36 and 0.08 V/{mu}m, respectively. The field emission current stability investigated at the preset value of {approx}1 {mu}A is observed to be good, in each case. The enhanced field emission properties are attributed to the better electrical conductivity coupled with the nanometric features of the diamond films.

  18. Phosphorylated nano-diamond/ Polyimide Nanocomposites

    International Nuclear Information System (INIS)

    Beyler-Çiǧil, Asli; Çakmakçi, Emrah; Kahraman, Memet Vezir

    2014-01-01

    In this study, a novel route to synthesize polyimide (PI)/phosphorylated nanodiamond films with improved thermal and mechanical properties was developed. Surface phosphorylation of nano-diamond was performed in dichloromethane. Phosphorylation dramatically enhanced the thermal stability of nano-diamond. Poly(amic acid) (PAA), which is the precursor of PI, was successfully synthesized with 3,3',4,4'-Benzophenonetetracarboxylic dianhydride (BTDA) and 4,4'-oxydianiline (4,4'-ODA) in the solution of N,N- dimethylformamide (DMF). Pure BTDA-ODA polyimide films and phosphorylated nanodiamond containing BTDA-ODA PI films were prepared. The PAA displayed good compatibility with phosphorylated nano-diamond. The morphology of the polyimide (PI)/phosphorylated nano-diamond was characterized by scanning electron microscopy (SEM). Chemical structure of polyimide and polyimide (PI)/phosphorylated nano-diamond was characterized by FTIR. SEM and FTIR results showed that the phosphorylated nano-diamond was successfully prepared. Thermal properties of the polyimide (PI)/phosphorylated nanodiamond was characterized by thermogravimetric analysis (TGA). TGA results showed that the thermal stability of (PI)/phosphorylated nano-diamond film was increased

  19. Ion beam modification of TiO2 films prepared by Cat-CVD for solar cell

    International Nuclear Information System (INIS)

    Narita, Tomoki; Iida, Tamio; Ogawa, Shunsuke; Mizuno, Kouichi; So, Jisung; Kondo, Akihiro; Yoshida, Norimitsu; Itoh, Takashi; Nonomura, Shuichi; Tanaka, Yasuhito

    2008-01-01

    The effects of nitrogen ion bombardment on TiO 2 films prepared by the Cat-CVD method have been studied to improve the optical and electrical properties of the material for use in Si thin film solar cells. The refractive index n and the dark conductivity of the TiO 2 film increased with irradiation time. The refractive index n of the TiO 2 film was changed from 2.1 to 2.4 and the electrical conductivity was improved from 3.4 x 10 -2 to 1.2 x 10 -1 S/cm by the irradiation. These results are due to the formation of Ti-N bonds and oxygen vacancies in the film

  20. n-type diamond growth by phosphorus doping on (0 0 1)-oriented surface

    International Nuclear Information System (INIS)

    Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Okushi, Hideyo

    2007-01-01

    The properties of phosphorus incorporation for n-type doping of diamond are discussed and summarized. Doping of (0 0 1)-oriented diamond is introduced and compared with results achieved on (1 1 1) diamond. This review describes detailed procedures and conditions of plasma-enhanced chemical vapour deposition (CVD) growth and characteristics of electrical properties of phosphorus-doped diamond. The phosphorus incorporation was characterized by SIMS analysis including mapping. n-type conductivity is evaluated by Hall-effect measurements over a temperature regime of 300-1000 K. The crystal perfection of (0 0 1)-oriented n-type diamond is also evaluated by x-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction and cathodoluminescence analyses. The results show that phosphorus atoms are incorporated into the diamond network during (0 0 1) CVD diamond growth and that phosphorus acts as a donor as in (1 1 1)-oriented diamond. This result eliminates the restriction on substrate orientation, which had previously created a bottleneck in the development of diamond electronic devices. (review article)

  1. The irradiation studies on diamond-like carbon films

    CERN Document Server

    LiuGuIang; Xie Er Qin

    2002-01-01

    Diamond-like carbon (DLC) films have been deposited on glass substrates using radio-frequency (r.f.) plasma deposition method. gamma-ray, ultraviolet (UV) ray and neutron beam were used to irradiate the DLC films. Raman spectroscopy and infrared (IR) spectroscopy were used to characterize the changing characteristics of SP sup 3 C-H bond and hydrogen content in the films due to the irradiations. It showed that, the damage degrees of the gamma-ray, UV ray and neutron beam on the SP sup 3 C-H bonds are different. Among them, the damage of gamma-ray on the SP sup 3 C-H bond is the weakest. When the irradiation dose of gamma-ray reaches 10x10 sup 4 Gy, the SP sup 3 C-H bond reduces about 50% in number. The square resistance of the films is reduced due to the irradiation of UV ray and this is caused by severe oxidation of the films. Compared with that of the as-deposited one, the IR transmittance of the films irradiated by both gamma-ray and neutron beam is increased to some extent. By using the results on optical...

  2. Nanocrystalline diamond film as cathode for gas discharge sensors

    Energy Technology Data Exchange (ETDEWEB)

    Jou, Shyankay, E-mail: sjou@mail.ntust.edu.t [Graduate Institute of Materials Science and Technology, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Huang, Bohr-Ran [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Wu, Meng-Chang [Department of Electronic Engineering, National Yunlin University of Science and Technology, Touliu 640, Taiwan (China)

    2010-05-31

    Nanocrystalline diamond (NCD) film was deposited on a silicon substrate utilizing microwave plasma-enhanced chemical vapor deposition in a mixed flow of methane, hydrogen and argon. The deposited film had a cauliflower-like morphology, and was composed of NCD, carbon clusters and mixed sp{sup 2}- and sp{sup 3}-bonded carbon. Electron field emission (EFE) in vacuum and electrical discharges in Ar, N{sub 2} and O{sub 2} using the NCD film as the cathode were characterized. The turn-on field for EFE and the geometric enhancement factor for the NCD film were 8.5 V/{mu}m and 668, respectively. The breakdown voltages for Ar, N{sub 2} and O{sub 2} increased with pressures from 1.33 x 10{sup 4} Pa to 1.01 x 10{sup 5} Pa, following the right side of the normal Paschen curve.

  3. Surface analytical investigation of diamond coatings and nucleation processes by secondary ion mass spectrometry

    International Nuclear Information System (INIS)

    Steiner, R.

    1993-10-01

    Imaging SIMS for the investigation of substrate surfaces: the influence of the substrate surface on diamond nucleation is a major topic in the investigation of the chemical vapour deposition (CVD) of diamond. It is well known that the nucleation density can be enhanced by scratching the substrate surface with abrasive powders. Diamond can nucleate at scratches or at residues of the polishing material. In the present work the surface of refractory metals (Mo, Nb, Ta, W) polished with silicon carbide and diamond powder is studied by imaging (2- or 3-D) secondary ion mass spectrometry (SIMS). In first experiments the distribution of SiC and/or diamond residues after polishing was determined. The reaction of diamond with the substrate during heating to deposition temperatures was investigated. Investigation of WC/Co hardmetal substrates: it is well known that Co contained in the binder phase of the hard metal inhibits a strong adhesion between the diamond film and the substrate, which is need for an application as cutting tool. Several attempts to improve the adhesion have been reported up to now. In this work a pre-treatment procedure leading to the formation of Co compounds (borides and silicides) which are stable under diamond deposition conditions were investigated. Furthermore, the application of intermediate sputter layers consisting of chromium and titanium were studied. Investigation of P-doped diamond coatings: in the quaternary phase diagram C-P-B-N exist some phases with diamond structure and superhard phases (e.g BP, c-BN). Also a hypothetical superhard phase of the composition C 3 N 4 is predicted. A scientific objective is the synthesis of such phases by chemical vapour deposition. An increase of the phosphorus concentration effects a distinct change in the morphology of the deposited coatings. A major advantage of SIMS is that the concentration profiles can be measured through the whole film, due to the sputter removal of the sample, and the interface

  4. Dry And Ringer Solution Lubricated Tribology Of Thin Osseoconductive Metal Oxides And Diamond-Like Carbon Films

    Directory of Open Access Journals (Sweden)

    Waldhauser W.

    2015-09-01

    Full Text Available Achieving fast and strong adhesion to jawbone is essential for dental implants. Thin deposited films may improve osseointegration, but they are prone to cohesive and adhesive fracture due to high stresses while screwing the implant into the bone, leading to bared, less osteoconductive substrate surfaces and nano- and micro-particles in the bone. Aim of this work is the investigation of the cohesion and adhesion failure stresses of osteoconductive tantalum, titanium, silicon, zirconium and aluminium oxide and diamond-like carbon films. The tribological behaviour under dry and lubricated conditions (Ringer solution reveals best results for diamond-like carbon, while cohesion and adhesion of zirconium oxide films is highest.

  5. Effect of sputtered titanium interlayers on the properties of nanocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Li, Cuiping, E-mail: licp226@126.com, E-mail: limingji@163.com; Li, Mingji, E-mail: licp226@126.com, E-mail: limingji@163.com; Wu, Xiaoguo; Yang, Baohe [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Dai, Wei; Xu, Sheng [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); College of Precision Instrument and Optoelectronics Engineering, Tianjin University, Tianjin 300072 (China); Li, Hongji [Tianjin Key Laboratory of Organic Solar Cells and Photochemical Conversion, School of Chemistry and Chemical Engineering, Tianjin University of Technology, Tianjin 300384 (China)

    2016-04-07

    Ti interlayers with different thicknesses were sputtered on Si substrates and then ultrasonically seeded in a diamond powder suspension. Nanocrystalline diamond (NCD) films were deposited using a dc arc plasma jet chemical vapor deposition system on the seeded Ti/Si substrates. Atomic force microscopy and scanning electron microscopy tests showed that the roughness of the prepared Ti interlayer increased with increasing thickness. The effects of Ti interlayers with various thicknesses on the properties of NCD films were investigated. The results show nucleation, growth, and microstructure of the NCD films are strongly influenced by the Ti interlayers. The addition of a Ti interlayer between the Si substrate and the NCD films can significantly enhance the nucleation rate and reduce the surface roughness of the NCD. The NCD film on a 120 nm Ti interlayer possesses the fastest nucleation rate and the smoothest surface. Raman spectra of the NCD films show trans-polyacetylene relevant peaks reduce with increasing Ti interlayer thickness, which can owe to the improvement of crystalline at grain boundaries. Furthermore, nanoindentation measurement results show that the NCD film on a 120 nm Ti interlayer displays a higher hardness and elastic modulus. High resolution transmission electron microscopy images of a cross-section show that C atoms diffuse into the Ti layer and Si substrate and form TiC and SiC hard phases, which can explain the enhancement of mechanical properties of NCD.

  6. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  7. Characterization of diamond thin films deposited by a CO{sub 2} laser-assisted combustion-flame method

    Energy Technology Data Exchange (ETDEWEB)

    McKindra, Travis, E-mail: mckindra@mst.edu [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); O' Keefe, Matthew J. [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); Xie Zhiqiang; Lu Yongfeng [Department of Electrical Engineering, University of Nebraska-Lincoln, Lincoln, NE 68588 (United States)

    2010-06-15

    Diamond thin films were deposited by a CO{sub 2} laser-assisted O{sub 2}/C{sub 2}H{sub 2}/C{sub 2}H{sub 4} combustion-flame process. The effect of the deposition parameters, in particular the laser wavelength and power, on the film surface morphology, microstructure and phases present was the primary focus of the work. The laser power was set at 100, 400 and 800 W while the wavelength was varied and set at 10.591 {mu}m in the untuned condition and set at 10.532 {mu}m to resonantly match the CH{sub 2}-wagging vibrational mode of the C{sub 2}H{sub 4} molecule when in the tuned condition. When the laser was coupled to the combustion flame during deposition the diamond film growth was enhanced as the lateral grain size increased from 1 {mu}m to greater than 5 {mu}m. The greatest increase in grain size occurred when the wavelength was in the tuned condition. Scanning transmission electron microscopy images from focused-ion beam cross-sectioned samples revealed a sub-layer of smaller grains less than 1 {mu}m in size near the substrate surface at the lower laser powers and untuned wavelength. X-ray diffraction results showed a more intense Diamond (111) peak as the laser power increased from 100 to 800 W for the films deposited with the tuned laser wavelength. Micro-Raman spectra showed a diamond peak nearly twice as intense from the films with the tuned laser wavelength.

  8. Ultra-high wear resistance of ultra-nanocrystalline diamond film: Correlation with microstructure and morphology

    Science.gov (United States)

    Rani, R.; Kumar, N.; Lin, I.-Nan

    2016-05-01

    Nanostructured diamond films are having numerous unique properties including superior tribological behavior which is promising for enhancing energy efficiency and life time of the sliding devices. High wear resistance is the principal criterion for the smooth functioning of any sliding device. Such properties are achievable by tailoring the grain size and grain boundary volume fraction in nanodiamond film. Ultra-nanocrystalline diamond (UNCD) film was attainable using optimized gas plasma condition in a microwave plasma enhanced chemical vapor deposition (MPECVD) system. Crystalline phase of ultra-nanodiamond grains with matrix phase of amorphous carbon and short range ordered graphite are encapsulated in nanowire shaped morphology. Film showed ultra-high wear resistance and frictional stability in micro-tribological contact conditions. The negligible wear of film at the beginning of the tribological contact was later transformed into the wearless regime for prolonged sliding cycles. Both surface roughness and high contact stress were the main reasons of wear at the beginning of sliding cycles. However, the interface gets smoothened due to continuous sliding, finally leaded to the wearless regime.

  9. Effect of surface irradiation during the photo-CVD deposition of a-Si:H thin films. Hikari CVD ho ni yoru amorphous silicon sakuseiji no kiban hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Tasaka, K.; Doering, H.; Hashimoto, K.; Fujishima, A. (The University of Tokyo, Tokyo (Japan))

    1990-12-06

    This paper shows the impact of the irradiation from an additional light source during the deposition of hydrogenated amorphous silicon by photo-CVD deposition. Using a mercury sensitized photo-CVD process from Disilan (Si {sub 2} H {sub 6}) and hydrogen, silicon was deposited. A 40W low pressure mercury lamp was applied as the light source. A portion of the substrate was in addition irradiated using an Xg-He lamp through a thermal filter. Irradiation of the substrate using only Xg-He lamp produced no deposition, since this light has a wavelength which is too long to produce the SiH {sub 3}-radicals needed for Si deposition. The additional Xg-He light source was discovered to cause an increased thickness of deposited a-Si:H film and a transmission of the band structure. The reasons of these are considered that the influence of irradiation is not limited to film thickness, but that irradiation also impacts the composition of the a-Si:H film so as to cause a reduction in the hydrogen content. 10 figs., 1 tab.

  10. Diamond bio electronics.

    Science.gov (United States)

    Linares, Robert; Doering, Patrick; Linares, Bryant

    2009-01-01

    The use of diamond for advanced applications has been the dream of mankind for centuries. Until recently this dream has been realized only in the use of diamond for gemstones and abrasive applications where tons of diamonds are used on an annual basis. Diamond is the material system of choice for many applications, but its use has historically been limited due to the small size, high cost, and inconsistent (and typically poor) quality of available diamond materials until recently. The recent development of high quality, single crystal diamond crystal growth via the Chemical Vapor Deposition (CVD) process has allowed physcists and increasingly scientists in the life science area to think beyond these limitations and envision how diamond may be used in advanced applications ranging from quantum computing, to power generation and molecular imaging, and eventually even diamond nano-bots. Because of diamond's unique properties as a bio-compatible material, better understanding of diamond's quantum effects and a convergence of mass production, semiconductor-like fabrication process, diamond now promises a unique and powerful key to the realization of the bio-electronic devices being envisioned for the new era of medical science. The combination of robust in-the-body diamond based sensors, coupled with smart bio-functionalized diamond devices may lead to diamond being the platform of choice for bio-electronics. This generation of diamond based bio-electronic devices would contribute substantially to ushering in a paradigm shift for medical science, leading to vastly improved patient diagnosis, decrease of drug development costs and risks, and improved effectiveness of drug delivery and gene therapy programs through better timed and more customized solutions.

  11. Modification of rubber surface with hydrogenated diamond-like carbon thin films

    NARCIS (Netherlands)

    Pei, Y. T.; Bui, X. L.; De Hosson, J. Th. M.; Laudon, M; Romanowicz, B

    2009-01-01

    Thin films of hydrogenated diamond-like carbon (DLC) have been deposited on hydrogenated nitrile butadiene rubber (HNBR) for reduction of friction and enhancement of wear resistance of dynamic rubber seals, by sputtering graphite targets in C(2)H(2)/Ar plasma. The wax removal and pre-deposition

  12. Soft X-ray angle-resolved photoemission spectroscopy of heavily boron-doped superconducting diamond films

    Directory of Open Access Journals (Sweden)

    T. Yokoya, T. Nakamura, T. Matushita, T. Muro, H. Okazaki, M. Arita, K. Shimada, H. Namatame, M. Taniguchi, Y. Takano, M. Nagao, T. Takenouchi, H. Kawarada and T. Oguchi

    2006-01-01

    Full Text Available We have performed soft X-ray angle-resolved photoemission spectroscopy (SXARPES of microwave plasma-assisted chemical vapor deposition diamond films with different B concentrations in order to study the origin of the metallic behavior of superconducting diamond. SXARPES results clearly show valence band dispersions with a bandwidth of ~23 eV and with a top of the valence band at gamma point in the Brillouin zone, which are consistent with the calculated valence band dispersions of pure diamond. Boron concentration-dependent band dispersions near the Fermi level (EF exhibit a systematic shift of EF, indicating depopulation of electrons due to hole doping. These SXARPES results indicate that diamond bands retain for heavy boron doping and holes in the diamond band are responsible for the metallic states leading to superconductivity at low temperature. A high-resolution photoemission spectroscopy spectrum near EF of a heavily boron-doped diamond superconductor is also presented.

  13. The Many Facets of Diamond Crystals

    Directory of Open Access Journals (Sweden)

    Yuri N. Palyanov

    2018-01-01

    Full Text Available This special issue is intended to serve as a multidisciplinary forum covering broad aspects of the science, technology, and application of synthetic and natural diamonds. This special issue contains 12 papers, which highlight recent investigations and developments in diamond research related to the diverse problems of natural diamond genesis, diamond synthesis and growth using CVD and HPHT techniques, and the use of diamond in both traditional applications, such as mechanical machining of materials, and the new recently emerged areas, such as quantum technologies. The results presented in the contributions collected in this special issue clearly demonstrate that diamond occupies a very special place in modern science and technology. After decades of research, this structurally very simple material still poses many intriguing scientific questions and technological challenges. It seems undoubted that diamond will remain the center of attraction for many researchers for many years to come.

  14. MgO by injection CVD

    International Nuclear Information System (INIS)

    Abrutis, A.; Kubilius, V.; Teiserkis, A.; Bigelyte, V.; Vengalis, B.; Jukna, A.; Butkute, R.

    1997-01-01

    Epitaxial YBa 2 Cu 3 O 7 layers with 45 in-plane orientation have been grown by injection CVD on MgO substrates polished off-axis to within 1.4-1.9 of the [100] direction. This new single-source CVD process is based on computer-controlled injection of precise microdoses of a metal-organic precursor solution into a CVD reactor. A wide range of solution compositions was tested to investigate compositional effects on phase purity, surface morphology, texturing and superconducting properties of the prepared films. The highest quality films with pure 45 texture had a smooth surface, zero resistance T c (R=0) of 88-89 K, and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.) and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.)

  15. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  16. Influence of coil current modulation on polycrystalline diamond film deposition by irradiation of Ar/CH4/H2 inductively coupled thermal plasmas

    Science.gov (United States)

    Betsuin, Toshiki; Tanaka, Yasunori; Arai, T.; Uesugi, Y.; Ishijima, T.

    2018-03-01

    This paper describes the application of an Ar/CH4/H2 inductively coupled thermal plasma with and without coil current modulation to synthesise diamond films. Induction thermal plasma with coil current modulation is referred to as modulated induction thermal plasma (M-ITP), while that without modulation is referred to as non-modulated ITP (NM-ITP). First, spectroscopic observations of NM-ITP and M-ITP with different modulation waveforms were made to estimate the composition in flux from the thermal plasma by measuring the time evolution in the spectral intensity from the species. Secondly, we studied polycrystalline diamond film deposition tests on a Si substrate, and we studied monocrystalline diamond film growth tests using the irradiation of NM-ITP and M-ITP. From these tests, diamond nucleation effects by M-ITP were found. Finally, following the irradiation results, we attempted to use a time-series irradiation of M-ITP and NM-ITP for polycrystalline diamond film deposition on a Si substrate. The results indicated that numerous larger diamond particles were deposited with a high population density on the Si substrate by time-series irradiation.

  17. Diamond-like carbon films deposited by a hybrid ECRCVD system

    International Nuclear Information System (INIS)

    Guo, C.T.; Dittrich, K.-H.

    2007-01-01

    A novel hybrid technique for diamond-like carbon (DLC) film deposition has been developed. This technique combines the electron cyclotron resonance chemical vapor deposition (ECRCVD) of C 2 H 2 and metallic magnetron sputtering. Here we described how DLC film is used for a variety of applications such as stamper, PCB micro-tools, and threading form-tools by taking advantage of hybrid ECRCVD system. The structure of the DLC films is delineated by a function of bias voltages by Raman spectroscopy. This function includes parameters such as dependence of G peak positions and the intensity ratio (I D /I G ). Atomic force microscope (AFM) examines the root-mean-square (R.M.S.) roughness and the surface morphology. Excellent adhesion and lower friction coefficients of a DLC film were also assessed

  18. Enhanced physicochemical properties of polydimethylsiloxane based microfluidic devices and thin films by incorporating synthetic micro-diamond.

    Science.gov (United States)

    Waheed, Sidra; Cabot, Joan M; Macdonald, Niall P; Kalsoom, Umme; Farajikhah, Syamak; Innis, Peter C; Nesterenko, Pavel N; Lewis, Trevor W; Breadmore, Michael C; Paull, Brett

    2017-11-08

    Synthetic micro-diamond-polydimethylsiloxane (PDMS) composite microfluidic chips and thin films were produced using indirect 3D printing and spin coating fabrication techniques. Microfluidic chips containing up to 60 wt% micro-diamond were successfully cast and bonded. Physicochemical properties, including the dispersion pattern, hydrophobicity, chemical structure, elasticity and thermal characteristics of both chip and films were investigated. Scanning electron microscopy indicated that the micro-diamond particles were embedded and interconnected within the bulk material of the cast microfluidic chip, whereas in the case of thin films their increased presence at the polymer surface resulted in a reduced hydrophobicity of the composite. The elastic modulus increased from 1.28 for a PDMS control, to 4.42 MPa for the 60 wt% composite, along with a three-fold increase in thermal conductivity, from 0.15 to 0.45 W m -1 K -1 . Within the fluidic chips, micro-diamond incorporation enhanced heat dissipation by efficient transfer of heat from within the channels to the surrounding substrate. At a flow rate of 1000 μL/min, the gradient achieved for the 60 wt% composite chip equalled a 9.8 °C drop across a 3 cm long channel, more than twice that observed with the PDMS control chip.

  19. Diamond network: template-free fabrication and properties.

    Science.gov (United States)

    Zhuang, Hao; Yang, Nianjun; Fu, Haiyuan; Zhang, Lei; Wang, Chun; Huang, Nan; Jiang, Xin

    2015-03-11

    A porous diamond network with three-dimensionally interconnected pores is of technical importance but difficult to be produced. In this contribution, we demonstrate a simple, controllable, and "template-free" approach to fabricate diamond networks. It combines the deposition of diamond/β-SiC nanocomposite film with a wet-chemical selective etching of the β-SiC phase. The porosity of these networks was tuned from 15 to 68%, determined by the ratio of the β-SiC phase in the composite films. The electrochemical working potential and the reactivity of redox probes on the diamond networks are similar to those of a flat nanocrystalline diamond film, while their surface areas are hundreds of times larger than that of a flat diamond film (e.g., 490-fold enhancement for a 3 μm thick diamond network). The marriage of the unprecedented physical/chemical features of diamond with inherent advantages of the porous structure makes the diamond network a potential candidate for various applications such as water treatment, energy conversion (batteries or fuel cells), and storage (capacitors), as well as electrochemical and biochemical sensing.

  20. Improvement in the degradation resistance of silicon nanostructures by the deposition of diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I., E-mail: klyui@isp.kiev.ua; Semenenko, M. A.; Khatsevich, I. M.; Makarov, A. V.; Kabaldin, A. N. [National Academy of Sciences of Ukraine, Lashkarev Institute of Semiconductor Physics (Ukraine); Fomovskii, F. V. [Kremenchug National University (Ukraine); Han, Wei [Jilin University, College of Physics (China)

    2015-08-15

    It is established that the deposition of a diamond-like film onto a structure with silicon nanoclusters in a silicon dioxide matrix yields an increase in the long-wavelength photoluminescence intensity of silicon nanoclusters due to the passivation of active-recombination centers with hydrogen and a shift of the photoluminescence peak to the region of higher photosensitivity of silicon-based solar cells. It is also shown that, due to the deposited diamond-like film, the resistance of such a structure to degradation upon exposure to γ radiation is improved, which is also defined by the effect of the passivation of radiation-induced activerecombination centers by hydrogen that is released from the films during treatment.

  1. Diamond nanostructured devices for chemical sensing applications

    OpenAIRE

    Ahmad, R. K.

    2011-01-01

    Research in the area of CVD single crystal diamond plates of which only recently has been made commercially available saw significant advancements during the last decade. In parallel to that, detonation nanodiamond (DND) particles also now widely made accessible for requisition are provoking a lot of scientific investigations. The remarkable properties of diamond including its extreme hardness, low coefficient of friction, chemical inertness, biocompatibility, high thermal c...

  2. Microarray of neuroblastoma cells on the selectively functionalized nanocrystalline diamond thin film surface

    International Nuclear Information System (INIS)

    Park, Young-Sang; Son, Hyeong-Guk; Kim, Dae-Hoon; Oh, Hong-Gi; Lee, Da-Som; Kim, Min-Hye; Lim, Ki-Moo; Song, Kwang-Soup

    2016-01-01

    Graphical abstract: - Highlights: • The nanocrystalline diamond (NCD) surface is functionalized with F or O. • The cell adhesion and growth are evaluated on the functionalized NCD surface. • The cell adhesion and growth depend on the wettability of the surface. • Cell patterning was achieved by using of hydrophilic and hydrophobic surfaces. • Neuroblastoma cells were arrayed on the micro-patterned NCD surface. - Abstract: Nanocrystalline diamond (NCD) film surfaces were modified with fluorine or oxygen by plasma treatment in an O_2 or C_3F_8 gas environment in order to induce wettability. The oxygenated-NCD (O-NCD) film surface was hydrophilic and the fluorinated-NCD (F-NCD) surface was hydrophobic. The efficiency of early cell adhesion, which is dependent on the wettability of the cell culture plate and necessary for the growth and proliferation of cells, was 89.62 ± 3.92% on the O-NCD film and 7.78 ± 0.77% on the F-NCD film surface after 3 h of cell culture. The wettability of the NCD film surface was artificially modified using a metal mask and plasma treatment to fabricate a micro-pattern. Four types of micro-patterns were fabricated (line, circle, mesh, and word) on the NCD film surface. We precisely arrayed the neuroblastoma cells on the micro-patterned NCD film surfaces by controlling the surface wettability and cell seeding density. The neuroblastoma cells adhered and proliferated along the O-NCD film surface.

  3. Microarray of neuroblastoma cells on the selectively functionalized nanocrystalline diamond thin film surface

    Energy Technology Data Exchange (ETDEWEB)

    Park, Young-Sang; Son, Hyeong-Guk; Kim, Dae-Hoon; Oh, Hong-Gi; Lee, Da-Som; Kim, Min-Hye; Lim, Ki-Moo; Song, Kwang-Soup, E-mail: kssong10@kumoh.ac.kr

    2016-01-15

    Graphical abstract: - Highlights: • The nanocrystalline diamond (NCD) surface is functionalized with F or O. • The cell adhesion and growth are evaluated on the functionalized NCD surface. • The cell adhesion and growth depend on the wettability of the surface. • Cell patterning was achieved by using of hydrophilic and hydrophobic surfaces. • Neuroblastoma cells were arrayed on the micro-patterned NCD surface. - Abstract: Nanocrystalline diamond (NCD) film surfaces were modified with fluorine or oxygen by plasma treatment in an O{sub 2} or C{sub 3}F{sub 8} gas environment in order to induce wettability. The oxygenated-NCD (O-NCD) film surface was hydrophilic and the fluorinated-NCD (F-NCD) surface was hydrophobic. The efficiency of early cell adhesion, which is dependent on the wettability of the cell culture plate and necessary for the growth and proliferation of cells, was 89.62 ± 3.92% on the O-NCD film and 7.78 ± 0.77% on the F-NCD film surface after 3 h of cell culture. The wettability of the NCD film surface was artificially modified using a metal mask and plasma treatment to fabricate a micro-pattern. Four types of micro-patterns were fabricated (line, circle, mesh, and word) on the NCD film surface. We precisely arrayed the neuroblastoma cells on the micro-patterned NCD film surfaces by controlling the surface wettability and cell seeding density. The neuroblastoma cells adhered and proliferated along the O-NCD film surface.

  4. Performance evaluation of synthetic diamond to realize ionisation chamber for radiotherapy application

    International Nuclear Information System (INIS)

    Guerrero Waryn, M.J.

    2005-09-01

    This work focuses on the optimisation of synthetic CVD diamond quality to realize ionisation chambers for radiotherapy. Diamonds samples have been synthesized and characterized using thermally stimulated measurement. These measurements showed the presence of trapping levels due to crystalline defects or impurities in material. The study of the response of these samples under irradiation has showed a correlation between the charged state of traps (priming and overshoot phenomena) and the response of the detector (stabilization of the signal). To remove the overshoot phenomenon which prevents from reproducible measurements, we used a specific operating set up controlling the device temperature. This technique enables to neutralize these instable phenomena and to use CVD diamond for ionisation chamber fabrication. (author)

  5. Measurement of the magnetic penetration depth in p-doped superconducting diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Fuchs, Lorenz; Brunner, Markus C.P.; Schneider, Ina; Kronfeldner, Klaus [University of Regensburg (Germany); Bousquet, Jessica; Bustarret, Etienne; Strunk, Christoph [Institut Neel, Grenoble (France)

    2016-07-01

    Boron-doped diamond becomes superconducting once a critical doping concentration of 4.5 x 10{sup 20} cm{sup -3} is reached. Mutual inductance measurements with a two-coil setup have been performed to determine the magnetic penetration depth λ(T), which is a measure for the superfluid stiffnes θ ∝ 1/λ{sup 2}(T). Two superconducting p-doped diamond films with thicknesses of 145 nm and 345 nm were investigated. At low temperatures these values agree reasonably with the values expected within BCS-theory using T{sub c}, carrier density and mean free path determined from electric transport measurements. Magnetic penetration depths of 3.7 μm for the thinner and 2.6 μm for the thicker film have been found. λ decreases and accordingly θ increases with increasing film thickness. On the other hand, the superfluid stiffness drops by a factor of 2 or even more at T{sub c}/2, i.e., much faster than expected from BCS-theory, but remains finite between T{sub c}/2 < T < T{sub c}. At present it is unclear, whether this behavior results from the proliferation of phase fluctuations already far below T{sub c} or from a spatial inhomogeneity of the films.

  6. Electronic Power System Application of Diamond-Like Carbon Films

    Science.gov (United States)

    Wu, Richard L. C.; Kosai, H.; Fries-Carr, S.; Weimer, J.; Freeman, M.; Schwarze, G. E.

    2003-01-01

    A prototype manufacturing technology for producing high volume efficiency and high energy density diamond-like carbon (DLC) capacitors has been developed. Unique dual ion-beam deposition and web-handling systems have been designed and constructed to deposit high quality DLC films simultaneously on both sides of capacitor grade aluminum foil and aluminum-coated polymer films. An optimized process, using inductively coupled RF ion sources, has been used to synthesize electrically robust DLC films. DLC films are amorphous and highly flexible, making them suitable for the production of wound capacitors. DLC capacitors are reliable and stable over a wide range of AC frequencies from 20 Hz to 1 MHz, and over a temperature range from .500 C to 3000 C. The compact DLC capacitors offer at least a 50% decrease in weight and volume and a greater than 50% increase in temperature handling capability over equal value capacitors built with existing technologies. The DLC capacitors will be suitable for high temperature, high voltage, pulsed power and filter applications.

  7. Systematic study of radiation hardness of single crystal CVD diamond material investigated with an Au beam and IBIC method

    Energy Technology Data Exchange (ETDEWEB)

    Pietraszko, Jerzy; Koenig, Wolfgang; Traeger, Michael [GSI, Darmstadt (Germany); Draveny, Antoine; Galatyuk, Tetyana [TU, Darmstadt (Germany); Grilj, Veljko [RBI, Zagreb (Croatia); Collaboration: HADES-Collaboration

    2016-07-01

    For the future high rate CBM experiment at FAIR a radiation hard and fast beam detector is required. The detector has to perform precise T0 measurement (σ<50 ps) and should also offer decent beam monitoring capability. These tasks can be performed by utilizing single-crystal Chemical Vapor Deposition (ScCVD) diamond based detector. A prototype, segmented, detector have been constructed and the properties of this detector have been studied with a high current density beam (about 3.10{sup 6}/s/mm{sup 2}) of 1.23 A GeV Au ions in HADES. The irradiated detector properties have been studied at RBI in Zagreb by means of IBIC method. Details of the design, the intrinsic properties of the detectors and their performance after irradiation with such beam are reported.

  8. Tracking with CVD diamond radiation sensors at high luminosity colliders (1999-3.1507)

    CERN Document Server

    Schnetzer, S R; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Da Graca, J; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jamieson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Plano, R J; Polesello, P; Prawer, S; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Sciortino, S; Somalwar, S V; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    Recent progress on developing diamond-based sensors for vertex detection at high luminosity hadron colliders is described. Measurements of the performance of diamond sensors after irradiation to fluences of up to 5*10/sup 15/ hadrons/cm/sup 2/ are shown. These indicate that diamond sensors will operate at distances as close as 5 cm from the interaction point at the Large Hadron Collider (LHC) for many years at full luminosity without significant degradation in performance. Measurements of the quality of the signals from diamond sensors as well as spatial uniformity are presented. Test beam results on measurements of diamond-based microstrip and pixels devices are described.

  9. Boron Doped diamond films as electron donors in photovoltaics: An X-ray absorption and hard X-ray photoemission study

    Energy Technology Data Exchange (ETDEWEB)

    Kapilashrami, M.; Zegkinoglou, I. [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Department of Physics, University of Wisconsin Madison, Madison, Wisconsin 53706 (United States); Conti, G.; Nemšák, S.; Conlon, C. S.; Fadley, C. S. [Department of Physics, University of California, Davis, California 95616 (United States); Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Törndahl, T.; Fjällström, V. [Ångström Solar Center, Uppsala University, Box 534, SE-751 21 Uppsala (Sweden); Lischner, J. [Department of Physics, University of California, Berkeley, California 94720 (United States); Louie, Steven G. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Department of Physics, University of California, Berkeley, California 94720 (United States); Hamers, R. J.; Zhang, L. [Department of Chemistry, University of Wisconsin Madison, Madison, Wisconsin 53706 (United States); Guo, J.-H. [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Himpsel, F. J., E-mail: fhimpsel@wisc.edu [Department of Physics, University of Wisconsin Madison, Madison, Wisconsin 53706 (United States)

    2014-10-14

    Highly boron-doped diamond films are investigated for their potential as transparent electron donors in solar cells. Specifically, the valence band offset between a diamond film (as electron donor) and Cu(In,Ga)Se₂ (CIGS) as light absorber is determined by a combination of soft X-ray absorption spectroscopy and hard X-ray photoelectron spectroscopy, which is more depth-penetrating than standard soft X-ray photoelectron spectroscopy. In addition, a theoretical analysis of the valence band is performed, based on GW quasiparticle band calculations. The valence band offset is found to be small: VBO=VBM{sub CIGS} – VBM{sub diamond}=0.3 eV±0.1 eV at the CIGS/Diamond interface and 0.0 eV±0.1 eV from CIGS to bulk diamond. These results provide a promising starting point for optimizing the band offset by choosing absorber materials with a slightly lower valence band maximum.

  10. Thermal characterization of polycrystalline diamond thin film heat spreaders grown on GaN HEMTs

    Science.gov (United States)

    Zhou, Yan; Ramaneti, Rajesh; Anaya, Julian; Korneychuk, Svetlana; Derluyn, Joff; Sun, Huarui; Pomeroy, James; Verbeeck, Johan; Haenen, Ken; Kuball, Martin

    2017-07-01

    Polycrystalline diamond (PCD) was grown onto high-k dielectric passivated AlGaN/GaN-on-Si high electron mobility transistor (HEMT) structures, with film thicknesses ranging from 155 to 1000 nm. Transient thermoreflectance results were combined with device thermal simulations to investigate the heat spreading benefit of the diamond layer. The observed thermal conductivity (κDia) of PCD films is one-to-two orders of magnitude lower than that of bulk PCD and exhibits a strong layer thickness dependence, which is attributed to the grain size evolution. The films exhibit a weak temperature dependence of κDia in the measured 25-225 °C range. Device simulation using the experimental κDia and thermal boundary resistance values predicts at best a 15% reduction in peak temperature when the source-drain opening of a passivated AlGaN/GaN-on-Si HEMT is overgrown with PCD.

  11. Thermal Transport in Diamond Films for Electronics Thermal Management

    Science.gov (United States)

    2018-03-01

    AFRL-RY-WP-TR-2017-0219 THERMAL TRANSPORT IN DIAMOND FILMS FOR ELECTRONICS THERMAL MANAGEMENT Samuel Graham Georgia Institute of Technology MARCH... ELECTRONICS THERMAL MANAGEMENT 5a. CONTRACT NUMBER FA8650-15-C-7517 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 61101E 6. AUTHOR(S) Samuel...seeded sample (NRL 010516, Die A5). The NCD membrane and Al layer thicknesses, tNCD, were measured via transmission electron microscopy (TEM). The

  12. Comparison of the surface properties of DLC and ultrananocrystalline diamond films with respect to their bio-applications

    Czech Academy of Sciences Publication Activity Database

    Jelínek, Miroslav; Voss, A.; Kocourek, Tomáš; Mozafari, M.; Vymětalová, V.; Zezulová, Markéta; Písařík, Petr; Kotzianová, A.; Popov, C.; Mikšovský, Jan

    2014-01-01

    Roč. 210, č. 10 (2014), 2106-2110 ISSN 1862-6300 R&D Projects: GA MŠk LD12069 Institutional support: RVO:68378271 Keywords : antibacterial tests * diamond-like carbon * surface properties * ultrananocrystalline diamond films Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.616, year: 2014

  13. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  14. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  15. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  16. Flexible diamond-like carbon thin film coated rubbers: fundamentals and applications

    NARCIS (Netherlands)

    Pei, Y.T.

    2015-01-01

    Dynamic rubber seals are major sources of friction of lubrication systems and bearings, which may take up to 75% of the total friction. The solution we present is to coat rubbers with diamond-like carbon (DLC) thin film, by which the coefficient of friction is reduced to less than one tenth. Coating

  17. Understanding anodic wear at boron doped diamond film electrodes

    International Nuclear Information System (INIS)

    Chaplin, Brian P.; Hubler, David K.; Farrell, James

    2013-01-01

    This research investigated the mechanisms associated with anodic wear of boron-doped diamond (BDD) film electrodes. Cyclic voltammetry (CV), x-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), and electrochemical impedance spectroscopy (EIS) were used to measure changes in electrode response and surface chemistry as a function of the charge passed and applied current density. Density functional theory (DFT) modeling was used to evaluate possible reaction mechanisms. The initial hydrogen-terminated surface was electrochemically oxidized at lower potentials than water oxidation (≤ 1.83 V/SHE), and was not catalyzed by the hydrogen-terminated surface. In the region where water oxidation produces hydroxyl radicals (OH·), the hydrogen-terminated surface may also be oxidized by chemical reaction with OH·. Oxygen atoms became incorporated into the surface via reaction of carbon atoms with OH·, forming both C = O and C-OH functional groups, that were also detected by XPS measurements. Experimental and DFT modeling results indicate that the oxygenated diamond surface lowers the potential for activationless water oxidation from 2.74 V/SHE for the hydrogen terminated surface to 2.29 V/SHE for the oxygenated surface. Electrode wear was accelerated at high current densities (i.e., 500 mA cm −2 ), where SEM results indicated oxidation of the BDD film resulted in significant surface roughening. These results are supported by EIS measurements that document an increase in the double-layer capacitance as a function of the charge passed. DFT simulations provide a possible mechanism that explains the observed diamond oxidation. DFT simulation results indicate that BDD edge sites (=CH 2 ) can be converted to COOH functional groups, which are further oxidized via reactions with OH· to form H 2 CO 3(aq.) with an activation energy of 58.9 kJ mol −1

  18. Photodecomposition of Hg - Photo - CVD monosilane. Application to hydrogenated amorphous silicon thin films

    International Nuclear Information System (INIS)

    Aka, B.

    1989-04-01

    The construction of a Hg-photo-CVD device is discussed. The system enables the manufacturing of hydrogenous thin films of amorphous silicon from monosilane compound. The reaction mechanisms taking place in the gaseous phase and at the surface, and the optimal conditions for the amorphous silicon film growth are studied. The analysis technique is based on the measurement of the difference between the condensation points of the gaseous components of the mixture obtained from the monosilane photolysis. A kinetic simplified model is proposed. Conductivity measurements are performed and the heat treatment effects are analyzed. Trace amounts of oxygen and carbon are found in the material. No Hg traces are detected by SIMS analysis [fr

  19. Low temperature growth of Co{sub 2}MnSi films on diamond semiconductors by ion-beam assisted sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Nishiwaki, M.; Ueda, K., E-mail: k-ueda@numse.nagoya-u.ac.jp; Asano, H. [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2015-05-07

    High quality Schottky junctions using Co{sub 2}MnSi/diamond heterostructures were fabricated. Low temperature growth at ∼300–400 °C by using ion-beam assisted sputtering (IBAS) was necessary to obtain abrupt Co{sub 2}MnSi/diamond interfaces. Only the Co{sub 2}MnSi films formed at ∼300–400 °C showed both saturation magnetization comparable to the bulk values and large negative anisotropic magnetoresistance, which suggests half-metallic nature of the Co{sub 2}MnSi films, of ∼0.3% at 10 K. Schottky junctions formed using the Co{sub 2}MnSi films showed clear rectification properties with rectification ratio of more than 10{sup 7} with Schottky barrier heights of ∼0.8 eV and ideality factors (n) of ∼1.2. These results indicate that Co{sub 2}MnSi films formed at ∼300–400 °C by IBAS are a promising spin source for spin injection into diamond semiconductors.

  20. Fabrication of nitrogen-containing diamond-like carbon film by filtered arc deposition as conductive hard-coating film

    Science.gov (United States)

    Iijima, Yushi; Harigai, Toru; Isono, Ryo; Imai, Takahiro; Suda, Yoshiyuki; Takikawa, Hirofumi; Kamiya, Masao; Taki, Makoto; Hasegawa, Yushi; Tsuji, Nobuhiro; Kaneko, Satoru; Kunitsugu, Shinsuke; Habuchi, Hitoe; Kiyohara, Shuji; Ito, Mikio; Yick, Sam; Bendavid, Avi; Martin, Phil

    2018-01-01

    Diamond-like carbon (DLC) films, which are amorphous carbon films, have been used as hard-coating films for protecting the surface of mechanical parts. Nitrogen-containing DLC (N-DLC) films are expected as conductive hard-coating materials. N-DLC films are expected in applications such as protective films for contact pins, which are used in the electrical check process of integrated circuit chips. In this study, N-DLC films are prepared using the T-shaped filtered arc deposition (T-FAD) method, and film properties are investigated. Film hardness and film density decreased when the N content increased in the films because the number of graphite structures in the DLC film increased as the N content increased. These trends are similar to the results of a previous study. The electrical resistivity of N-DLC films changed from 0.26 to 8.8 Ω cm with a change in the nanoindentation hardness from 17 to 27 GPa. The N-DLC films fabricated by the T-FAD method showed high mechanical hardness and low electrical resistivity.