WorldWideScience

Sample records for cvd diamond coated

  1. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  2. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  3. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  4. Nanocrystalline diamond coatings for machining

    Energy Technology Data Exchange (ETDEWEB)

    Frank, M.; Breidt, D.; Cremer, R. [CemeCon AG, Wuerselen (Germany)

    2007-07-01

    This history of CVD diamond synthesis goes back to the fifties of the last century. However, the scientific and economical potential was only gradually recognized. In the eighties, intensive worldwide research on CVD diamond synthesis and applications was launched. Industrial products, especially diamond-coated cutting tools, were introduced to the market in the middle of the nineties. This article shows the latest developments in this area, which comprises nanocrystalline diamond coating structures. (orig.)

  5. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  6. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  7. Multilayer CVD Diamond Coatings in the Machining of an Al6061-15 Vol % Al2O3 Composite

    Directory of Open Access Journals (Sweden)

    Mohammadmehdi Shabani

    2017-10-01

    Full Text Available Ceramic cutting inserts coated with ten-fold alternating micro- and nanocrystalline diamond (MCD/NCD layers grown by hot filament chemical vapor deposition (CVD were tested in the machining of an Al based metallic matrix composite (MMC containing 15 vol % Al2O3 particles. Inserts with total coating thicknesses of approximately 12 µm and 24 µm were produced and used in turning: cutting speed (v of 250 to 1000 m·min−1; depth of cut (DOC from 0.5 to 3 mm and feed (f between 0.1 and 0.4 mm·rev−1. The main cutting force increases linearly with DOC (ca. 294 N per mm and with feed (ca. 640 N per mm·rev−1. The thicker coatings work within the following limits: DOC up to 1.5 mm and maximum speeds of 750 m·min−1 for feeds up to 0.4 mm·rev−1. Flank wear is predominant but crater wear is also observed due to the negative tool normal rake. Layer-by-layer wear of the tool rake, and not total delamination from the substrate, evidenced one of the advantages of using a multilayer design. The MCD/NCD multilayer diamond coated indexable inserts have longer tool life than most CVD diamond systems and behave as well as most polycrystalline diamond (PCD tools.

  8. Correlation of CVD Diamond Electron Emission with Film Properties

    Science.gov (United States)

    Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.

    1996-03-01

    Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.

  9. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  10. Nanocrystalline diamond coatings for cutting operations; Nanokristalline Diamantschichten fuer die Zerspanung

    Energy Technology Data Exchange (ETDEWEB)

    Frank, M.; Breidt, D.; Cremer, R. [CemeCon AG, Wuerselen (Germany). Technology

    2006-06-15

    The history of the CVD diamond synthesis goes back into the fifties. However, the scientific and economical potential was only gradually recognized. In the eighties intensive world-wide research on CVD diamond synthesis and applications were launched. Industrial products, especially diamond-coated cutting tools, were introduced to the market in the middle of the nineties. The article shows the latest developments in this area, which comprises nanocrystalline diamond coating structures. (orig.)

  11. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  12. Development of diamond coated tool and its performance in ...

    Indian Academy of Sciences (India)

    Unknown

    Mechanical Engineering Department, Indian Institute of Technology, Kharagpur 721 302, India ... chemical inertness of diamond coating towards the work material, did not show any .... CVD diamond coated carbide tools, Ph D Thesis, Indian.

  13. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  14. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  15. High vacuum tribology of polycrystalline diamond coatings

    Indian Academy of Sciences (India)

    Polycrystalline diamond coatings; hot filament CVD; high vacuum tribology. 1. Introduction .... is a characteristic of graphite. We mark the (diamond ... coefficient of friction due to changes in substrate temperature. The average coefficient of.

  16. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  17. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  18. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  19. CVD diamond coatings on titanium : Characterisation by XRD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Cappuccio, G [CNR, Frascati, Rome (Italy). Istituto di Strutturistica Chimica; [INFN-LNF, Frascati, Rome (Italy). Laboratorio Dafne Luce

    1996-09-01

    Here, the authors report an analysis carried out on diamond coatings on titanium substrates to show the potentially of x-ray diffraction techniques in the structural characterisation both of diamond thin films and of the other phases (TiC and TiH{sub 2}) present in the interfacial layer. It should be noted that the composition and microstructure of the interface layers strongly affect the characteristics of the diamond films, particularly adhesion, which is one of the most important elements determining the final quality of the coating.

  20. CVD of alternated microcrystalline (MCD) and nanocrystalline (NCD) diamond films on WC-TIC-CO substrates

    International Nuclear Information System (INIS)

    Campos, Raonei Alves; Contin, Andre; Trava-Airoldi, Vladimir J.; Corat, Evaldo Jose; Barquete, Danilo Maciel

    2010-01-01

    CVD Diamond coating of WC-TiC-Co cutting tools has been an alternative to increase tool lifetime. Experiments have shown that residual stresses produced during films growth on WC-TiC-Co substrates significantly increases with increasing film thickness up to 20 μm and usually leads to film delamination. In this work alternated micro- and nanocrystalline CVD diamond films have been used to relax interface stresses and to increase diamond coatings performance. WC-TiC-Co substrates have been submitted to a boronizing thermal diffusion treatment prior to CVD diamond films growth. After reactive heat treatment samples were submitted to chemical etching in acid and alkaline solution. The diamond films deposition was performed using HFCVD reactor with different gas concentrations for microcrystalline (MCD) and nano-crystalline (NCD) films growth. As a result, we present the improvement of diamond films adherence on WC-TiC-Co, evaluated by indentation and machining tests. Samples were characterized by Scanning Electron Microscopy (SEM) and Energy Dispersive X-ray (EDX) for qualitative analysis of diamond films. X-ray Diffraction (XRD) was used for phases identification after boronizing process. Diamond film compressive residual stresses were analyzed by Raman Scattering Spectroscopy (RSS). (author)

  1. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  2. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  3. Mechanical pretreatment for improved adhesion of diamond coatings

    International Nuclear Information System (INIS)

    Toenshoff, H.K.; Mohlfeld, A.; Gey, C.; Winkler, J.

    1999-01-01

    Diamond coatings are mainly used in cutting processes due to their tribological characteristics. They show a high hardness, low friction coefficient, high wear resistance and good chemical inertness. In relation to polycrystalline diamond (PCD)-tipped cutting inserts, especially the advantageous chemical stability of diamond coatings is superior as no binder phases between diamond grains are used. However, the deposition of adherent high-quality diamond coatings has been found difficult. Thus, substrate pretreatment is utilised to improve film adhesion. This investigation is based on water peening of the substrate material before coating. The investigation revealed best results for diamond film adhesion on pretreated substrates compared to conventional diamond coatings on cemented carbide tools applied with the CVD hot-filament process. In final cutting tests with increased film adhesion trough water peened cutting tools an improved wear behavior was detected. (orig.)

  4. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  5. Application of CVD diamond film for radiation detection

    International Nuclear Information System (INIS)

    Zhou Haiyang; Zhu Xiaodong; Zhan Rujuan

    2005-01-01

    With the development of diamond synthesis at low pressure, the CVD diamond properties including electronic characteristics have improved continuously. Now the fabrication of electronic devices based on the CVD diamond has been one of hot research subjects in this field. Due to many unique advantages, such as high signal-noise ratio, fast time response, and normal output in extremely harsh surrounding, the CVD diamond radiation detector has attracted more and more interest. In this paper, we have reviewed the development and status of the CVD diamond radiation detector. The prospect of this detector is described. (authors)

  6. Recent Advances in the Deposition of Diamond Coatings on Co-Cemented Tungsten Carbides

    Directory of Open Access Journals (Sweden)

    R. Polini

    2012-01-01

    Full Text Available Co-cemented tungsten carbides, namely, hard metals are largely used to manufacture high wear resistant components in several manufacturing segments. Coating hard metals with superhard materials like diamond is of utmost interest as it can further extend their useful lifespan. The deposition of diamond coatings onto WC-Co can be extremely complicated as a result of poor adhesion. This can be essentially ascribed to (i the mismatch in thermal expansion coefficients between diamond and WC-Co, at the typical high temperatures inside the chemical vapour deposition (CVD chamber, generates large residual stresses at the interface; (ii the role of surface Co inside the WC-Co matrix during diamond CVD, which promotes carbon dissolution and diffusion. The present investigation reviews the techniques by which Co-cemented tungsten carbides can be treated to make them prone to receive diamond coatings by CVD. Further, it proposes interesting ecofriendly and sustainable alternatives to further improve the diamond deposition process as well as the overall performance of the coated hard metals.

  7. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  8. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  9. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  10. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  11. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  12. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  13. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  14. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  15. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  16. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  17. Recent results on CVD diamond radiation sensors

    Science.gov (United States)

    Weilhammer, P.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; v. d. Eijk, R.; van Eijk, B.; Fallou, A.; Fish, D.; Fried, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knopfle, K. T.; Krammer, M.; Manfredi, P. F.; Meier, D.; LeNormand; Pan, L. S.; Pernegger, H.; Pernicka, M.; Plano, R.; Re, V.; Riester, J. L.; Roe, S.; Roff; Rudge, A.; Schieber, M.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; RD 42 Collaboration

    1998-02-01

    CVD diamond radiation sensors are being developed for possible use in trackers in the LHC experiments. The diamond promises to be radiation hard well beyond particle fluences that can be tolerated by Si sensors. Recent results from the RD 42 collaboration on charge collection distance and on radiation hardness of CVD diamond samples will be reported. Measurements with diamond tracking devices, both strip detectors and pixel detectors, will be discussed. Results from beam tests using a diamond strip detector which was read out with fast, 25 ns shaping time, radiation-hard pipeline electronics will be presented.

  18. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  19. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  20. Development of CVD diamond radiation detectors

    CERN Document Server

    Adam, W; Berdermann, E; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fisch, D; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Meier, D; Mishina, M; Le Normand, F; Pan, L S; Pernegger, H; Pernicka, Manfred; Pirollo, S; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zoeller, M M

    1998-01-01

    Diamond is a nearly ideal material for detecting ionizing radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow a diamond detector to be used in high ra diation, high temperature and in aggressive chemical media. We have constructed charged particle detectors using high quality CVD diamond. Characterization of the diamond samples and various detect ors are presented in terms of collection distance, $d=\\mu E \\tau$, the average distance electron-hole pairs move apart under the influence of an electric field, where $\\mu$ is the sum of carrier mo bilities, $E$ is the applied electric field, and $\\tau$ is the mobility weighted carrier lifetime. Over the last two years the collection distance increased from $\\sim$ 75 $\\mu$m to over 200 $\\mu$ m. With this high quality CVD diamond a series of micro-strip and pixel particle detectors have been constructed. These devices were tested to determine their position resolution and signal to n oise performance. Diamond detectors w...

  1. Investigation of defects in CVD diamond: Influence for radiotherapy applications

    International Nuclear Information System (INIS)

    Guerrero, M.J.; Tromson, D.; Bergonzo, P.; Barrett, R.

    2005-01-01

    In this study we present the potentialities of CVD diamond as an ionisation chamber for radiotherapy applications. Trapping levels present in CVD diamond are characterised using Thermally Stimulated Current (TSC) method with X-ray sources. The influence of the corresponding defects on the detector response is investigated and compared to those observed in natural diamond. Also, their spatial distribution across a large area polycrystalline diamond ionisation chamber is discussed. Results show the relative influence of two different populations of trapping levels in CVD diamond whose effect is crucial for radiotherapy applications. To partially overcome the defect detrimental effects, we propose to use CVD diamond ionisation chambers at moderate temperatures from 70 to 100 deg. C that could be provided by self heating of the device, for a dramatically improved stability and reproducibility

  2. Performance and characterisation of CVD diamond coated, sintered diamond and WC-Co cutting tools for dental and micromachining applications

    International Nuclear Information System (INIS)

    Sein, Htet; Ahmed, Waqar; Jackson, Mark; Woodwards, Robert; Polini, Riccardo

    2004-01-01

    Diamond coatings are attractive for cutting processes due to their high hardness, low friction coefficient, excellent wear resistance and chemical inertness. The application of diamond coatings on cemented tungsten carbide (WC-Co) tools was the subject of much attention in recent years in order to improve cutting performance and tool life. WC-Co tools containing 6% Co and 94% WC substrate with an average grain size 1-3 μm were used in this study. In order to improve the adhesion between diamond and WC substrates, it is necessary to etch away the surface Co and prepare the surface for subsequent diamond growth. Hot filament chemical vapour deposition with a modified vertical filament arrangement has been employed for the deposition of diamond films. Diamond film quality and purity have been characterised using scanning electron microscopy and micro-Raman spectroscopy. The performance of diamond coated WC-Co bur, uncoated WC-Co bur, and diamond embedded (sintered) bur have been compared by drilling a series of holes into various materials such as human teeth, borosilicate glass and porcelain teeth. Flank wear has been used to assess the wear rates of the tools. The materials subjected to cutting processes have been examined to assess the quality of the finish. Diamond coated WC-Co microdrills and uncoated microdrills were also tested on aluminium alloys. Results show that there was a 300% improvement when the drills were coated with diamond compared to the uncoated tools

  3. A CVD diamond beam telescope for charged particle tracking

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dulinski, W; Doroshenko, J; Doucet, M; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Kania, D R; Gan, K K; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, Manfred; Meuser, S; Lo Giudice, A; MacLynne, L; Manfredotti, C; Meier, D; Menichelli, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Perera, L P; Riester, J L; Roe, S; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Trischuk, W; Tromson, D; Vittone, E; Weilhammer, Peter; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond is a radiation hard sensor material which may be used for charged particle tracking near the interaction region in experiments at high luminosity colliders. The goal of the work described here is to investigate the use of several detector planes made of CVD diamond strip sensors for charged particle tracking. Towards this end a tracking telescope composed entirely of CVD diamond planes has been constructed. The telescope was tested in muon beams and its tracking capability has been investigated.

  4. Origin, state of the art and some prospects of the diamond CVD

    CERN Document Server

    Spitsyn, B V; Alexenko, A E

    2000-01-01

    A short review on the diamond CVD origin, together with its state of the art and some prospects was given. New hybrid methods of the diamond CVD permit to gain 1.2 to 6 times of growth rate in comparison with ordinary diamond CVD's. Recent results on n-type diamond film synthesis through phosphorus doping in the course of the CVD process are briefly discussed. In comparison with high-pressure diamond synthesis, the CVD processes open new facets of the diamond as ultimate crystal for science and technology evolution. It was stressed that, mainly on the basis of new CVDs of diamond, the properties of natural diamond are not only reproduced, but can be surpassed. As examples, mechanical (fracture resistance), physical (thermal conductivity), and chemical (oxidation stability) properties are mentioned. Some present issues in the field are considered.

  5. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  6. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  7. Comparative study of dlc coatings by pvd against cvd technique on textile dents

    International Nuclear Information System (INIS)

    Malik, M.; Alam, S.; Iftikhar, F.

    2007-01-01

    Diamond like Carbon (DLC) film is a hard amorphous carbon hydride film formed by Physical or Chemical vapor deposition (PVD or CVD) techniques. Due to its unique properties especially high hardness, lower coefficient of friction and lubricious nature, these coatings are not only used to extend the life of cutting tools but also for non cutting applications such as for forming dies, molds and on many functional parts of textile. In the present work two techniques were employed i.e. PVD and CVD for deposition of diamond like carbon film on textile dents. These dents are used as thread guider in high speed weaving machine. The measurement of coating thickness, adhesion, hardness and roughness values indicates that overall properties of DLC coating developed by PVD LARC technology reduces abrasion and increases the workability and durability of textile dents as well as suppress the need of lubricants. (author)

  8. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  9. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  10. CVD diamond pixel detectors for LHC experiments

    Energy Technology Data Exchange (ETDEWEB)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N

    1999-08-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described.

  11. CVD diamond pixel detectors for LHC experiments

    International Nuclear Information System (INIS)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N.

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described

  12. Optimization of Cvd Diamond Coating Type on Micro Drills in Pcb Machining

    Science.gov (United States)

    Lei, X. L.; He, Y.; Sun, F. H.

    2016-12-01

    The demand for better tools for machining printed circuit boards (PCBs) is increasing due to the extensive usage of these boards in digital electronic products. This paper is aimed at optimizing coating type on micro drills in order to extend their lifetime in PCB machining. First, the tribotests involving micro crystalline diamond (MCD), nano crystalline diamond (NCD) and bare tungsten carbide (WC-Co) against PCBs show that NCD-PCB tribopair exhibits the lowest friction coefficient (0.35) due to the unique nano structure and low surface roughness of NCD films. Thereafter, the dry machining performance of the MCD- and NCD-coated micro drills on PCBs is systematically studied, using diamond-like coating (DLC) and TiAlN-coated micro drills as comparison. The experiments show that the working lives of these micro drills can be ranked as: NCD>TiAlN>DLC>MCD>bare WC-Co. The superior cutting performance of NCD-coated micro drills in terms of the lowest flank wear growth rate, no tool degradation (e.g. chipping, tool tipping) appearance, the best hole quality as well as the lowest feed force may come from the excellent wear resistance, lower friction coefficient against PCB as well as the high adhesive strength on the underneath substrate of NCD films.

  13. CVD diamonds as thermoluminescent detectors for medical applications

    International Nuclear Information System (INIS)

    Marczewska, B.; Olko, P.; Nesladek, M.; Waligorski, M.P.R.; Kerremans, Y.

    2002-01-01

    Diamond is believed to be a promising material for medical dosimetry due to its tissue equivalence, mechanical and radiation hardness, and lack of solubility in water or in disinfecting agents. A number of diamond samples, obtained under different growth conditions at Limburg University, using the chemical vapour deposition (CVD) technique, was tested as thermoluminescence dosemeters. Their TL glow curve, TL response after doses of gamma rays, fading, and so on were studied at dose levels and for radiation modalities typical for radiotherapy. The investigated CVD diamonds displayed sensitivity comparable with that of MTS-N (Li:Mg,Ti) detectors, signal stability (reproducibility after several readouts) below 10% (1 SD) and no fading was found four days after irradiation. A dedicated CVD diamond plate was grown, cut into 20 detector chips (3x3x0.5 mm) and used for measuring the dose-depth distribution at different depths in a water phantom, for 60 Co and six MV X ray radiotherapy beams. Due to the sensitivity of diamond to ambient light, it was difficult to achieve reproducibility comparable with that of standard LiF detectors. (author)

  14. CVD diamond pixel detectors for LHC experiments

    CERN Document Server

    Wedenig, R; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Wagner, A; Walsh, A M; Weilhammer, Peter; White, C; Zeuner, W; Ziock, H J; Zöller, M

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described. (9 refs).

  15. Diamond radiation detectors II. CVD diamond development for radiation detectors

    International Nuclear Information System (INIS)

    Kania, D.R.

    1997-01-01

    Interest in radiation detectors has supplied some of the impetus for improving the electronic properties of CVD diamond. In the present discussion, we will restrict our attention to polycrystalhne CVD material. We will focus on the evolution of these materials over the past decade and the correlation of detector performance with other properties of the material

  16. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-01-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte-Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometer for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. Also other neutron related applications have been suggested. (author)

  17. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-07-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation-hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometry for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. (author)

  18. Diamond coating deposition by synergy of thermal and laser methods-A problem revisited

    International Nuclear Information System (INIS)

    Ristic, Gordana S.; Trtica, Milan S.; Bogdanov, Zarko D.; Romcevic, Nebojsa Z.; Miljanic, Scepan S.

    2007-01-01

    Diamond coatings were deposited by synergy of the hot filament CVD method and the pulse TEA CO 2 laser, in spectroactive and spectroinactive diamond precursor atmospheres. Resulting diamond coatings are interpreted relying on evidence of scanning electron microscopy as well as microRaman spectroscopy. Thermal synergy component (hot filament) possesses an activating agent for diamond deposition, and contributes significantly to quality and extent of diamond deposition. Laser synergy component comprises a solid surface modification as well as the spectroactive gaseous atmosphere modification. Surface modification consists in changes of the diamond coating being deposited and, at the same time, in changes of the substrate surface structure. Laser modification of the spectroactive diamond precursor atmosphere means specific consumption of the precursor, which enables to skip the deposition on a defined substrate location. The resulting process of diamond coating elimination from certain, desired locations using the CO 2 laser might contribute to tailoring diamond coatings for particular applications. Additionally, the substrate laser modification could be optimized by choice of a proper spectroactive precursor concentration, or by a laser radiation multiple pass through an absorbing medium

  19. A multilayer innovative solution to improve the adhesion of nanocrystalline diamond coatings

    Energy Technology Data Exchange (ETDEWEB)

    Poulon-Quintin, A., E-mail: poulon@icmcb-bordeaux.cnrs.fr [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Faure, C.; Teulé-Gay, L.; Manaud, J.P. [CNRS, ICMCB, UPR 9048, F-33600 Pessac (France); Univ. Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France)

    2015-03-15

    Highlights: • Improvement of the NCD adhesion on WC-12%Co substrates for tooling applications using a multi-interlayer additional system. • Reduction of the graphite layer thickness and continuity at the interface with the diamond. • Transmission electron microscopy study for a better understanding of the diffusion phenomena occurring at the interfaces. - Abstract: Nano-crystalline diamond (NCD) films grown under negative biased substrates by chemical vapor deposition (CVD) are widely used as surface overlay coating onto cermet WC-Co cutting tools to get better performances. To improve the diamond adhesion to the cermet substrate, suitable multi-layer systems have been added. They are composed of a cobalt diffusion barrier close to the substrate (single and sequenced nitrides layers) coated with a nucleation extra layer to improve the nucleus density of diamond during CVD processing. For all systems, before and after diamond deposition, transmission electron microscopy (TEM) has been performed for a better understanding of the diffusion phenomena occurring at the interfaces and to evaluate the presence of graphitic species at the interface with the diamond. Innovative multilayer system dedicated to the regulation of cobalt diffusion coated with a bilayer system optimized for the carbon diffusion control, is shown as an efficient solution to significantly reduce the graphite layer formation at the interface with the diamond down to 10 nm thick and to increase the adhesion of NCD diamond layer as scratch-tests confirm.

  20. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)

    2010-12-17

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.

  1. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    International Nuclear Information System (INIS)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung

    2010-01-01

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.

  2. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  3. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  4. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  5. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  6. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  7. The potential use of diamond coated tungsten tips as a field ionisation source

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.; Prawer, S.; Legge, G.J.F.; Kostidis, L.I. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    Tungsten tips are convenient for use in a high brightness gaseous phase field ionisation source. However, the lifetime of these tips is not adequate for practical use. The authors are investigating whether coating tungsten tips with diamond using Chemical Vapor Deposition (CVD) will improve the practicality of using these tips by an improvement in longevity of the source and/or an improvement in brightness due to the effects of the property of negative electron affinity which has been observed on CVD diamond. 1 ref.

  8. The potential use of diamond coated tungsten tips as a field ionisation source

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A; Prawer, S; Legge, G J.F.; Kostidis, L I [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    Tungsten tips are convenient for use in a high brightness gaseous phase field ionisation source. However, the lifetime of these tips is not adequate for practical use. The authors are investigating whether coating tungsten tips with diamond using Chemical Vapor Deposition (CVD) will improve the practicality of using these tips by an improvement in longevity of the source and/or an improvement in brightness due to the effects of the property of negative electron affinity which has been observed on CVD diamond. 1 ref.

  9. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  10. Performance of irradiated CVD diamond micro-strip sensors

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15 /cm 2 ) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10 15 p/cm 2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10 15 π/cm 2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations

  11. Performance of irradiated CVD diamond micro-strip sensors

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S. V.; Thomson, G. B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15/cm 2) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2×10 15 p/ cm2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9×10 15 π/ cm2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  12. Performance of irradiated CVD diamond micro-strip sensors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B

    2002-01-11

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a {beta}-source and the performance before and after intense (>10{sup 15}/cm{sup 2}) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10{sup 15} p/cm{sup 2} lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10{sup 15} {pi}/cm{sup 2} lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  13. Performance of irradiated CVD diamond micro-strip sensors

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a $\\beta$-source and the performance before and after intense ($>10^{15}/{\\rm cm^2}$) proton- and pion-irradiations. We find that low dose irradiations increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiations with protons ($2.2\\times 10^{15}~p/{\\rm cm^2}$) lowers the signal-to-noise ratio slightly. Intense irradiation with pions ($2.9\\times 10^{15}~\\pi/{\\rm cm^2}$) lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  14. TSC response of irradiated CVD diamond films

    CERN Document Server

    Borchi, E; Bucciolini, M; Guasti, A; Mazzocchi, S; Pirollo, S; Sciortino, S

    1999-01-01

    CVD diamond films have been irradiated with electrons, sup 6 sup 0 Co photons and protons in order to study the dose response to exposure to different particles and energies and to investigate linearity with dose. The Thermally Stimulated Current (TSC) has been studied as a function of the dose delivered to polymethilmetacrilate (PMMA) in the range from 1 to 12 Gy with 20 MeV electrons from a linear accelerator. The TSC spectrum has revealed the presence of two components with peak temperatures of about 470 and 520 K, corresponding to levels lying in the diamond band gap with activation energies of the order of 0.7 - 1 eV. After the subtraction of the exponential background the charge emitted during the heating scan has been evaluated and has been found to depend linearly on the dose. The thermally emitted charge of the CVD diamond films has also been studied using different particles. The samples have been irradiated with the same PMMA dose of about 2 Gy with 6 and 20 MeV electrons from a Linac, sup 6 sup 0 ...

  15. Ultrananocrystalline diamond film as a wear resistant and protective coating for mechanical seal applications

    International Nuclear Information System (INIS)

    Sumant, A.V.; Krauss, A.R.; Gruen, D.M.; Auciello, O.; Erdemir, A.; Williams, M.; Artiles, A.F.; Adams, W.

    2005-01-01

    Mechanical shaft seals used in pumps are critically important to the safe operation of the paper, pulp, and chemical process industry, as well as petroleum and nuclear power plants. Specifically, these seals prevent the leakage of toxic gases and hazardous chemicals to the environment and final products from the rotating equipment used in manufacturing processes. Diamond coatings have the potential to provide negligible wear, ultralow friction, and high corrosion resistance for the sliding surfaces of mechanical seals, because diamond exhibits outstanding tribological, physical, and chemical properties. However, diamond coatings produced by conventional chemical vapor deposition (CVD) exhibit high surface roughness (R a ≥ 1 μm), which results in high wear of the seal counterface, leading to premature seal failure. To avoid this problem, we have developed an ultrananocrystalline diamond (UNCD) film formed by a unique CH 4 /Ar microwave plasma CVD method. This method yields extremely smooth diamond coatings with surface roughness R a = 20-30 nm and an average grain size of 2-5 nm. We report the results of a systematic test program involving uncoated and UNCD-coated SiC shaft seals. Results confirmed that the UNCD-coated seals exhibited neither measurable wear nor any leakage during long-duration tests that took 21 days to complete. In addition, the UNCD coatings reduced the frictional torque for seal rotation by five to six times compared with the uncoated seals. This work promises to lead to rotating shaft seals with much improved service life, reduced maintenance cost, reduced leakage of environmentally hazardous materials, and increased energy savings. This technology may also have many other tribological applications involving rolling or sliding contacts.

  16. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  17. Diamond-coated probe head for measurements in the deep SOL and beyond

    DEFF Research Database (Denmark)

    Schrittwieser, R.; Xu, G. S.; Yan, Ning

    We have tested two cylindrical graphite probe heads coated by a layer of electrically isolating UNCD (Ultra Nano-Crystalline Diamond) using a CVD (Chemical Vapour Deposition) method. The probe heads were mounted on the reciprocating probe manipulator of the Experimental Advanced Superconducting T...

  18. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  19. Crystal growth of CVD diamond and some of its peculiarities

    CERN Document Server

    Piekarczyk, W

    1999-01-01

    Experiments demonstrate that CVD diamond can form in gas environments that are carbon undersaturated with respect to diamond. This fact is, among others, the most serious violation of principles of chemical thermodynamics. In this $9 paper it is shown that none of the principles is broken when CVD diamond formation is considered not a physical process consisting in growth of crystals but a chemical process consisting in accretion of macro-molecules of polycyclic $9 saturated hydrocarbons belonging to the family of organic compounds the smallest representatives of which are adamantane, diamantane, triamantane and so forth. Since the polymantane macro-molecules are in every respect identical with $9 diamond single crystals with hydrogen-terminated surfaces, the accretion of polymantane macro- molecules is a process completely equivalent to the growth of diamond crystals. However, the accretion of macro-molecules must be $9 described in a way different from that used to describe the growth of crystals because so...

  20. Nitrogen and hydrogen related infrared absorption in CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Titus, E. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal)]. E-mail: elby@mec.ua.pt; Ali, N. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Cabral, G. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Madaleno, J.C. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Neto, V.F. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Gracio, J. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Ramesh Babu, P [Materials Ireland, Polymer research Centre, School of Physics, Dublin (Ireland); Sikder, A.K. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India); Okpalugo, T.I. [Northern Ireland Bio-Engineering Centre, NIBEC, University of Ulster (United Kingdom); Misra, D.S. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India)

    2006-09-25

    In this paper, we investigate on the presence of hydrogen and nitrogen related infrared absorptions in chemical vapour deposited (CVD) diamond films. Investigations were carried out in cross sections of diamond windows, deposited using hot filament CVD (HFCVD). The results of Scanning Electron Microscopy (SEM), Fourier Transform Infrared (FTIR) and Raman spectroscopy carried out in a cross section of self-standing diamond sheets are presented. The FTIR spectra showed several features that have not been reported before. In order to confirm the frequency of nitrogen related vibrations, ab-initio calculations were carried out using GAMESS program. The investigations showed the presence of several C-N related peaks in one-phonon (1000-1333 cm{sup -1}). The deconvolution of the spectra in the three-phonon region (2700-3150 cm{sup -1}) also showed a number of vibration modes corresponding to sp {sup m}CH {sub n} phase of carbon. Elastic recoil detection analysis (ERDA) was employed to compare the H content measured using FTIR technique. Using these measurements we point out that the oscillator strength of the different IR modes varies depending upon the structure and H content of CVD diamond sheets.

  1. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  2. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  3. Natural and CVD type diamond detectors as dosimeters in hadrontherapy applications

    International Nuclear Information System (INIS)

    Cirrone, G.A.P.; Cuttone, G.; Rafaele, L.; Sabini, M.G.; De Angelis, C.; Onori, S.; Pacilio, M.; Bucciolini, M.; Bruzzi, M.; Sciortino, S.

    2003-01-01

    Diamond is potentially a suitable material for use as radiation dosimeter; the wide band gap results in low dark currents and low sensitivity to visible light, the high carrier mobility can give rapid response, the very high density of strong bonds in the crystal structure make diamond very resistant to radiation damage; moreover it is tissue equivalent. The more recent advances in the synthesis of polycrystalline diamond by chemical vapour deposition (CVD) techniques have allowed the synthesis of material with electronic properties suitable for dosimetric application. In this paper we will report the results obtained in the study of the response of a natural diamond dosimeter and a CVD one irradiated with 62 AMeV proton beams to demonstrate their possible application in protontherapy

  4. Stress analysis of CVD diamond window for ECH system

    International Nuclear Information System (INIS)

    Takahashi, Koji

    2001-03-01

    The stress analysis of a chemical vapor deposition (CVD) diamond window for Electron Cyclotron Heating and Current Drive (ECH/ECCD) system of fusion reactors is described. It was found that the real size diamond window (φ aper =70mm, t=2.25mm) withstood 14.5 atm. (1.45 MPa). The calculation results of the diamond window by ABAQUS code agree well with the results of the pressure test. The design parameters of the torus diamond window for a vacuum and a safety barrier were also obtained. (author)

  5. SiC interlayer by laser-cladding on WC-Co substrates for CVD diamond deposition

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Fraga, Mariana Amorim; Vieira, Jose; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (INPE), Sao Jose dos Campos, SP (Brazil); Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Belem, PA (Brazil); Vasconcelos, Getulio [Instituto de Estudos Avancados (IEA), Sao Jose dos Campos, SP (Brazil)

    2016-07-01

    Full text: Despite their huge industrial potential and commercial interest, the direct diamond coating on cemented carbide (WC-Co) is limited, mainly because of the catalytic effect of Cobalt (Co) and the high difference in thermal expansion coefficient [1]. This results in poor adherence between diamond and WC-Co. In addition, the low diamond film adhesion to the cemented carbide useless for machining applications. Removal of Co binder from the substrate surface by superficial etching is one of the techniques used to improve the adhesion between diamond and WC-Co. For the present study, diamond films were deposited on WC-Co substrates with an intermediate barrier to block the Co diffusion to the surface substrate. The laser cladding process produced the SiC barrier, in which a powder layer is melted by a laser irradiation to create the coating on the substrate. The use of laser cladding is the novel method for an intermediate barrier for cemented carbides. The advantages of laser cladding include a faster processing speed, precision, versatility. We reported the application of pretreatment method called ESND (Electrostatic self-assembly seeding of nanocrystalline diamond). The nucleation density was around 10{sup 11}part/cm{sup 2}. Diamond films were grown by Hot Filament Chemical Vapor Deposition. Characterization of samples included Field Emission Gun-Scanning Electron Microscopy (FEG-SEM), Energy Dispersive X-ray (EDX), X-ray diffraction (XRD) and Raman Scattering Spectroscopy. Results showed that laser irradiation formed stable Co compounds in the interfacial barrier. It is because nucleation and good quality of diamond film since the cobalt are no longer free to migrate to the surface during the CVD diamond deposition. Reference: [1] Y. X. Cui, B. Shen, F. H. Sun. Diamond deposition on WC–Co substrate with amorphous SiC interlayer, Surface Engineering, 30, (2014) 237-243. (author)

  6. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  7. Proton Irradiation of CVD Diamond Detectors for High Luminosity Experiments at the LHC

    CERN Document Server

    Meier, D; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jany, C; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Marshall, R D; Mishina, M; Le Normand, F; Pan, L S; Palmieri, V G; Pernegger, H; Pernicka, Manfred; Peitz, A; Pirollo, S; Pretzl, Klaus P; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zöller, M

    1999-01-01

    CVD diamond shows promising properties for use as a position sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardn ess of diamond we exposed CVD diamond detector samples to 24~GeV/$c$ and 500~MeV protons up to a fluence of $5\\times 10^{15}~p/{\\rm cm^2}$. We measured the charge collection distance, the ave rage distance electron hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to $1\\ times 10^{15}~p/{\\rm cm^2}$ and decreases by $\\approx$40~\\% at $5\\times 10^{15}~p/{\\rm cm^2}$. Leakage currents of diamond samples were below 1~pA before and after irradiation. The particle indu ced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage curren t. We conclude that CVD diamond detectors are radia...

  8. Friction and wear properties of diamonds and diamond coatings

    International Nuclear Information System (INIS)

    Hayward, I.P.

    1991-01-01

    The recent development of chemical vapor deposition techniques for diamond growth enables bearings to be designed which exploit diamond's low friction and extreme resistance to wear. However, currently produced diamond coatings differ from natural diamond surfaces in that they are polycrystalline and faceted, and often contain appreciable amounts of non-diamond material (i.e. graphitic or amorphous carbon). Roughness, in particular, influences the friction and wear properties; rough coatings severely abrade softer materials, and can even wear natural diamond sliders. Nevertheless, the best available coatings exhibit friction coefficients as low as those of natural diamond and are highly resistant to wear. This paper reviews the tribological properties of natural diamond, and compares them with those of chemical vapor deposited diamond coatings. Emphasis is placed on the roles played by roughness and material transfer in controlling frictional behavior. (orig.)

  9. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  10. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  11. Thermoluminescence properties of undoped and nitrogen-doped CVD diamond exposed to gamma radiation

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Pedroza-Montero, M.; Favalli, A.

    2008-01-01

    It is known that the thermoluminescence (TL) performance of CVD diamond depends on the impurity concentration and doping materials introduced during growing. We report on the TL properties of undoped and 750 ppm nitrogen-doped CVD diamond grown on (0 0 1) silicon substrate. The samples were exposed to gamma radiation from a Gammacell 200 Nordion irradiator in the 10-500 Gy dose range at 627 mGy/min dose rate. The nitrogen-doped CVD diamond sample exhibited a TL glow curve peaked around 537 K and a small shoulder about 411 K and a linear dose behavior in the 10-60 Gy dose range. In contrast, the undoped specimen showed a 591 K peaked TL glow curve and linear dose response for 10-100 Gy doses. However, both samples displayed a non-linear dose response for doses higher than 100 Gy. The doping effects seem to cause a higher TL efficiency, which may be attributed to the differences in the diamond bonding and amorphous carbon on the CVD samples as well as to the presence of nitrogen. In addition, the nitrogen content may produce some structural and morphological surface effects, which may account for the distinctive TL features and dose response of the diamond samples

  12. CVD diamond detectors for ionizing radiation

    Science.gov (United States)

    Friedl, M.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P. F.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L. S.; Palmieri, V. G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-10-01

    In future HEP accelerators, such as the LHC (CERN), detectors and electronics in the vertex region of the experiments will suffer from extreme radiation. Thus radiation hardness is required for both detectors and electronics to survive in this harsh environment. CVD diamond, which is investigated by the RD42 Collaboration at CERN, can meet these requirements. Samples of up to 2×4 cm2 have been grown and refined for better charge collection properties, which are measured with a β source or in a testbeam. A large number of diamond samples has been irradiated with hadrons to fluences of up to 5×10 15 cm-2 to study the effects of radiation. Both strip and pixel detectors were prepared in various geometries. Samples with strip metallization have been tested with both slow and fast readout electronics, and the first diamond pixel detector proved fully functional with LHC electronics.

  13. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  14. Laser reflection spot as a pattern in a diamond coating – a microscopic study

    Directory of Open Access Journals (Sweden)

    GORDANA S. RISTIĆ

    2009-07-01

    Full Text Available Diamond coatings were deposited by the synchronous and coupled action of a hot filament CVD method and a pulsed CO2 laser in spectro-absorbing and spectro-non-absorbing diamond precursor atmospheres. The obtained coatings were structured/patterned, i.e., they were comprised of uncovered, bare locations. An extra effect observed only in the spectro-active diamond precursor atmosphere was the creation of another laser spot in the coating – a reflection spot. In order to establish the practical usability of the latter one, extensive microscopic investigations were performed with consideration of the morphology changes in the spot of the direct laser beam. Normal incidence SEM images of this spot showed a smooth surface, without any pulse radiation damage. AFM imaging revealed the actual surface condition and gave precise data on the surface characteristics.

  15. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    Science.gov (United States)

    Meier, D.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knöpfle, K. T.; Krammer, M.; Manfredi, P. F.; Marshall, R. D.; Mishina, M.; Le Normand, F.; Pan, L. S.; Palmieri, V. G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.; RD42 Collaboration

    1999-04-01

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/ c and 500 Mev protons up to a fluence of 5×10 15 p/cm 2. We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1×10 15 p/cm 2 and decreases by ≈40% at 5×10 15 p/cm 2. Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/ c and 500 MeV protons up to at least 1×10 15p/cm 2 without signal loss.

  16. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    International Nuclear Information System (INIS)

    Meier, D.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Manfredi, P.F.; Marshall, R.D.; Mishina, M.; Le Normand, F.; Pan, L.S.; Palmieri, V.G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.

    1999-01-01

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/c and 500 Mev protons up to a fluence of 5x10 15 p/cm 2 . We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1x10 15 p/cm 2 and decreases by ∼40% at 5x10 15 p/cm 2 . Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/c and 500 MeV protons up to at least 1x10 15 p/cm 2 without signal loss

  17. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  18. Radiation tolerance of CVD diamond detectors for pions and protons

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F. E-mail: f.hartjes@nikhef.nl; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2002-01-11

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/c pions and 24 GeV/c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  19. Radiation tolerance of CVD diamond detectors for pions and protons

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2002-01-01

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/ c pions and 24 GeV/ c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  20. Radiation tolerance of CVD diamond detectors for pions and protons

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2002-01-01

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/c pions and 24 GeV/c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal

  1. CVD diamond detectors for ionizing radiation

    CERN Document Server

    Friedl, M; Bauer, C; Berfermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernegger, H; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zöller, M

    1999-01-01

    In future HEP accelerators, such as the LHC (CERN), detectors and electronics in the vertex region of the experiments will suffer from extreme radiation. Thus radiation hardness is required for both detectors and electronics to survive in this harsh environment. CVD diamond, which is investigated by the RD42 Collaboration at CERN, can meet these requirements. Samples of up to 2*4 cm/sup 2/ have been grown and refined for better charge collection properties, which are measured with a beta source or in a test beam. A large number of diamond samples has been irradiated with hadrons to fluences of up to 5*10/sup 15/ cm/sup -2/ to study the effects of radiation. Both strip and pixel detectors were prepared in various geometries. Samples with strip metallization have been tested with both slow and fast readout electronics, and the first diamond pixel detector proved fully functional with LHC electronics. (16 refs).

  2. CVD diamond detectors for ionizing radiation

    Energy Technology Data Exchange (ETDEWEB)

    Friedl, M. E-mail: markus.friedl@cern.ch; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M

    1999-10-01

    In future HEP accelerators, such as the LHC (CERN), detectors and electronics in the vertex region of the experiments will suffer from extreme radiation. Thus radiation hardness is required for both detectors and electronics to survive in this harsh environment. CVD diamond, which is investigated by the RD42 Collaboration at CERN, can meet these requirements. Samples of up to 2x4 cm{sup 2} have been grown and refined for better charge collection properties, which are measured with a {beta} source or in a test beam. A large number of diamond samples has been irradiated with hadrons to fluences of up to 5x10{sup 15} cm{sup -2} to study the effects of radiation. Both strip and pixel detectors were prepared in various geometries. Samples with strip metallization have been tested with both slow and fast readout electronics, and the first diamond pixel detector proved fully functional with LHC electronics. (author)

  3. Simulation of a perfect CVD diamond Schottky diode steep forward current–voltage characteristic

    Energy Technology Data Exchange (ETDEWEB)

    Kukushkin, V.A., E-mail: vakuk@appl.sci-nnov.ru [Institute of Applied Physics of the Russian Academy of Science, 46 Ulyanov St., 603950 Nizhny Novgorod (Russian Federation); Nizhny Novgorod State University named after N.I. Lobachevsky, 23 Gagarin pr., 603950 Nizhny Novgorod (Russian Federation)

    2016-10-01

    The kinetic equation approach to the simulation of the perfect CVD diamond Schottky diode current–voltage characteristic is considered. In result it is shown that the latter has a significantly steeper forward branch than that of perfect devices of such a type on usual semiconductors. It means that CVD diamond-based Schottky diodes have an important potential advantage over analogous devices on conventional materials.

  4. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  5. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  6. Proton irradiation of CVD diamond detectors for high-luminosity experiments at the LHC

    Energy Technology Data Exchange (ETDEWEB)

    Meier, D. E-mail: dirk.meier@cern.ch.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Foulon, F.; Friedl, M.; Jany, C.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Manfredi, P.F.; Marshall, R.D.; Mishina, M.; Le Normand, F.; Pan, L.S.; Palmieri, V.G.; Pernegger, H.; Pernicka, M.; Peitz, A.; Pirollo, S.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R.J.; Tesarek, R.; Thomson, G.B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M

    1999-04-21

    CVD diamond shows promising properties for use as a position-sensitive detector for experiments in the highest radiation areas at the Large Hadron Collider. In order to study the radiation hardness of diamond we exposed CVD diamond detector samples to 24 Gev/c and 500 Mev protons up to a fluence of 5x10{sup 15} p/cm{sup 2}. We measured the charge collection distance, the average distance electron-hole pairs move apart in an external electric field, and leakage currents before, during, and after irradiation. The charge collection distance remains unchanged up to 1x10{sup 15} p/cm{sup 2} and decreases by {approx}40% at 5x10{sup 15} p/cm{sup 2}. Leakage currents of diamond samples were below 1 pA before and after irradiation. The particle-induced currents during irradiation correlate well with the proton flux. In contrast to diamond, a silicon diode, which was irradiated for comparison, shows the known large increase in leakage current. We conclude that CVD diamond detectors are radiation hard to 24 GeV/c and 500 MeV protons up to at least 1x10{sup 15}p/cm{sup 2} without signal loss.

  7. Polycrystalline CVD diamond device level modeling for particle detection applications

    Science.gov (United States)

    Morozzi, A.; Passeri, D.; Kanxheri, K.; Servoli, L.; Lagomarsino, S.; Sciortino, S.

    2016-12-01

    Diamond is a promising material whose excellent physical properties foster its use for radiation detection applications, in particular in those hostile operating environments where the silicon-based detectors behavior is limited due to the high radiation fluence. Within this framework, the application of Technology Computer Aided Design (TCAD) simulation tools is highly envisaged for the study, the optimization and the predictive analysis of sensing devices. Since the novelty of using diamond in electronics, this material is not included in the library of commercial, state-of-the-art TCAD software tools. In this work, we propose the development, the application and the validation of numerical models to simulate the electrical behavior of polycrystalline (pc)CVD diamond conceived for diamond sensors for particle detection. The model focuses on the characterization of a physically-based pcCVD diamond bandgap taking into account deep-level defects acting as recombination centers and/or trap states. While a definite picture of the polycrystalline diamond band-gap is still debated, the effect of the main parameters (e.g. trap densities, capture cross-sections, etc.) can be deeply investigated thanks to the simulated approach. The charge collection efficiency due to β -particle irradiation of diamond materials provided by different vendors and with different electrode configurations has been selected as figure of merit for the model validation. The good agreement between measurements and simulation findings, keeping the traps density as the only one fitting parameter, assesses the suitability of the TCAD modeling approach as a predictive tool for the design and the optimization of diamond-based radiation detectors.

  8. Polycrystalline CVD diamond device level modeling for particle detection applications

    International Nuclear Information System (INIS)

    Morozzi, A.; Passeri, D.; Kanxheri, K.; Servoli, L.; Lagomarsino, S.; Sciortino, S.

    2016-01-01

    Diamond is a promising material whose excellent physical properties foster its use for radiation detection applications, in particular in those hostile operating environments where the silicon-based detectors behavior is limited due to the high radiation fluence. Within this framework, the application of Technology Computer Aided Design (TCAD) simulation tools is highly envisaged for the study, the optimization and the predictive analysis of sensing devices. Since the novelty of using diamond in electronics, this material is not included in the library of commercial, state-of-the-art TCAD software tools. In this work, we propose the development, the application and the validation of numerical models to simulate the electrical behavior of polycrystalline (pc)CVD diamond conceived for diamond sensors for particle detection. The model focuses on the characterization of a physically-based pcCVD diamond bandgap taking into account deep-level defects acting as recombination centers and/or trap states. While a definite picture of the polycrystalline diamond band-gap is still debated, the effect of the main parameters (e.g. trap densities, capture cross-sections, etc.) can be deeply investigated thanks to the simulated approach. The charge collection efficiency due to β -particle irradiation of diamond materials provided by different vendors and with different electrode configurations has been selected as figure of merit for the model validation. The good agreement between measurements and simulation findings, keeping the traps density as the only one fitting parameter, assesses the suitability of the TCAD modeling approach as a predictive tool for the design and the optimization of diamond-based radiation detectors.

  9. Temperature dependence of stress in CVD diamond films studied by Raman spectroscopy

    Directory of Open Access Journals (Sweden)

    Dychalska Anna

    2015-09-01

    Full Text Available Evolution of residual stress and its components with increasing temperature in chemical vapor deposited (CVD diamond films has a crucial impact on their high temperature applications. In this work we investigated temperature dependence of stress in CVD diamond film deposited on Si(100 substrate in the temperature range of 30 °C to 480 °C by Raman mapping measurement. Raman shift of the characteristic diamond band peaked at 1332 cm-1 was studied to evaluate the residual stress distribution at the diamond surface. A new approach was applied to calculate thermal stress evolution with increasing tempera­ture by using two commonly known equations. Comparison of the residts obtained from the two methods was presented. The intrinsic stress component was calculated from the difference between average values of residual and thermal stress and then its temperature dependence was discussed.

  10. Multilayer coatings containing diamond and other hard materials on hardmetal substrates

    International Nuclear Information System (INIS)

    Koepf, A.; Haubner, R.; Lux, B.

    2001-01-01

    In order to improve the wear resistance of hardmetal cutting tools, coatings of hard materials were established. Especially the production of multilayer coatings, which combine useful properties of different materials was a topic of industrial and academic research. The present work examined the possibilities of combining diamond as basic layer with protective CVD layers of TiC, TiN, Ti(C,N) and Al 2 O 3 . All these combinations could be realized and some showed quite good adherence under strain, which offers possibilities for technical applications. (author)

  11. Study on tribological behavior and cutting performance of CVD diamond and DLC films on Co-cemented tungsten carbide substrates

    International Nuclear Information System (INIS)

    Zhang Dongcan; Shen Bin; Sun Fanghong

    2010-01-01

    The tribological behaviors of diamond and diamond-like carbon (DLC) films play a major role on their machining and mechanical applications. In this study, diamond and diamond-like carbon (DLC) films are deposited on the cobalt cemented tungsten carbide (WC-Co) substrate respectively adopting the hot filament chemical vapor deposition (HFCVD) technique and the vacuum arc discharge with a graphite cathode, and their friction properties are evaluated on a reciprocating ball-on-plate tribometer with counterfaces of silicon nitride (Si 3 N 4 ) ceramic, cemented tungsten carbide (WC) and ball-bearing steel materials, under the ambient air without lubricating condition. Moreover, to evaluate their cutting performance, comparative turning tests are conducted using the uncoated WC-Co and as-fabricated CVD diamond and DLC coated inserts, with glass fiber reinforced plastics (GFRP) composite materials as the workpiece. The as-deposited HFCVD diamond and DLC films are characterized with energy-dispersive X-ray spectroscopy (EDX), scanning electron microscope (SEM), X-ray diffraction spectroscopy (XRD), Raman spectroscopy and 3D surface topography based on white-light interferometry. Furthermore, Rocwell C indentation tests are conducted to evaluate the adhesion of HFCVD diamond and DLC films grown onto WC-Co substrates. SEM and 3D surface topography based on white-light interferometry are also used to investigate the worn region on the surfaces of diamond and DLC films. The friction tests suggest that the obtained friction coefficient curves that of various contacts exhibit similar evolution tendency. For a given counterface, DLC films present lower stable friction coefficients than HFCVD diamond films under the same sliding conditions. The cutting tests results indicate that flank wear of the HFCVD diamond coated insert is lower than that of DLC coated insert before diamond films peeling off.

  12. Investigation of laser ablation of CVD diamond film

    Science.gov (United States)

    Chao, Choung-Lii; Chou, W. C.; Ma, Kung-Jen; Chen, Ta-Tung; Liu, Y. M.; Kuo, Y. S.; Chen, Ying-Tung

    2005-04-01

    Diamond, having many advanced physical and mechanical properties, is one of the most important materials used in the mechanical, telecommunication and optoelectronic industry. However, high hardness value and extreme brittleness have made diamond extremely difficult to be machined by conventional mechanical grinding and polishing. In the present study, the microwave CVD method was employed to produce epitaxial diamond films on silicon single crystal. Laser ablation experiments were then conducted on the obtained diamond films. The underlying material removal mechanisms, microstructure of the machined surface and related machining conditions were also investigated. It was found that during the laser ablation, peaks of the diamond grains were removed mainly by the photo-thermal effects introduced by excimer laser. The diamond structures of the protruded diamond grains were transformed by the laser photonic energy into graphite, amorphous diamond and amorphous carbon which were removed by the subsequent laser shots. As the protruding peaks gradually removed from the surface the removal rate decreased. Surface roughness (Ra) was improved from above 1μm to around 0.1μm in few minutes time in this study. However, a scanning technique would be required if a large area was to be polished by laser and, as a consequence, it could be very time consuming.

  13. Studies of mono-crystalline CVD diamond pixel detectors

    CERN Document Server

    Bartz, E; Atramentov, O; Yang, Z; Hall-Wilton, R; Schnetzer, S; Patel, R; Bugg, W; Hebda, P; Halyo, V; Hunt, A; Marlow, D; Steininger, H; Ryjov, V; Hits, D; Spanier, S; Pernicka, M; Johns, W; Doroshenko, J; Hollingsworth, M; Harrop, B; Farrow, C; Stone, R

    2011-01-01

    The Pixel Luminosity Telescope (PLT) is a dedicated luminosity monitor, presently under construction, for the Compact Muon Solenoid (CMS) experiment at the Large Hadron Collider (LHC). It measures the particle flux in several three layered pixel diamond detectors that are aligned precisely with respect to each other and the beam direction. At a lower rate it also performs particle track position measurements. The PLTs mono-crystalline CVD diamonds are bump-bonded to the same readout chip used in the silicon pixel system in CMS. Mono-crystalline diamond detectors have many attributes that make them desirable for use in charged particle tracking in radiation hostile environments such as the LHC. In order to further characterize the applicability of diamond technology to charged particle tracking we performed several tests with particle beams that included a measurement of the intrinsic spatial resolution with a high resolution beam telescope. Published by Elsevier B.V.

  14. Studies of mono-crystalline CVD diamond pixel detectors

    Energy Technology Data Exchange (ETDEWEB)

    Bugg, W. [University of Tennessee, Knoxville (United States); Hollingsworth, M., E-mail: mhollin3@utk.edu [University of Tennessee, Knoxville (United States); Spanier, S.; Yang, Z. [University of Tennessee, Knoxville (United States); Bartz, E.; Doroshenko, J.; Hits, D.; Schnetzer, S.; Stone, R.; Atramentov, O.; Patel, R.; Barker, A. [Rutgers University, Piscataway (United States); Hall-Wilton, R.; Ryjov, V.; Farrow, C. [CERN, Geneva (Switzerland); Pernicka, M.; Steininger, H. [HEPHY, Vienna (Austria); Johns, W. [Vanderbilt University, Nashville (United States); Halyo, V.; Harrop, B. [Princeton University, Princeton (United States); and others

    2011-09-11

    The Pixel Luminosity Telescope (PLT) is a dedicated luminosity monitor, presently under construction, for the Compact Muon Solenoid (CMS) experiment at the Large Hadron Collider (LHC). It measures the particle flux in several three layered pixel diamond detectors that are aligned precisely with respect to each other and the beam direction. At a lower rate it also performs particle track position measurements. The PLT's mono-crystalline CVD diamonds are bump-bonded to the same readout chip used in the silicon pixel system in CMS. Mono-crystalline diamond detectors have many attributes that make them desirable for use in charged particle tracking in radiation hostile environments such as the LHC. In order to further characterize the applicability of diamond technology to charged particle tracking we performed several tests with particle beams that included a measurement of the intrinsic spatial resolution with a high resolution beam telescope.

  15. A CVD diamond detector for (n,α) cross-section measurements

    International Nuclear Information System (INIS)

    Weiss, C.

    2014-01-01

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,α) cross-sections at the neutron time-of-flight facility n⎽TOF at CERN. The 59 Ni(n,α) 56 Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,α) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n⎽TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the thesis. The second part is dedicated to the design and production of the Diamond Mosaic-Detector (DM-D) and its characterization. The 59 Ni(n,α) 56 Fe cross-section measurement at n⎽TOF and the data analysis are discussed in detail in the third part of the thesis, before the summary of the thesis and an outlook to possible future developments and applications conclude the thesis in the forth part. In this work, the Diamond Mosaic-Detector, which consist of eight single-crystal (sCVD) diamond sensors and one 'Diamond on Iridium' (DOI) sensor has proven to be well suited for (n,α) cross-section measurements for 1 MeV < E α < 22 MeV. The upper limit is given by the thickness of the sensors, d = 150 μm, while the lower limit is dictated by background induced by neutron capture reactions in in-beam materials. The cross-section measurement was focussed on the resonance integral of 59 Ni(n,α) 56 Fe at E n = 203 eV, with the aim of clarifying

  16. Transparent nanocrystalline diamond coatings and devices

    Science.gov (United States)

    Sumant, Anirudha V.; Khan, Adam

    2017-08-22

    A method for coating a substrate comprises producing a plasma ball using a microwave plasma source in the presence of a mixture of gases. The plasma ball has a diameter. The plasma ball is disposed at a first distance from the substrate and the substrate is maintained at a first temperature. The plasma ball is maintained at the first distance from the substrate, and a diamond coating is deposited on the substrate. The diamond coating has a thickness. Furthermore, the diamond coating has an optical transparency of greater than about 80%. The diamond coating can include nanocrystalline diamond. The microwave plasma source can have a frequency of about 915 MHz.

  17. Applications of diamond films and related materials; Proceedings of the 1st International Conference, Auburn, AL, Aug. 17-22, 1991

    Science.gov (United States)

    Tzeng, Yonhua (Editor); Yoshikawa, Manasori (Editor); Murakawa, Masao (Editor); Feldman, Albert (Editor)

    1991-01-01

    The present conference discusses the nucleation and growth of diamond from hydrocarbons, the cutting tool performance of CVD thick-film diamond, the characterization of CVD diamond grinding powder, industrial applications of crystalline diamond-coated tools, standardized SEM tribometry of diamond-coated substrates, residual stress in CVD diamond films, the optical properties of CVD diamond films, polycrystalline diamond films for optical applications, and diamond growth on ferrous metals. Also discussed are ion beam-irradiation smoothing of diamond films, electronic circuits on diamond substrates, diamond-laminated surfaces for evaporative spray cooling, electron devices based on the unique properties of diamond, diamond cold cathodes, thin-film diamond microstructure applications, Schottky diodes from flame-grown diamond, diamond films for thermionic applications, methods of diamond nucleation and selective deposition, high-rate/large-area diamond film production, halogen-assisted diamond growth, the economics of diamond technology, and the optical and mechanical properties of diamondlike films.

  18. Performance of CVD diamond as an optically and thermally stimulated luminescence dosemeter

    International Nuclear Information System (INIS)

    Preciado-Flores, S.; Schreck, M.; Melendrez, R.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Cruz-Zaragoza, E.; Barboza-Flores, M.

    2006-01-01

    Diamond is a material with extreme physical properties. Its radiation hardness, chemical inertness and tissue equivalence qualify it as an ideal material for radiation dosimetry. In the present work, the optically stimulated luminescence (OSL) and thermoluminescence (TL) characteristics of a 10 μm thick CVD diamond (polycrystalline diamond films prepared by chemical vapor deposition) film were studied in order to test its performance as a beta radiation dosemeter. The TL response is composed of four main TL glow peaks; two of these are in the range of 150-200 deg. C and two additional peaks in the 250-400 deg. C temperature range. The integrated TL as a function of radiation dose is linear up to 100 Gy and increases with increasing dose exposure. The dose dependence of the integrated OSL exhibits a similar behavior. The observed OSL/TL behavior for the CVD diamond film clearly demonstrate its capability for applications in radiation dosimetry with special relevance in medical dosimetry owing to the diamond's intrinsic material properties. (authors)

  19. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  20. Pulse height distribution and radiation tolerance of CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dangelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F. E-mail: f.hartjes@nikhef.nl; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; D.Tromson,; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Zoeller, M.; Fenyvesi, A.; Molnar, J.; Sohler, D

    2000-06-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  1. Pulse height distribution and radiation tolerance of CVD diamond detectors

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dangelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; D.Tromson,; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Zoeller, M.; Fenyvesi, A.; Molnar, J.; Sohler, D.

    2000-01-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal

  2. Surface coatings deposited by CVD and PVD

    International Nuclear Information System (INIS)

    Gabriel, H.M.

    1982-01-01

    The demand for wear and corrosion protective coatings is increasing due to economic facts. Deposition processes in gas atmospheres like the CVD and PVD processes attained a tremendous importance especially in the field of the deposition of thin hard refractory and ceramic coatings. CVD and PVD processes are reviewed in detail. Some examples of coating installations are shown and numerous applications are given to demonstrate the present state of the art. (orig.) [de

  3. Thermoluminescence in CVD diamond films: application to actinometric dosimetry

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Chernov, V.; Castaneda, B.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2002-01-01

    Diamond is considered a tissue-equivalent material since its atomic number (Z=6) is close to the effective atomic number of biological tissue (Z=7.42). Such a situation makes it suitable for radiation detection purposes in medical applications. In the present work the analysis is reported of the thermoluminescence (TL) and dosimetric features of chemically vapour deposited (CVD) diamond film samples subjected to ultraviolet (UV) irradiation in the actinometric region. The TL glow curve shows peaks at 120, 220, 320 and 370 deg. C. The 120 and 370 deg. C peaks are too weak and the first one fades away in a few seconds after exposure. The overall room temperature fading shows a 50% TL decay 30 min after exposure. The 320 deg. C glow peak is considered to be the most adequate for dosimetric applications due to its low fading and linear TL behaviour as a function of UV dose in the 180-260 nm range. The TL excitation spectrum presents a broad band with at least two overlapped components around 205 and 220 nm. The results indicate that the TL behaviour of CVD diamond film can be a good alternative to the currently available dosemeter and detector in the actinometric region as well as in clinical and medical applications. (author)

  4. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  5. Pulse height distribution and radiation tolerance of CVD diamond detectors

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Zeuner, W; Zöller, M; Fenyvesi, A; Molnár, J; Sohler, D

    2000-01-01

    The paper reviews measurements of the radiation tolerance of CVD diamond for irradiation with 24 GeV/c protons, 300 MeV/c pions and 1 MeV neutrons. For proton and neutron irradiation, the measured charge signal spectrum is compared with the spectrum calculated by a model. Irradiation by particles causes radiation damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model shows that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. In addition, we observed after proton irradiation at the charge signal spectrum a decrease of the number of small signals. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal. (11 refs).

  6. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  7. Bone repair after osteotomy with diamond burs and CVD ultrasonic tips – histological study in rats

    OpenAIRE

    Matuda, Fábio S.; Pagani, Clovis; Miranda, Carolina B.; Crema, Aline A. S.; Brentel, Aline S.; Carvalho, Yasmin R.

    2010-01-01

    This study histologically evaluated the behavior of bone tissue of rats submitted to osteotomy with conventional diamond burs in high speed and a new ultrasonic diamond tips system (CVD – Chemical Vapor Deposition), at different study periods. The study was conducted on 24 Wistar rats. Osteotomy was performed on the posterior paws of each rat, with utilization of diamond burs in high speed under thorough water cooling at the right paw, and CVD tips at the left paw. Animals were killed a...

  8. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  9. Hydrogen termination of CVD diamond films by high-temperature annealing at atmospheric pressure

    NARCIS (Netherlands)

    Seshan, V.; Ullien, D.; Castellanos-Gomez, A.; Sachdeva, S.; Murthy, D.H.K.; Savenije, T.J.; Ahmad, H.A.; Nunney, T.S.; Janssens, S.D.; Haenen, K.; Nesládek, M.; Van der Zant, H.S.J.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2013-01-01

    A high-temperature procedure to hydrogenate diamond films using molecular hydrogen at atmospheric pressure was explored. Undoped and doped chemical vapour deposited (CVD) polycrystalline diamond films were treated according to our annealing method using a H2 gas flow down to ?50 ml/min (STP) at

  10. CVD diamond Brewster window: feasibility study by FEM analyses

    Directory of Open Access Journals (Sweden)

    Vaccaro A.

    2012-09-01

    Full Text Available Chemical vapor deposition (CVD diamond windows are a crucial component in heating and current drive (H&CD applications. In order to minimize the amount of reflected power from the diamond disc, its thickness must match the desired beam wavelength, thus proper targeting of the plasma requires movable beam reflectors. This is the case, for instance, of the ITER electron cyclotron H&CD system. However, looking at DEMO, the higher heat loads and neutron fluxes could make the use of movable parts close to the plasma difficult. The issue might be solved by using gyrotrons able to tune the beam frequency to the desired resonance, but this concept requires transmission windows that work in a given frequency range, such as the Brewster window. It consists of a CVD diamond disc brazed to two copper cuffs at the Brewster angle. The brazing process is carried out at about 800°C and then the temperature is decreased down to room temperature. Diamond and copper have very different thermal expansion coefficients, therefore high stresses build up during the cool down phase that might lead to failure of the disc. Considering also the complex geometry of the window with the skewed position of the disc, analyses are required in the first place to check its feasibility. The cool down phase was simulated by FEM structural analyses for several geometric and constraint configurations of the window. A study of indirect cooling of the window by water was also performed considering a HE11 mode beam. The results are here reported.

  11. OSL and TL dosimeter characterization of boron doped CVD diamond films

    Science.gov (United States)

    Gonçalves, J. A. N.; Sandonato, G. M.; Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; De la Rosa, E.; Rodríguez, R. A.; Salas, P.; Barboza-Flores, M.

    2005-04-01

    Natural diamond is an exceptional prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality CVD diamond has renewed the interest in using diamond films as radiation dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by the HFCVD method. The thermoluminescence and the optically stimulated luminescence of beta exposed diamond sample containing a B/C 4000 ppm doping presents excellent properties suitable for dosimetric applications with β-ray doses up to 3.0 kGy. The observed OSL and TL performance is reasonable appropriate to justify further investigation of diamond films as dosimeters for ionizing radiation, specially in the radiotherapy field where very well localized and in vivo and real time radiation dose applications are essential.

  12. Study of the triton-burnup process in different JET scenarios using neutron monitor based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Nemtsev, G., E-mail: g.nemtsev@iterrf.ru; Amosov, V.; Meshchaninov, S.; Rodionov, R. [Institution “Project center ITER,” Moscow (Russian Federation); Popovichev, S. [CCFE, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Collaboration: EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom)

    2016-11-15

    We present the results of analysis of triton burn-up process using the data from diamond detector. Neutron monitor based on CVD diamond was installed in JET torus hall close to the plasma center. We measure the part of 14 MeV neutrons in scenarios where plasma current varies in a range of 1-3 MA. In this experiment diamond neutron monitor was also able to detect strong gamma bursts produced by runaway electrons arising during the disruptions. We can conclude that CVD diamond detector will contribute to the study of fast particles confinement and help predict the disruption events in future tokamaks.

  13. A study of the thermoluminescent properties of CVD diamond detectors

    International Nuclear Information System (INIS)

    Marczewska, B.; Bilski, P.; Olko, P.; Rebisz, M.; Nesladek, M.; Waligorski, M.P.R.

    2002-01-01

    A batch of 20 diamond detectors obtained by the chemical vapour deposition (CVD) method at the Institute for Materials Research at the Limburg University, Belgium, was investigated with respect to their thermoluminescent (TL) properties. The investigated detectors demonstrate TL sensitivity similar to that of the standard LiF:Mg, Ti (MTS) thermoluminescent detectors, lack of fading after two weeks from irradiation and apparent linearity of dose response. In spite of the persistent fluctuation of individual detector sensitivity observed in this batch, a new annealing procedure improved the stability of the TL signal. It has been concluded that 1 h annealing at 350 C assures the highest reproducibility for this set of detectors. A 30% discrepancy of the value of the TL signal between individual detectors from the batch may be caused by non-uniform distribution of dopants in the volume of the CVD diamond. A prototype of a planar TL reader equipped with a CCD camera was employed in this investigation. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  14. Preparation of Ti-coated diamond particles by microwave heating

    International Nuclear Information System (INIS)

    Gu, Quanchao; Peng, Jinghui; Xu, Lei; Srinivasakannan, C.

    2016-01-01

    Highlights: • The Ti-Coated diamond particles have been prepared using by microwave heating. • The uniform and dense coating can be produced, and the TiC species was formed. • With increases the temperature results in the thickness of coating increased. • The coating/diamond interfacial bonding strength increased with temperature increasing until 760 °C, then decreased. - Abstract: Depositing strong carbide-forming elements on diamond surface can dramatically improve the interfacial bonding strength between diamond grits and metal matrix. In the present work, investigation on the preparation of Ti-coated diamond particles by microwave heating has been conducted. The morphology, microstructure, and the chemical composition of Ti-coated diamond particles were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), and energy dispersive x-ray spectrometer (EDX). The thickness of Ti coating was measured and the interfacial binding strength between Ti coating and diamond was analyzed. The results show that the surface of the diamond particles could be successfully coated with Ti, forming a uniform and continuous Ti-coated layer. The TiC was found to form between the surface of diamond particles and Ti-coated layer. The amount of TiC as well as the thickness of coating increased with increasing coating temperature, furthermore, the grain size of the coating also grew gradually. The interfacial bonding strength between coating and diamond was found to be best at the temperature of 760 °C.

  15. Preparation of Ti-coated diamond particles by microwave heating

    Energy Technology Data Exchange (ETDEWEB)

    Gu, Quanchao [National Local Joint Laboratory of Engineering Application of Microwave Energy and Equipment Technology, Faculty of Metallurgical and Energy Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Yunnan Copper Smelting and Processing Complex, Yunnan Copper (Group) CO., LTD., Kunming 650102 (China); International Joint Research Center of Advanced Preparation of Superhard Materials Field, Kunming Academician Workstation of Advanced Preparation of Superhard Materials Field, Kunming 650093 (China); Peng, Jinghui [National Local Joint Laboratory of Engineering Application of Microwave Energy and Equipment Technology, Faculty of Metallurgical and Energy Engineering, Kunming University of Science and Technology, Kunming 650093 (China); International Joint Research Center of Advanced Preparation of Superhard Materials Field, Kunming Academician Workstation of Advanced Preparation of Superhard Materials Field, Kunming 650093 (China); Xu, Lei, E-mail: xulei_kmust@aliyun.com [National Local Joint Laboratory of Engineering Application of Microwave Energy and Equipment Technology, Faculty of Metallurgical and Energy Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Mechanical Engineering, University of Washington, Seattle, WA 98195 (United States); International Joint Research Center of Advanced Preparation of Superhard Materials Field, Kunming Academician Workstation of Advanced Preparation of Superhard Materials Field, Kunming 650093 (China); Srinivasakannan, C. [Chemical Engineering Department, The Petroleum Institute, P.O. Box 2533, Abu Dhabi (United Arab Emirates); and others

    2016-12-30

    Highlights: • The Ti-Coated diamond particles have been prepared using by microwave heating. • The uniform and dense coating can be produced, and the TiC species was formed. • With increases the temperature results in the thickness of coating increased. • The coating/diamond interfacial bonding strength increased with temperature increasing until 760 °C, then decreased. - Abstract: Depositing strong carbide-forming elements on diamond surface can dramatically improve the interfacial bonding strength between diamond grits and metal matrix. In the present work, investigation on the preparation of Ti-coated diamond particles by microwave heating has been conducted. The morphology, microstructure, and the chemical composition of Ti-coated diamond particles were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), and energy dispersive x-ray spectrometer (EDX). The thickness of Ti coating was measured and the interfacial binding strength between Ti coating and diamond was analyzed. The results show that the surface of the diamond particles could be successfully coated with Ti, forming a uniform and continuous Ti-coated layer. The TiC was found to form between the surface of diamond particles and Ti-coated layer. The amount of TiC as well as the thickness of coating increased with increasing coating temperature, furthermore, the grain size of the coating also grew gradually. The interfacial bonding strength between coating and diamond was found to be best at the temperature of 760 °C.

  16. CVD Diamond Detectors for Current Mode Neutron Time-of-Flight Spectroscopy at OMEGA/NIF

    International Nuclear Information System (INIS)

    G. J. Schmid; V. Yu. Glebov; A. V. Friensehner; D. R. Hargrove; S. P. Hatchett; N. Izumi; R. A. Lerche; T. W. Phillips; T. C. Sangster; C. Silbernagel; C. Stoecki

    2001-01-01

    We have performed pulsed neutron and pulsed laser tests of a CVD diamond detector manufactured from DIAFILM, a commercial grade of CVD diamond. The laser tests were performed at the short pulse UV laser at Bechtel Nevada in Livermore, CA. The pulsed neutrons were provided by DT capsule implosions at the OMEGA laser fusion facility in Rochester, NY. From these tests, we have determined the impulse response to be 250 ps fwhm for an applied E-field of 500 V/mm. Additionally, we have determined the sensitivity to be 2.4 mA/W at 500 V/mm and 4.0 mA/W at 1000 V/mm. These values are approximately 2 to 5x times higher than those reported for natural Type IIa diamond at similar E-field and thickness (1mm). These characteristics allow us to conceive of a neutron time-of-flight current mode spectrometer based on CVD diamond. Such an instrument would sit inside the laser fusion target chamber close to target chamber center (TCC), and would record neutron spectra fast enough such that backscattered neutrons and x-rays from the target chamber wall would not be a concern. The acquired neutron spectra could then be used to extract DD fuel areal density from the downscattered secondary to secondary ratio

  17. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  18. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Energy Technology Data Exchange (ETDEWEB)

    Bogani, F. [Florence Univ. (Italy). Dipt. di Energetica; Borchi, E. [Florence Univ. (Italy). Dipt. di Energetica; Bruzzi, M. [Florence Univ. (Italy). Dipt. di Energetica; Leroy, C. [Florence Univ. (Italy). Dipt. di Energetica; Sciortino, S. [Florence Univ. (Italy). Dipt. di Energetica

    1997-04-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.).

  19. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    Science.gov (United States)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-02-01

    The thermoluminescent (TL) response of Chemical Vapour Deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters.

  20. A comparative study of the thermoluminescent response to beta irradiation of CVD diamond and LiF dosimeters

    International Nuclear Information System (INIS)

    Bogani, F.; Borchi, E.; Bruzzi, M.; Leroy, C.; Sciortino, S.

    1997-01-01

    The thermoluminescent (TL) response of chemical vapour deposited (CVD) diamond films to beta irradiation has been investigated. A numerical curve-fitting procedure, calibrated by means of a set of LiF TLD100 experimental spectra, has been developed to deconvolute the complex structured TL glow curves. The values of the activation energy and of the frequency factor related to each of the TL peaks involved have been determined. The TL response of the CVD diamond films to beta irradiation has been compared with the TL response of a set of LiF TLD100 and TLD700 dosimeters. The results have been discussed and compared in view of an assessment of the efficiency of CVD diamond films in future applications as in vivo dosimeters. (orig.)

  1. Comprehensive Evaluation of the Properties of Nanocrystalline Diamond Coatings Grown Using CVD with E/H Field Glow Discharge Stabilization

    Directory of Open Access Journals (Sweden)

    Iu. Nasieka

    2015-01-01

    Full Text Available The nanocrystalline diamond films (coatings were prepared using the plasma enhanced chemical vapor deposition (PECVD technique. In this method, direct current (DC glow discharge in the crossed E/H fields was used to activate the gas phase. The diamond coatings were deposited from the working gas mixture CH4/H2 with addition of nitrogen in various concentrations. It was ascertained that addition of N2 to the working gas mixture leads to reduction in the sizes of diamond grains as well as to the substantial decrease in the resistivity of the studied films. The electrophysical data are in good agreement with the changes induced by varying the N2 content in the Raman scattering spectra. The increase in the N2 concentration causes significant lowering of the crystalline diamond related peak and increase in the intensity of the peaks related to the sp2-bonded carbon. These changes in the spectra indicate significant disordering of the structure of prepared films and its uniformity in the nanodiamond film volume. With the great possibility, it is associated with a decrease in the sizes of diamond crystalline grains and tendency of NCD film to amorphization.

  2. Morphology of Diamond Layers Grown on Different Facets of Single Crystal Diamond Substrates by a Microwave Plasma CVD in CH4-H2-N2 Gas Mixtures

    Directory of Open Access Journals (Sweden)

    Evgeny E. Ashkinazi

    2017-06-01

    Full Text Available Epitaxial growth of diamond films on different facets of synthetic IIa-type single crystal (SC high-pressure high temperature (HPHT diamond substrate by a microwave plasma CVD in CH4-H2-N2 gas mixture with the high concentration (4% of nitrogen is studied. A beveled SC diamond embraced with low-index {100}, {110}, {111}, {211}, and {311} faces was used as the substrate. Only the {100} face is found to sustain homoepitaxial growth at the present experimental parameters, while nanocrystalline diamond (NCD films are produced on other planes. This observation is important for the choice of appropriate growth parameters, in particular, for the production of bi-layer or multilayer NCD-on-microcrystalline diamond (MCD superhard coatings on tools when the deposition of continuous conformal NCD film on all facet is required. The development of the film morphology with growth time is examined with SEM. The structure of hillocks, with or without polycrystalline aggregates, that appear on {100} face is analyzed, and the stress field (up to 0.4 GPa within the hillocks is evaluated based on high-resolution mapping of photoluminescence spectra of nitrogen-vacancy NV optical centers in the film.

  3. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  4. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  5. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  6. Experimental studies of N~+ implantation into CVD diamond thin films

    Institute of Scientific and Technical Information of China (English)

    辛火平; 林成鲁; 王建新; 邹世昌; 石晓红; 林梓鑫; 周祖尧; 刘祖刚

    1997-01-01

    The effects of N+ implantation under various conditions on CVD diamond films were analyzed with Raman spectroscopy, four-point probe method, X-ray diffraction (XRD), Rutherford backseattering spectroscopy (RBS), ultraviolet photoluminescence spectroscopy (UV-PL), Fourier transformation infrared absorption spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS). The results show that the N+ implantation doping without any graphitization has been successfully realized when 100 keV N+ ions at a dosage of 2 × 1016 cm-2 were implanted into diamond films at 550℃ . UV-PL spectra indicate that the implanted N+ ions formed an electrically inactive deep-level impurity in diamond films. So the sheet resistance of the sample after N+ implantation changed little. Carbon nitride containing C≡N covalent bond has been successfully synthesized by 100 keV, 1.2×1018 N/cm2 N+ implantation into diamond films. Most of the implanted N+ ions formed C≡N covalent bonds with C atoms. The others were free state nitroge

  7. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    Energy Technology Data Exchange (ETDEWEB)

    Strąkowska, Paulina [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Beutner, René [Max Bergmann Center, Technische Universität Dresden (Germany); Gnyba, Marcin [Gdańsk University of Technology, Faculty of Electronics, Telecommunications, and Informatics (Poland); Zielinski, Andrzej [Gdańsk University of Technology, Mechanical Engineering Faculty (Poland); Scharnweber, Dieter, E-mail: Dieter.Scharnweber@tu-dresden.de [Max Bergmann Center, Technische Universität Dresden (Germany)

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  8. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films — Coating characterization and first cell biological results

    International Nuclear Information System (INIS)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-01-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD > HAp/B-NCD > uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  9. Electrochemically assisted deposition of hydroxyapatite on Ti6Al4V substrates covered by CVD diamond films - Coating characterization and first cell biological results.

    Science.gov (United States)

    Strąkowska, Paulina; Beutner, René; Gnyba, Marcin; Zielinski, Andrzej; Scharnweber, Dieter

    2016-02-01

    Although titanium and its alloys are widely used as implant material for orthopedic and dental applications they show only limited corrosion stability and osseointegration in different cases. The aim of the presented research was to develop and characterize a novel surface modification system from a thin diamond base layer and a hydroxyapatite (HAp) top coating deposited on the alloy Ti6Al4V widely used for implants in contact with bone. This coating system is expected to improve both the long-term corrosion behavior and the biocompatibility and bioactivity of respective surfaces. The diamond base films were obtained by Microwave Plasma Assisted Chemical Vapor Deposition (MW-PACVD); the HAp coatings were formed in aqueous solutions by electrochemically assisted deposition (ECAD) at varying polarization parameters. Scanning electron microscopy (SEM), Raman microscopy, and electrical conductivity measurements were applied to characterize the generated surface states; the calcium phosphate coatings were additionally chemically analyzed for their composition. The biological properties of the coating system were assessed using hMSC cells analyzing for cell adhesion, proliferation, and osteogenic differentiation. Varying MW-PACVD process conditions resulted in composite coatings containing microcrystalline diamond (MCD/Ti-C), nanocrystalline diamond (NCD), and boron-doped nanocrystalline diamond (B-NCD) with the NCD coatings being dense and homogeneous and the B-NCD coatings showing increased electrical conductivity. The ECAD process resulted in calcium phosphate coatings from stoichiometric and non-stoichiometric HAp. The deposition of HAp on the B-NCD films run at lower cathodic potentials and resulted both in the highest coating mass and the most homogenous appearance. Initial cell biological investigations showed an improved cell adhesion in the order B-NCD>HAp/B-NCD>uncoated substrate. Cell proliferation was improved for both investigated coatings whereas ALP

  10. CVD diamond sensor for UV-photon detection

    CERN Document Server

    Periale, L; Gervino, G; Lamarina, A M; Palmisano, C; Periale, R; Picchi, P

    2012-01-01

    A new generation of UV photosensors, based on single crystal Chemical Vapour Deposition (CVD) diamonds to work optically coupled with large volume two-phase liquid-Ar (LAr) or liquid-Xe (LXe) detectors nowadays under design for the next generation of WIMPs experiments, is under development. Preliminary tests and first calibrations show these devices can have better performance than the existing UV sensitive detectors (higher photosensitivity and better signal-to-noise ratio). I-V characteristics, dark current measurements, linearity response to X-ray irradiation, and alpha-particle energy resolution are reported and discussed. (C) 2011 Elsevier B.V. All rights reserved.

  11. Growth, characterization and properties of CVD diamond films for applications as radiation detectors

    International Nuclear Information System (INIS)

    Sciorti, S.

    1999-01-01

    The aim of the work is to give a picture of the current state of the art of CVD (chemical vapour deposition) diamond. The interest is due to the capability to grow over large areas a material with physical properties suitable for an impressive number of applications. The authors focuses on the potential of diamond as a radiation detector and gets into details of the huge field that extends from the thermochemistry of the deposition process to the test of a diamond-based tracker with a fast readout electronics

  12. Multilayered and composite PVD-CVD coatings in cemented carbides manufacture

    International Nuclear Information System (INIS)

    Glushkov, V.N.; Anikeev, A.I.; Anikin, V.N.; Vereshchaka, A.S.

    2001-01-01

    Carbide cutting tools with wear-resistant coatings deposited by CVD process are widely employed in mechanical engineering to ensure a substantially longer service life of tool systems. However, the relatively high temperature and long time of the process make the substrate decarburise and, as a result, the bend strength and performance characteristics of a tool decrease. The present study suggests the problem of deteriorated strength of CVD-coated carbide tools be solved by the development of a technology that combines arc-PVD and CVD processes to deposit multilayered coatings of titanium and aluminium compounds. (author)

  13. A wear simulation study of nanostructured CVD diamond-on-diamond articulation involving concave/convex mating surfaces

    Science.gov (United States)

    Baker, Paul A.; Thompson, Raymond G.; Catledge, Shane A.

    2015-01-01

    Using microwave-plasma Chemical Vapor Deposition (CVD), a 3-micron thick nanostructured-diamond (NSD) layer was deposited onto polished, convex and concave components that were machined from Ti-6Al-4V alloy. These components had the same radius of curvature, 25.4mm. Wear testing of the surfaces was performed by rotating articulation of the diamond-deposited surfaces (diamond-on-diamond) with a load of 225N for a total of 5 million cycles in bovine serum resulting in polishing of the diamond surface and formation of very shallow, linear wear grooves of less than 50nm depth. The two diamond surfaces remained adhered to the components and polished each other to an average surface roughness that was reduced by as much as a factor of 80 for the most polished region located at the center of the condyle. Imaging of the surfaces showed that the initial wearing-in phase of diamond was only beginning at the end of the 5 million cycles. Atomic force microscopy, scanning electron microscopy, Raman spectroscopy, and surface profilometry were used to characterize the surfaces and verify that the diamond remained intact and uniform over the surface, thereby protecting the underlying metal. These wear simulation results show that diamond deposition on Ti alloy has potential application for joint replacement devices with improved longevity over existing devices made of cobalt chrome and ultra-high molecular weight polyethylene (UHMWPE). PMID:26989457

  14. Co-electrodeposition of hard Ni-W/diamond nanocomposite coatings

    Science.gov (United States)

    Zhang, Xinyu; Qin, Jiaqian; Das, Malay Kumar; Hao, Ruru; Zhong, Hua; Thueploy, Adisak; Limpanart, Sarintorn; Boonyongmaneerat, Yuttanant; Ma, Mingzhen; Liu, Riping

    2016-02-01

    Electroplated hard chrome coating is widely used as a wear resistant coating to prolong the life of mechanical components. However, the electroplating process generates hexavalent chromium ion which is known carcinogen. Hence, there is a major effort throughout the electroplating industry to replace hard chrome coating. Composite coating has been identified as suitable materials for replacement of hard chrome coating, while deposition coating prepared using traditional co-deposition techniques have relatively low particles content, but the content of particles incorporated into a coating may fundamentally affect its properties. In the present work, Ni-W/diamond composite coatings were prepared by sediment co-electrodeposition from Ni-W plating bath, containing suspended diamond particles. This study indicates that higher diamond contents could be successfully co-deposited and uniformly distributed in the Ni-W alloy matrix. The maximum hardness of Ni-W/diamond composite coatings is found to be 2249 ± 23 Hv due to the highest diamond content of 64 wt.%. The hardness could be further enhanced up to 2647 ± 25 Hv with heat treatment at 873 K for 1 h in Ar gas, which is comparable to hard chrome coatings. Moreover, the addition of diamond particles could significantly enhance the wear resistance of the coatings.

  15. An optical emission spectroscopy study of the plasma generated in the DC HF CVD nucleation of diamond

    Energy Technology Data Exchange (ETDEWEB)

    Larijani, M.M. [Nuclear Research Centre for Agriculture and Medicine, AEOI, P.O. Box 31485-498, Karaj (Iran, Islamic Republic of)]. E-mail: mmojtahedzadeh@nrcam.org; Le Normand, F. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France); Cregut, O. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France)

    2007-02-15

    Optical emission spectroscopy (OES) was used to study the plasma generated by the activation of the gas phase CH{sub 4} + H{sub 2} both by hot filaments and by a plasma discharge (DC HF CVD) during the nucleation of CVD diamond. The effects of nucleation parameters, such as methane concentration and extraction potential, on the plasma chemistry near the surface were investigated. The density of the diamond nucleation and the quality of the diamond films were studied by scanning electron microscopy (SEM) and Raman scattering, respectively. The OES results showed that the methane concentration influenced strongly the intensity ratio of H{sub {beta}}-H{sub {alpha}} implying an increase of electron mean energy, as well as CH, CH{sup +}, C{sub 2}. A correlation between the relative increase of CH{sup +} and the diamond nucleation density was found, conversely the increase of C{sub 2} contributed to the introduction of defects in the diamond nuclei.

  16. Tribological wear behavior of diamond reinforced composite coating

    International Nuclear Information System (INIS)

    Venkateswarlu, K.; Ray, Ajoy Kumar; Gunjan, Manoj Kumar; Mondal, D.P.; Pathak, L.C.

    2006-01-01

    In the present study, diamond reinforced composite (DRC) coating has been applied on mild steel substrate using thermal spray coating technique. The composite powder consists of diamond, tungsten carbide, and bronze, which was mixed in a ball mill prior deposition by thermal spray. The microstructure and the distribution of diamond and tungsten carbide particle in the bronze matrix were studied. The DRC-coated mild steel substrates were assessed in terms of their high stress abrasive wear and compared with that of uncoated mild steel substrates. It was observed that when sliding against steel, the DRC-coated sample initially gains weight, but then loses the transferred counter surface material. In case of abrasive wear, the wear rate was greatly reduced due to the coating; wherein the wear rate decreased with increase in diamond content

  17. The role of (sub)-surface oxygen on the surface electronic structure of hydrogen terminated (100) CVD diamond

    NARCIS (Netherlands)

    Deferme, W.; Tanasa, G.; Amir, J.; Haenen, K.; Nesladek, M.; Flipse, C.F.J.

    2006-01-01

    In this work, scanning tunnelling microscopy (STM) and scanning tunnelling spectroscopy (STS) were applied to investigate the surface morphol. and the surface electronic structure of plasma-treated (100)-oriented CVD diamond films. These films were hydrogenated using a conventional MWPE-CVD

  18. HFCVD Diamond-Coated Mechanical Seals

    Directory of Open Access Journals (Sweden)

    Raul Simões

    2018-05-01

    Full Text Available A mechanical seal promotes the connection between systems or mechanisms, preventing the escape of fluids to the exterior. Nonetheless, due to extreme working conditions, premature failure can occur. Diamond, due to its excellent properties, is heralded as an excellent choice to cover the surface of these devices and extend their lifetime. Therefore, the main objective of this work was to deposit diamond films over mechanical seals and test the coated seals on a water pump, under real working conditions. The coatings were created by hot filament chemical vapor deposition (HFCVD and two consecutive layers of micro- and nanocrystalline diamond were deposited. One of the main difficulties is the attainment of a good adhesion between the diamond films and the mechanical seal material (WC-Co. Nucleation, deposition conditions, and pre-treatments were studied to enhance the coating. Superficial wear or delamination of the film was investigated using SEM and Raman characterization techniques, in order to draw conclusions about the feasibility of these coatings in the WC-Co mechanical seals with the purpose of increasing their performance and life time. The results obtained gave a good indication about the feasibility of this process and the deposition conditions used, with the mechanical seals showing no wear and no film delamination after a real work environment test.

  19. Diamond-like carbon coated ultracold neutron guides

    International Nuclear Information System (INIS)

    Heule, S.; Atchison, F.; Daum, M.; Foelske, A.; Henneck, R.; Kasprzak, M.; Kirch, K.; Knecht, A.; Kuzniak, M.; Lippert, T.; Meier, M.; Pichlmaier, A.; Straumann, U.

    2007-01-01

    It has been shown recently that diamond-like carbon (DLC) with a sp 3 fraction above 60% is a better wall coating material for ultracold neutron applications than beryllium. We report on results of Raman spectroscopic and XPS measurements obtained for diamond-like carbon coated neutron guides produced in a new facility, which is based on pulsed laser deposition at 193 nm. For diamond-like carbon coatings on small stainless steel substrates we find sp 3 fractions in the range from 60 to 70% and showing slightly increasing values with laser pulse energy and pulse repetition rate

  20. Cutting force and wear evaluation in peripheral milling by CVD diamond dental tools

    International Nuclear Information System (INIS)

    Polini, R.; Allegri, A.; Guarino, S.; Quadrini, F.; Sein, H.; Ahmed, W.

    2004-01-01

    Co-cemented tungsten carbide (WC-Co) tools are currently employed in dental application for prosthesis fabrication. The deposition of a diamond coating onto WC-Co tools could allow both to increase the tool life and tool performance at higher speeds. However, at present it is very difficult to quantify the effective advantage of the application of a diamond coating onto dental tools compared to traditional uncoated tools. Therefore, in this work, we have deposited diamond coatings onto WC-Co dental tools having different geometries by Hot Filament Chemical Vapour Deposition (HFCVD). Prior to deposition, the WC-Co tools were pre-treated in order to roughen the surface and to modify the chemical surface composition. The use of the HFCVD process enabled the deposition of a uniform coating despite the complex geometries of the dental mills. For the first time, in accordance to the knowledge of the authors, we have studied and compared the cutting behaviour of both virgin and diamond-coated dental tools by measuring both wear and cutting force time evolution under milling a very hard Co-Cr-Mo dental alloy. To ensure constant cutting rate (20,000-r.p.m. cutting rate, 0.01-m/min feed rate and 0.5-mm depth of cut), a proper experimental apparatus was used. Three different mill geometries were considered in both coated and uncoated conditions. The results showed that, under the high-speed conditions employed, uncoated tools underwent to catastrophic failure within a few seconds of machining. Diamond-coated tools exhibited much longer tool lives. Lower forces were measured when the coated tool was employed due to the much lower material-mill friction. The best behaviour was observed for coated mills with the presence of a chip-breaker

  1. Diamond Pixel Detectors and 3D Diamond Devices

    International Nuclear Information System (INIS)

    Venturi, N.

    2016-01-01

    Results from detectors of poly-crystalline chemical vapour deposited (pCVD) diamond are presented. These include the first analysis of data of the ATLAS Diamond Beam Monitor (DBM). The DBM module consists of pCVD diamond sensors instrumented with pixellated FE-I4 front-end electronics. Six diamond telescopes, each with three modules, are placed symmetrically around the ATLAS interaction point. The DBM tracking capabilities allow it to discriminate between particles coming from the interaction point and background particles passing through the ATLAS detector. Also, analysis of test beam data of pCVD DBM modules are presented. A new low threshold tuning algorithm based on noise occupancy was developed which increases the DBM module signal to noise ratio significantly. Finally first results from prototypes of a novel detector using pCVD diamond and resistive electrodes in the bulk, forming a 3D diamond device, are discussed. 3D devices based on pCVD diamond were successfully tested with test beams at CERN. The measured charge is compared to that of a strip detector mounted on the same pCVD diamond showing that the 3D device collects significantly more charge than the planar device.

  2. Probing Growth-Induced Anisotropic Thermal Transport in High-Quality CVD Diamond Membranes by Multifrequency and Multiple-Spot-Size Time-Domain Thermoreflectance.

    Science.gov (United States)

    Cheng, Zhe; Bougher, Thomas; Bai, Tingyu; Wang, Steven Y; Li, Chao; Yates, Luke; Foley, Brian M; Goorsky, Mark; Cola, Baratunde A; Faili, Firooz; Graham, Samuel

    2018-02-07

    The maximum output power of GaN-based high-electron mobility transistors is limited by high channel temperature induced by localized self-heating, which degrades device performance and reliability. Chemical vapor deposition (CVD) diamond is an attractive candidate to aid in the extraction of this heat and in minimizing the peak operating temperatures of high-power electronics. Owing to its inhomogeneous structure, the thermal conductivity of CVD diamond varies along the growth direction and can differ between the in-plane and out-of-plane directions, resulting in a complex three-dimensional (3D) distribution. Depending on the thickness of the diamond and size of the electronic device, this 3D distribution may impact the effectiveness of CVD diamond in device thermal management. In this work, time-domain thermoreflectance is used to measure the anisotropic thermal conductivity of an 11.8 μm-thick high-quality CVD diamond membrane from its nucleation side. Starting with a spot-size diameter larger than the thickness of the membrane, measurements are made at various modulation frequencies from 1.2 to 11.6 MHz to tune the heat penetration depth and sample the variation in thermal conductivity. We then analyze the data by creating a model with the membrane divided into ten sublayers and assume isotropic thermal conductivity in each sublayer. From this, we observe a two-dimensional gradient of the depth-dependent thermal conductivity for this membrane. The local thermal conductivity goes beyond 1000 W/(m K) when the distance from the nucleation interface only reaches 3 μm. Additionally, by measuring the same region with a smaller spot size at multiple frequencies, the in-plane and cross-plane thermal conductivities are extracted. Through this use of multiple spot sizes and modulation frequencies, the 3D anisotropic thermal conductivity of CVD diamond membrane is experimentally obtained by fitting the experimental data to a thermal model. This work provides an improved

  3. Tailoring nanocrystalline diamond coated on titanium for osteoblast adhesion.

    Science.gov (United States)

    Pareta, Rajesh; Yang, Lei; Kothari, Abhishek; Sirinrath, Sirivisoot; Xiao, Xingcheng; Sheldon, Brian W; Webster, Thomas J

    2010-10-01

    Diamond coatings with superior chemical stability, antiwear, and cytocompatibility properties have been considered for lengthening the lifetime of metallic orthopedic implants for over a decade. In this study, an attempt to tailor the surface properties of diamond films on titanium to promote osteoblast (bone forming cell) adhesion was reported. The surface properties investigated here included the size of diamond surface features, topography, wettability, and surface chemistry, all of which were controlled during microwave plasma enhanced chemical-vapor-deposition (MPCVD) processes using CH4-Ar-H2 gas mixtures. The hardness and elastic modulus of the diamond films were also determined. H2 concentration in the plasma was altered to control the crystallinity, grain size, and topography of the diamond coatings, and specific plasma gases (O2 and NH3) were introduced to change the surface chemistry of the diamond coatings. To understand the impact of the altered surface properties on osteoblast responses, cell adhesion tests were performed on the various diamond-coated titanium. The results revealed that nanocrystalline diamond (grain sizes diamond and, thus, should be further studied for improving orthopedic applications. Copyright 2010 Wiley Periodicals, Inc. J Biomed Mater Res Part A, 2010.

  4. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  5. Parameterisation of radiation effects on CVD diamond for proton irradiation

    International Nuclear Information System (INIS)

    Hartjes, F.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.

    1999-01-01

    The paper reviews measurements of the radiation hardness of CVD diamond for 24 GeV/c proton irradiation at fluences up to 5 * 10 15 protons/cm 2 . The results not only show radiation damage but also an annealing effect that is dominant at levels around 10 15 protons/cm 2 . A model describing both effects is introduced, enabling a prediction of the distribution curve of the charge signal for other levels

  6. Superconductivity and low temperature electrical transport in B-doped CVD nanocrystalline diamond

    Czech Academy of Sciences Publication Activity Database

    Nesládek, M.; Mareš, Jiří J.; Tromson, D.; Mer, Ch.; Bergonzo, P.; Hubík, Pavel; Krištofik, Jozef

    2006-01-01

    Roč. 7, Suppl. 1 (2006), S41-S44 ISSN 1468-6996 R&D Projects: GA ČR(CZ) GA202/06/0040 Institutional research plan: CEZ:AV0Z10100521 Keywords : superconductivity * electrical transport * doping * CVD diamond Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.124, year: 2006

  7. Surface analytical investigation of diamond coatings and nucleation processes by secondary ion mass spectrometry

    International Nuclear Information System (INIS)

    Steiner, R.

    1993-10-01

    Imaging SIMS for the investigation of substrate surfaces: the influence of the substrate surface on diamond nucleation is a major topic in the investigation of the chemical vapour deposition (CVD) of diamond. It is well known that the nucleation density can be enhanced by scratching the substrate surface with abrasive powders. Diamond can nucleate at scratches or at residues of the polishing material. In the present work the surface of refractory metals (Mo, Nb, Ta, W) polished with silicon carbide and diamond powder is studied by imaging (2- or 3-D) secondary ion mass spectrometry (SIMS). In first experiments the distribution of SiC and/or diamond residues after polishing was determined. The reaction of diamond with the substrate during heating to deposition temperatures was investigated. Investigation of WC/Co hardmetal substrates: it is well known that Co contained in the binder phase of the hard metal inhibits a strong adhesion between the diamond film and the substrate, which is need for an application as cutting tool. Several attempts to improve the adhesion have been reported up to now. In this work a pre-treatment procedure leading to the formation of Co compounds (borides and silicides) which are stable under diamond deposition conditions were investigated. Furthermore, the application of intermediate sputter layers consisting of chromium and titanium were studied. Investigation of P-doped diamond coatings: in the quaternary phase diagram C-P-B-N exist some phases with diamond structure and superhard phases (e.g BP, c-BN). Also a hypothetical superhard phase of the composition C 3 N 4 is predicted. A scientific objective is the synthesis of such phases by chemical vapour deposition. An increase of the phosphorus concentration effects a distinct change in the morphology of the deposited coatings. A major advantage of SIMS is that the concentration profiles can be measured through the whole film, due to the sputter removal of the sample, and the interface

  8. Parameterisation of radiation effects on CVD diamond for proton irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Hartjes, F.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M

    1999-08-01

    The paper reviews measurements of the radiation hardness of CVD diamond for 24 GeV/c proton irradiation at fluences up to 5{sup *}10{sup 15} protons/cm{sup 2}. The results not only show radiation damage but also an annealing effect that is dominant at levels around 10{sup 15} protons/cm{sup 2}. A model describing both effects is introduced, enabling a prediction of the distribution curve of the charge signal for other levels.

  9. Pushing the boundaries of high power lasers: low loss, large area CVD diamond

    Science.gov (United States)

    Wickham, Benjamin; Schoofs, Frank; Olsson-Robbie, Stefan; Bennett, Andrew; Balmer, Richard

    2018-02-01

    Synthetic CVD diamond has exceptional properties, including broad spectral transmission, physical and chemical robustness, and the highest thermal conductivity of any known material, making diamond an attractive material for medium to high power optical and laser applications, minimizing the detrimental effects of thermal lensing and radiation damage. Example applications include ATR prisms, Raman laser crystals, extra- and intra-cavity laser cooling. In each case the demands on the fundamental material properties and fabrication routes are slightly different. In recent years, there has been good progress in the development of low-loss, single crystal diamond, suitable for higher power densities, higher pulse rates and more demanding intra- and extra-cavity thermal management. The adoption of single crystal diamond in this area has however, been hindered by the availability of large area, low birefringence plates. To address this, we report a combination of CVD growth and processing methods that have enabled the manufacture of large, low defect substrates. A final homoepitaxial, low absorption synthesis stage has produced plates with large area (up to 16 mm edge length), low absorption (α<0.005 cm-1 at 1064 nm), and low birefringence (Δn <10-5), suitable for double-sided intra-cavity cooling. We demonstrate the practical advances in synthesis, including increasing the size while reducing in-use losses compared to previous generations of single crystal material, and practical developments in processing and implementation of the single crystal diamond parts, optimizing them for use in a state-of-the-art femto-second pulsed Ti:Sa thin disk gain module, all made in collaboration with the wider European FP7 funded Ti:Sa TD consortium.

  10. SU-E-T-153: Detector-Grade CVD Diamond for Radiotherapy Dosimetry.

    Science.gov (United States)

    Lansley, S; Betzel, G; McKay, D; Meyer, J

    2012-06-01

    To evaluate the use of commercially available detector-grade synthetic diamond films made via chemical vapor deposition (CVD) as x- ray detectors for radiotherapy dosimetry. A detector was fabricated using high-quality single crystal CVD diamond films (0.5 × 3 × 3 mm̂3) with 0.4 mm̂3 sensitive volumes, which were encapsulated with PMMA. The detector was placed in a (30 × 30 × 30 cm̂3) PTW water phantom. Six- and ten-MV photons from an Elekta Synergy linac were measured using an SSD of 90 cm and typically a 10-cm phantom depth with a 10 × 10 cm̂2 field size in the central axis of the beam. Data acquisition was performed using a PTW UNIDOS E electrometer with a 100-V bias. The detector was evaluated by measuring leakage current, priming dose, response dynamics, dose linearity, dependence on dose rate, percent depth dose (6 and 10 MV photons) and output factors. Some measurements were compared with a Si diode detector, 0.04 and/or 0.13-cc ion chamber(s). Leakage currents were negligible (∼1 pA) given the overall average sensitivity of the material (680 nC/Gy at 100 V). Detector current rise and fall times were detectors as expected. The type of diamond tested has potential to be used for small field dosimetry due to its small sensitive volume and high sensitivity. Further experiments are ongoing and detector packaging is yet to be optimized. © 2012 American Association of Physicists in Medicine.

  11. Structural Transformation upon Nitrogen Doping of Ultrananocrystalline Diamond Films by Microwave Plasma CVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2009-01-01

    Full Text Available The molecular properties and surface morphology of undoped and N-doped ultra-nanocrystalline diamond (UNCD films deposited by microwave plasma CVD with addition of nitrogen are investigated with various spectroscopic techniques. The results of spatially resolved Raman scattering, ATR/FT-IR and XPS spectra show more amorphous and sp2/sp3 ratio characteristics in N-doped UNCD films. The surface morphology in AFM scans shows larger nanocrystalline diamond clusters in N-doped UNCD films. Incorporation of nitrogen into UNCD films has promoted an increase of amorphous sp2-bonded carbons in the grain boundaries and the size of nanocrystalline diamond grains that are well correlated to the reported enhancement of conductivity and structural changes of UNCD films.

  12. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  13. Thermoluminescence characterization of CVD diamond film exposed to UV and beta radiation

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Gastelum, S.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Brown, F.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2003-01-01

    Thermoluminescence (TL) properties of diamond films grown by microwave and hot filament CVD techniques were studied. The main purpose of the present work was to characterize the thermoluminescence response of diamond films to ultraviolet and beta radiation. The thermoluminescence excitation spectrum exhibits maximum TL efficiency around 210-215 nm. All samples presented a glow curve composed of at least one TL peak and showed regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of monochromatic UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.33-1.7 eV and 5.44 x 10 2 -5.67 x 10 16 s -1 , respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters keeping in mind that diamond is an ideal TL dosemeter since it is tissue-equivalent and biological compatible. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Plasma boriding of a cobalt–chromium alloy as an interlayer for nanostructured diamond growth

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Jubinsky, Matthew; Catledge, Shane A., E-mail: catledge@uab.edu

    2015-02-15

    Highlights: • Metal-boride layer creates a compatible surface for NSD deposition. • PECVD boriding on CoCrMo produces robust metal-boride layer. • Deposition temperature comparison shows 750 °C boriding masks surface cobalt. • EDS shows boron diffusion as well as deposition. • Nanoindentation hardness of CoCrMo substantially increases after boriding. - Abstract: Chemical vapor deposited (CVD) diamond coatings can potentially improve the wear resistance of cobalt–chromium medical implant surfaces, but the high cobalt content in these alloys acts as a catalyst to form graphitic carbon. Boriding by high temperature liquid baths and powder packing has been shown to improve CVD diamond compatibility with cobalt alloys. We use the microwave plasma-enhanced (PE) CVD process to deposit interlayers composed primarily of the borides of cobalt and chromium. The use of diborane (B{sub 2}H{sub 6}) in the plasma feedgas allows for the formation of a robust boride interlayer for suppressing graphitic carbon during subsequent CVD of nano-structured diamond (NSD). This metal–boride interlayer is shown to be an effective diffusion barrier against elemental cobalt for improving nucleation and adhesion of NSD coatings on a CoCrMo alloy. Migration of elemental cobalt to the surface of the interlayer is significantly reduced and undetectable on the surface of the subsequently-grown NSD coating. The effects of PECVD boriding are compared for a range of substrate temperatures and deposition times and are evaluated using glancing-angle X-ray diffraction (XRD), cross-sectional scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), and micro-Raman spectroscopy. Boriding of CoCrMo results in adhered nanostructured diamond coatings with low surface roughness.

  15. Preparation of diamond like carbon thin film on stainless steel and ...

    Indian Academy of Sciences (India)

    Diamond-like carbon; buffer layer; plasma CVD; surface characterization; biomedical applications. Abstract. We report the formation of a very smooth, continuous and homogeneous diamond-like carbon DLC thin coating over a bare stainless steel surface without the need for a thin Si/Cr/Ni/Mo/W/TiN/TiC interfacial layer.

  16. Design and application of CVD diamond windows for x-rays at the Advanced Photon Source

    International Nuclear Information System (INIS)

    Jaski, Y.; Cookson, D.

    2007-01-01

    Two types of directly cooled, 0.2-mm-thick, 8-mm-diameter clear aperture CVD diamond windows have been designed and successfully fabricated by two different vendors for use at the Advanced Photon Source (APS). Both windows contain a direct braze joint between the diamond and the cooled OFHC copper. These windows can be used to replace the front-end beryllium windows in high-heat-load applications and can be used as white beam windows in the beamlines. This paper presents the detailed design of the diamond windows, the thermal analysis of the diamond window under different thermal load configurations, as well as a complete list of the existing APS front-end beryllium window configurations and replacement scenarios. Small-angle scattering experiments have been conducted on both diamond windows and a polished beryllium window, and the results are presented.

  17. A comparative machining study of diamond-coated tools made by ...

    Indian Academy of Sciences (India)

    The successful implementation of diamond coatings also expedited similar research in the deposition of cubic boron nitride. This paper presents superhard coating tools, with emphasis on diamond-coated WC–Co tools, the corresponding deposition of technologies and the foreseen metal-cutting applications.

  18. X-ray diffraction characterization of epitaxial CVD diamond films with natural and isotopically modified compositions

    Energy Technology Data Exchange (ETDEWEB)

    Prokhorov, I. A., E-mail: igor.prokhorov@mail.ru [Russian Academy of Sciences, Space Materials Science Laboratory, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics”, Kaluga Branch (Russian Federation); Voloshin, A. E. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics” (Russian Federation); Ralchenko, V. G.; Bolshakov, A. P. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Romanov, D. A. [Bauman Moscow State Technical University, Kaluga Branch (Russian Federation); Khomich, A. A. [Russian Academy of Sciences, Prokhorov General Physics Institute (Russian Federation); Sozontov, E. A. [National Research Centre “Kurchatov Institute” (Russian Federation)

    2016-11-15

    Comparative investigations of homoepitaxial diamond films with natural and modified isotopic compositions, grown by chemical vapor deposition (CVD) on type-Ib diamond substrates, are carried out using double-crystal X-ray diffractometry and topography. The lattice mismatch between the substrate and film is precisely measured. A decrease in the lattice constant on the order of (Δa/a){sub relax} ∼ (1.1–1.2) × 10{sup –4} is recorded in isotopically modified {sup 13}C (99.96%) films. The critical thicknesses of pseudomorphic diamond films is calculated. A significant increase in the dislocation density due to the elastic stress relaxation is revealed by X-ray topography.

  19. Nickel/Diamond Composite Coating Prepared by High Speed Electrodeposition

    Directory of Open Access Journals (Sweden)

    ZHANG Yan

    2016-10-01

    Full Text Available Nickel/diamond composite coatings were prepared on the basis of a new high speed electroplating bath. The influence of additives, plating parameters and diamond concentration on internal stress was investigated in order to find the solution to decrease the stress introduced by high current density; the micro morphology of the coatings were observed by SEM. The bath and depositing parameters were optimized that thick nickel/diamond composite coatings with low internal stress can be high speed electroplated with a high cathode current density of 30A/dm2. The results show that when plated with bath composition and parameters as follows: sodium dodecyl sulfate 0.5g/L, ammonium acetate 3g/L, sodium citrate 1.5g/L, diamond particles 30g/L; pH value 3-4, temperature 50℃, the composite coatings prepared in high speed have the lowest internal stress.

  20. Oxide Dispersion Strengthened Iron Aluminide by CVD Coated Powders

    Energy Technology Data Exchange (ETDEWEB)

    Asit Biswas Andrew J. Sherman

    2006-09-25

    This I &I Category2 program developed chemical vapor deposition (CVD) of iron, aluminum and aluminum oxide coated iron powders and the availability of high temperature oxidation, corrosion and erosion resistant coating for future power generation equipment and can be used for retrofitting existing fossil-fired power plant equipment. This coating will provide enhanced life and performance of Coal-Fired Boilers components such as fire side corrosion on the outer diameter (OD) of the water wall and superheater tubing as well as on the inner diameter (ID) and OD of larger diameter headers. The program also developed a manufacturing route for readily available thermal spray powders for iron aluminide coating and fabrication of net shape component by powder metallurgy route using this CVD coated powders. This coating can also be applid on jet engine compressor blade and housing, industrial heat treating furnace fixtures, magnetic electronic parts, heating element, piping and tubing for fossil energy application and automotive application, chemical processing equipment , heat exchanger, and structural member of aircraft. The program also resulted in developing a new fabrication route of thermal spray coating and oxide dispersion strengthened (ODS) iron aluminide composites enabling more precise control over material microstructures.

  1. Influence of electrodes on the photon energy deposition in CVD-diamond dosimeters studied with the Monte Carlo code PENELOPE

    International Nuclear Information System (INIS)

    Gorka, B; Nilsson, B; Fernandez-Varea, J M; Svensson, R; Brahme, A

    2006-01-01

    A new dosimeter, based on chemical vapour deposited (CVD) diamond as the active detector material, is being developed for dosimetry in radiotherapeutic beams. CVD-diamond is a very interesting material, since its atomic composition is close to that of human tissue and in principle it can be designed to introduce negligible perturbations to the radiation field and the dose distribution in the phantom due to its small size. However, non-tissue-equivalent structural components, such as electrodes, wires and encapsulation, need to be carefully selected as they may induce severe fluence perturbation and angular dependence, resulting in erroneous dose readings. By introducing metallic electrodes on the diamond crystals, interface phenomena between high- and low-atomic-number materials are created. Depending on the direction of the radiation field, an increased or decreased detector signal may be obtained. The small dimensions of the CVD-diamond layer and electrodes (around 100 μm and smaller) imply a higher sensitivity to the lack of charged-particle equilibrium and may cause severe interface phenomena. In the present study, we investigate the variation of energy deposition in the diamond detector for different photon-beam qualities, electrode materials and geometric configurations using the Monte Carlo code PENELOPE. The prototype detector was produced from a 50 μm thick CVD-diamond layer with 0.2 μm thick silver electrodes on both sides. The mean absorbed dose to the detector's active volume was modified in the presence of the electrodes by 1.7%, 2.1%, 1.5%, 0.6% and 0.9% for 1.25 MeV monoenergetic photons, a complete (i.e. shielded) 60 Co photon source spectrum and 6, 18 and 50 MV bremsstrahlung spectra, respectively. The shift in mean absorbed dose increases with increasing atomic number and thickness of the electrodes, and diminishes with increasing thickness of the diamond layer. From a dosimetric point of view, graphite would be an almost perfect electrode

  2. A Fast CVD Diamond Beam Loss Monitor for LHC

    CERN Document Server

    Griesmayer, E; Dobos, D; Effinger, E; Pernegger, H

    2011-01-01

    Chemical Vapour Deposition (CVD) diamond detectors were installed in the collimation area of the CERN LHC to study their feasibility as Fast Beam Loss Monitors in a high-radiation environment. The detectors were configured with a fast, radiation-hard pre-amplifier with a bandwidth of 2 GHz. The readout was via an oscilloscope with a bandwidth of 1 GHz and a sampling rate of 5 GSPS. Despite the 250 m cable run from the detectors to the oscilloscope, single MIPs were resolved with a 2 ns rise time, a pulse width of 10 ns and a time resolution of less than 1 ns. Two modes of operation were applied. For the analysis of unexpected beam aborts, the loss profile was recorded in a 1 ms buffer and, for nominal operation, the histogram of the time structure of the losses was recorded in synchronism with the LHC period of 89.2 μs. Measurements during the LHC start-up (February to December 2010) are presented. The Diamond Monitors gave an unprecedented insight into the time structure of the beam losses resolving the 400...

  3. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  4. Influence of surface morphology and microstructure on performance of CVD tungsten coating under fusion transient thermal loads

    Energy Technology Data Exchange (ETDEWEB)

    Lian, Youyun, E-mail: lianyy@swip.ac.cn [Southwestern Institute of Physics, Chengdu (China); Liu, Xiang; Wang, Jianbao; Feng, Fan [Southwestern Institute of Physics, Chengdu (China); Lv, Yanwei; Song, Jiupeng [China National R& D Center for Tungsten Technology, Xiamen Tungsten Co. Ltd, 361026 Xiamen (China); Chen, Jiming [Southwestern Institute of Physics, Chengdu (China)

    2016-12-30

    Highlights: • Thick CVD-W coatingswere deposited at a rapid growth rate. • The polished CVD-W coatings have highly textured structure and exhibited a very strong preferred orientation. • The polished CVD tungsten coatings show superior thermal shock resistance as compared with that of the as-deposited coatings. • The crack formation of the polished CVD-W was almost suppressed at an elevated temperature. - Abstract: Thick tungsten coatings have been deposited by chemical vapor deposition (CVD) at a rapid growth rate. A series of tungsten coatings with different thickness and surface morphology were prepared. The surface morphology, microstructure and preferred orientation of the CVD tungsten coatings were investigated. Thermal shock analyses were performed by using an electron beam facility to study the influence of the surface morphology and the microstructure on the thermal shock resistance of the CVD tungsten coatings. Repetitive (100 pulses) ELMs-like thermal shock loads were applied at various temperatures between room temperature and 600 °C with pulse duration of 1 ms and an absorbed power density of up to 1 GW/m{sup 2}. The results of the tests demonstrated that the specific surface morphology and columnar crystal structure of the CVD tungsten have significant influence on the surface cracking threshold and crack propagation of the materials. The CVD tungsten coatings with a polished surface show superior thermal shock resistance as compared with that of the as-deposited coatings with a rough surface.

  5. Ion beam induced charge and cathodoluminescence imaging of response uniformity of CVD diamond radiation detectors

    CERN Document Server

    Sellin, P J; Galbiati, A; Maghrabi, M; Townsend, P D

    2002-01-01

    The uniformity of response of CVD diamond radiation detectors produced from high quality diamond film, with crystallite dimensions of >100 mu m, has been studied using ion beam induced charge imaging. A micron-resolution scanning alpha particle beam was used to produce maps of pulse height response across the device. The detectors were fabricated with a single-sided coplanar electrode geometry to maximise their sensitivity to the surface region of the diamond film where the diamond crystallites are highly ordered. High resolution ion beam induced charge images of single crystallites were acquired that demonstrate variations in intra-crystallite charge transport and the termination of charge transport at the crystallite boundaries. Cathodoluminescence imaging of the same crystallites shows an inverse correlation between the density of radiative centres and regions of good charge transport.

  6. Hard coatings by plasma CVD on polycarbonate for automotive and optical applications

    International Nuclear Information System (INIS)

    Schmauder, T.; Nauenburg, K.-D.; Kruse, K.; Ickes, G.

    2006-01-01

    In many applications, plastic surfaces need coatings as a protection against abrasion or weathering. Leybold Optics is developing Plasma CVD processes and machinery for transparent hard coatings (THC) for polycarbonate parts. In this paper we present the current features and remaining challenges of this technique. The coatings generally show excellent adhesion. Abrasion resistance is superior to commonly used lacquers. Climate durability of the coating has been improved to pass the tests demanded by automotive specifications. Current activities are focused on improving the durability under exposure to UV radiation. Estimations show that our high-rate plasma CVD hard coating process is also economically competitive to lacquering

  7. CVD diamond sensors for charged particle detection

    CERN Document Server

    Krammer, Manfred; Berdermann, E; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dencuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zöller, M

    2001-01-01

    CVD diamond material was used to build position-sensitive detectors for single-charged particles to be employed in high-intensity physics experiments. To obtain position information, metal contacts shaped as strips or pixels are applied to the detector surface for one- or two- dimensional coordinate measurement. Strip detectors 2*4 cm/sup 2/ in size with a strip distance of 50 mu m were tested. Pixel detectors of various pixel sizes were bump bonded to electronics chips and investigated. A key issue for the use of these sensors in high intensity experiments is the radiation hardness. Several irradiation experiments were carried out with pions, protons and neutrons exceeding a fluence of 10/sup 15/ particles/cm/sup 2/. The paper presents an overview of the results obtained with strip and pixel detectors in high-energy test beams and summarises the irradiation studies. (8 refs).

  8. Carbon-Based Wear Coatings: Properties and Applications

    Science.gov (United States)

    Miyoshi, Kazuhisa

    2003-01-01

    and friction; thermal conductivity; chemical and thermal inertness; corrosion and wear resistance; radiation resistance and biocompatibility; electronic, acoustic, and electrochemical characteristics; and environmental compatibility. These properties make diamond attractive for a wide range of diverse applications. In particular, chemical-vapor-deposited (CVD) diamond coatings offer a broad potential, since size and cost are not as limiting. The production of large, superhard diamond films or sheets at low cost make designer materials possible. This presentation is divided into two sections: properties and applications of hard coatings. The first section is concerned with the fundamental properties of the surfaces of CVD diamonds and related materials. The surface properties of hard coatings with favorable coefficients of friction (less than or equal to 0.1) and dimensional wear coefficients (less than or equal to 10(exp -6) cubic millimeters/N.m) in specific environments are discussed. The second section is devoted to applications. Examples of actual, successful applications and of potential challenging applications of the coatings.such as CVD diamond, diamondlike carbon, and cubic boron nitride-are described. Cutting tools coated with CVD diamond are of immediate commercial interest. Other applications, such as microelectromechanical systems (MEMS), valves, and bearings of CVD diamond, are being developed, but at a slow pace. There is a continually growing interest in commercializing diamondlike carbon for wear parts applications, such as biomedical parts and implants, forming dies, transport guides, magnetic tapes and disks, valves, and gears. Cubic boron nitride films are receiving attention because they can be used on tools to machine ferrous materials or on wear parts in sliding contact with ferrous materials.

  9. Polycrystalline diamond on self-assembled detonation nanodiamond: a viable route for fabrication of all-diamond preformed microcomponents

    International Nuclear Information System (INIS)

    Terranova, M L; Orlanducci, S; Tamburri, E; Guglielmotti, V; Toschi, F; Hampai, D; Rossi, M

    2008-01-01

    Surface assisted self-assembly of detonation nanodiamond particles (with typical sizes in the range 4-10 nm) has been obtained using different fractions of colloidal aqueous dispersions as starting material. The relationship between dispersion properties and structure/geometry of the aggregates deposited on Si or glass plates has been investigated. A series of differently shaped free-standing nanodiamond structures has been prepared, analysed and used as templates for the growth of polycrystalline diamond layers by the chemical vapour deposition (CVD) technique. The possibility of obtaining textured coating with a relatively strong preferred orientation (within a solid angle of about 0.6 srad) is also reported. Overall, the coupling of nanodiamond self-assembling to the CVD diamond growth enables one to produce specimens with complex 3D architectures. The proposed microfabrication methodology could represent a viable route for the production of free-standing all-diamond microcomponents, with tailored shapes and predefined crystalline features, to be used for advanced electronic applications

  10. Polycrystalline diamond on self-assembled detonation nanodiamond: a viable route for fabrication of all-diamond preformed microcomponents

    Energy Technology Data Exchange (ETDEWEB)

    Terranova, M L; Orlanducci, S; Tamburri, E; Guglielmotti, V; Toschi, F [Dipartimento di Scienze e Tecnologie Chimiche, MINASlab, Universita di Roma ' Tor Vergata' , Via della Ricerca Scientifica, 00133 Roma (Italy); Hampai, D [INFN-LNF Via E Fermi 40, Frascati (Italy); Rossi, M [Dipartimento di Energetica, Universita di Roma ' Sapienza' , Via Antonio Scarpa 16, 00161 Roma (Italy)

    2008-10-15

    Surface assisted self-assembly of detonation nanodiamond particles (with typical sizes in the range 4-10 nm) has been obtained using different fractions of colloidal aqueous dispersions as starting material. The relationship between dispersion properties and structure/geometry of the aggregates deposited on Si or glass plates has been investigated. A series of differently shaped free-standing nanodiamond structures has been prepared, analysed and used as templates for the growth of polycrystalline diamond layers by the chemical vapour deposition (CVD) technique. The possibility of obtaining textured coating with a relatively strong <110> preferred orientation (within a solid angle of about 0.6 srad) is also reported. Overall, the coupling of nanodiamond self-assembling to the CVD diamond growth enables one to produce specimens with complex 3D architectures. The proposed microfabrication methodology could represent a viable route for the production of free-standing all-diamond microcomponents, with tailored shapes and predefined crystalline features, to be used for advanced electronic applications.

  11. Graphitization of diamond with a metallic coating on ferritic matrix

    International Nuclear Information System (INIS)

    Cabral, Stenio Cavalier; Oliveira, Hellen Cristine Prata de; Filgueira, Marcello

    2010-01-01

    Iron is a strong catalyst of graphitization of diamonds. This graphitization occurs mainly during the processing of composites - conventional sintering or hot pressing, and during cutting operations. Aiming to avoid or minimize this deleterious effect, there is increasing use of diamond coated with metallic materials in the production of diamond tools processed via powder metallurgy. This work studies the influence of Fe on diamond graphitization diamond-coated Ti after mixing of Fe-diamonds, hot pressing parameters were performed with 3 minutes/35MPa/900 deg C - this is the condition of pressing hot used in industry for production of diamond tools. Microstructural features were observed by SEM, diffusion of Fe in diamond was studied by EDS. Graphitization was analyzed by X-ray diffraction and Raman spectroscopy. It was found that Fe not activate graphitization on the diamond under the conditions of hot pressing. (author)

  12. Development of a new neutron mirror made of deuterated Diamond-like carbon

    International Nuclear Information System (INIS)

    Sakurai, Dai; Chiba, Junsei; Shimizu, Hirohiko M; Nishimura, Daiki; Ino, Takashi; Kaneko, Naokatsu; Muto, Suguru; Kakusho, Nobunori; Seki, Yoshichika; Katayama, Ryo; Kitaguchi, Masaaki; Mishima, Kenji; Yamashita, Satoru; Ozeki, Kazuhide; Yoshioka, Tamaki

    2014-01-01

    We developed a new neutron mirror made of Diamond-like carbon (DLC). DLC is a film of amorphous carbon that has characteristics of both diamond and graphite. We produced DLC mirrors by ionization deposition method which is one of the chemical vapor deposition (CVD). Generally, DLC made by CVD contents a few tens of percentages of hydrogen. It decreases the Fermi potential of the DLC coating because hydrogen has negative Fermi potential. In order to increase the Fermi potential of the coating, we deuterated the DLC by using deuterated benzene for the source gas. The characteristics of the deuterated DLC(DDLC) coating was evaluated by RBS, ERDA, x-ray reflectivity, AFM. As a result, DDLC coating has 243 neV due to deuteration, which is the same level as Ni. The RMS of height of the DDLC was 0.6nm so that the DDLC coating can be applied for a focusing mirror or specular transportation of pulsed neutron. Besides, we also develop Hydrogen/Deuterium DLC multiple layer mirror. So far, 4 layers mirror has been succeeded.

  13. Novel diamond-coated tools for dental drilling applications.

    Science.gov (United States)

    Jackson, M J; Sein, H; Ahmed, W; Woodwards, R

    2007-01-01

    The application of diamond coatings on cemented tungsten carbide (WC-Co) tools has been the subject of much attention in recent years in order to improve cutting performance and tool life in orthodontic applications. WC-Co tools containing 6% Co metal and 94% WC substrate with an average grain size of 1 - 3 microm were used in this study. In order to improve the adhesion between diamond and WC substrates it is necessary to etch cobalt from the surface and prepare it for subsequent diamond growth. Alternatively, a titanium nitride (TiN) interlayer can be used prior to diamond deposition. Hot filament chemical vapour deposition (HFCVD) with a modified vertical filament arrangement has been employed for the deposition of diamond films to TiN and etched WC substrates. Diamond film quality and purity has been characterized using scanning electron microscopy (SEM) and micro Raman spectroscopy. The performances of diamond-coated WC-Co tools, uncoated WC-Co tools, and diamond embedded (sintered) tools have been compared by drilling a series of holes into various materials such as human tooth, borosilicate glass, and acrylic tooth materials. Flank wear has been used to assess the wear rates of the tools when machining biomedical materials such as those described above. It is shown that using an interlayer such as TiN prior to diamond deposition provides the best surface preparation for producing dental tools.

  14. Electrochemical applications of CVD diamond

    International Nuclear Information System (INIS)

    Pastor-Moreno, Gustavo

    2002-01-01

    Diamond technology has claimed an important role in industry since non-expensive methods of synthesis such as chemical vapour deposition allow to elaborate cheap polycrystalline diamond. This fact has increased the interest in the scientific community due to the outstanding properties of diamond. Since Pleskov published in 1987 the first paper in electrochemistry, many researchers around the world have studied different aspects of diamond electrochemistry such as reactivity, electrical structure, etc. As part of this worldwide interest these studies reveal new information about diamond electrodes. These studies report investigation of diamond electrodes characterized using structural techniques like scanning electrode microscopy and Raman spectroscopy. A new electrochemical theory based on surface states is presented that explains the metal and the semiconductor behaviour in terms of the doping level of the diamond electrode. In an effort to characterise the properties of diamond electrodes the band edges for hydrogen and oxygen terminated surface are located in organic solvent, hence avoiding possible interference that are present in aqueous solution. The determination of the band edges is performed by Mott-Schottky studies. These allow the calculation of the flat band potential and therefore the band edges. Additional cyclic voltammetric studies are presented for both types of surface termination. Mott-Schottky data and cyclic voltammograms are compared and explained in terms of the band edge localisation. Non-degenerately p-type semiconductor behaviour is presented for hydrogen terminated boron doped diamond. Graphitic surface states on oxidised surface boron doped diamond are responsible for the electrochemistry of redox couples that posses similar energy. Using the simple redox couple 1,4-benzoquinone effect of surface termination on the chemical behaviour of diamond is presented. Hydrogen sublayers in diamond electrodes seem to play an important role for the

  15. A new CVD diamond mosaic-detector for (n, α) cross-section measurements at the n{sub T}OF experiment at CERN

    Energy Technology Data Exchange (ETDEWEB)

    Weiß, C., E-mail: christina.weiss@cern.ch [Atominstitut, Technische Universität Wien (Austria); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Griesmayer, E. [Atominstitut, Technische Universität Wien (Austria); Guerrero, C. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Altstadt, S. [Johann-Wolfgang-Goethe Universität, Frankfurt (Germany); Andrzejewski, J. [Uniwersytet Łódzki, Lodz (Poland); Audouin, L. [Centre National de la Recherche Scientifique/IN2P3 - IPN, Orsay (France); Badurek, G. [Atominstitut, Technische Universität Wien (Austria); Barbagallo, M. [Istituto Nazionale di Fisica Nucleare, Bari (Italy); Bécares, V. [Centro de Investigaciones Energeticas Medioambientales y Tecnológicas (CIEMAT), Madrid (Spain); Bečvář, F. [Charles University, Prague (Czech Republic); Belloni, F. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); Berthoumieux, E. [Commissariat à l’Énergie Atomique (CEA) Saclay - Irfu, Gif-sur-Yvette (France); European Organization for Nuclear Research (CERN), Geneva (Switzerland); Billowes, J. [University of Manchester, Oxford Road, Manchester (United Kingdom); Boccone, V. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Bosnar, D. [Department of Physics, Faculty of Science, University of Zagreb (Croatia); Brugger, M.; Calviani, M. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); Calviño, F. [Universitat Politecnica de Catalunya, Barcelona (Spain); and others

    2013-12-21

    At the n{sub T}OF experiment at CERN a dedicated single-crystal chemical vapor deposition (sCVD) Diamond Mosaic-Detector has been developed for (n,α) cross-section measurements. The detector, characterized by an excellent time and energy resolution, consists of an array of 9 sCVD diamond diodes. The detector has been characterized and a cross-section measurement has been performed for the {sup 59}Ni(n,α){sup 56}Fe reaction in 2012. The characteristics of the detector, its performance and the promising preliminary results of the experiment are presented. -- Highlights: •A large-area detector of 3 ×3 sCVD diamonds was built for (n, α) measurements. •The {sup 59}Ni(n, α){sup 56}Fe cross-section was measured successfully at n{sub T}OF/CERN. •The energy resolution of the detector meets the expectations from simulations. •The reaction products during the measurement at n{sub T}OF could clearly be separated. •The detector is suitable for (n, α) measurements in a heterogeneous beam.

  16. Adhesive bonding and brazing of nanocrystalline diamond foil onto different substrate materials

    Science.gov (United States)

    Lodes, Matthias A.; Sailer, Stefan; Rosiwal, Stefan M.; Singer, Robert F.

    2013-10-01

    Diamond coatings are used in heavily stressed industrial applications to reduce friction and wear. Hot-filament chemical vapour deposition (HFCVD) is the favourable coating method, as it allows a coating of large surface areas with high homogeneity. Due to the high temperatures occurring in this CVD-process, the selection of substrate materials is limited. With the desire to coat light materials, steels and polymers a new approach has been developed. First, by using temperature-stable templates in the HFCVD and stripping off the diamond layer afterwards, a flexible, up to 150 μm thick and free standing nanocrystalline diamond foil (NCDF) can be produced. Afterwards, these NCDF can be applied on technical components through bonding and brazing, allowing any material as substrate. This two-step process offers the possibility to join a diamond layer on any desired surface. With a modified scratch test and Rockwell indentation testing the adhesion strength of NCDF on aluminium and steel is analysed. The results show that sufficient adhesion strength is reached both on steel and aluminium. The thermal stress in the substrates is very low and if failure occurs, cracks grow undercritically. Adhesion strength is even higher for the brazed samples, but here crack growth is critical, delaminating the diamond layer to some extent. In comparison to a sample directly coated with diamond, using a high-temperature CVD interlayer, the brazed as well as the adhesively bonded samples show very good performance, proving their competitiveness. A high support of the bonding layer could be identified as crucial, though in some cases a lower stiffness of the latter might be acceptable considering the possibility to completely avoid thermal stresses which occur during joining at higher temperatures.

  17. Effect of substrate roughness on growth of diamond by hot filament ...

    Indian Academy of Sciences (India)

    Administrator

    Polycrystalline diamond coatings are grown on Si (100) substrate by hot filament CVD technique. We investigate ... toughness of the film as the crystal changes its phase from monocrystalline to .... is a characteristic of graphite. We mark the.

  18. Development of a CVD silica coating for UK advanced gas-cooled nuclear reactor fuel pins

    International Nuclear Information System (INIS)

    Bennett, M.J.; Houlton, M.R.; Moore, D.A.; Foster, A.I.; Swidzinski, M.A.M.

    1983-04-01

    Vapour deposited silica coatings could extend the life of the 20% Cr/25% Ni niobium stabilised (20/25/Nb) stainless steel fuel cladding of the UK advanced gas cooled reactors. A CVD coating process developed originally to be undertaken at atmospheric pressure has now been adapted for operation at reduced pressure. Trials on the LP CVD process have been pursued to the production scale using commercial equipment. The effectiveness of the LP CVD silica coatings in providing protection to 20/25/Nb steel surfaces against oxidation and carbonaceous deposition has been evaluated. (author)

  19. Diamond-coated ATR prism for infrared absorption spectroscopy of surface-modified diamond nanoparticles

    Czech Academy of Sciences Publication Activity Database

    Remeš, Zdeněk; Kozak, Halyna; Rezek, Bohuslav; Ukraintsev, Egor; Babchenko, Oleg; Kromka, Alexander; Girard, H.A.; Arnault, J.-C.; Bergonzo, P.

    2013-01-01

    Roč. 270, APR (2013), s. 411-417 ISSN 0169-4332 R&D Projects: GA ČR GAP108/12/0910; GA ČR GPP205/12/P331; GA MŠk LH12236; GA MŠk LH12186 Institutional support: RVO:68378271 Keywords : ATR FTIR * CVD * hydrogenation * microwave * nanocrystalline diamond * nanopowder Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.538, year: 2013

  20. High-order Stokes and anti-Stokes Raman generation in monoisotopic CVD {sup 12}C-diamond

    Energy Technology Data Exchange (ETDEWEB)

    Kaminskii, Alexander A. [Institute of Crystallography, Russian Academy of Sciences, Moscow (Russian Federation); Lux, Oliver; Rhee, Hanjo; Eichler, Hans J. [Institute of Optics and Atomic Physics, Technische Universitaet Berlin (Germany); Ralchenko, Victor G.; Bolshakov, Andrey P. [General Physics Institute, Russian Academy of Sciences, Moscow (Russian Federation); Shirakawa, Akira; Yoneda, Hitoki [Institute for Laser Science, University of Electro-Communications, Tokyo (Japan)

    2016-06-15

    We determined, for the first time, the room temperature phonon energy related to the F{sub 2g} vibration mode (ω{sub SRS(12C)} ∝ 1333.2 cm{sup -1}) in a mono-crystalline single-isotope CVD {sup 12}C-diamond crystal by means of stimulated Raman scattering (SRS) spectroscopy. Picosecond one-micron excitation using a Nd{sup 3+}:Y{sub 3}Al{sub 5}O{sub 12}-laser generates a nearly two-octave spanning SRS frequency comb (∝12000 cm{sup -1}) consisting of higher-order Stokes and anti-Stokes components. The spacing of the spectral lines was found to differ by Δω{sub SRS} ∝ 0.9 cm{sup -1} from the comb spacing (ω{sub SRS(natC)} ∝ 1332.3 cm{sup -1}) when pumping a conventional CVD diamond crystal with a natural composition of the two stable carbon isotopes {sup 12}C (98.93%) and {sup 13}C (1.07%). (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Microwave plasma deposition of diamond like carbon coatings

    Indian Academy of Sciences (India)

    Abstract. The promising applications of the microwave plasmas have been appearing in the fields of chemical processes and semiconductor manufacturing. Applications include surface deposition of all types including diamond/diamond like carbon (DLC) coatings, etching of semiconductors, promotion of organic reactions, ...

  2. Interlayers Applied to CVD Diamond Deposition on Steel Substrate: A Review

    Directory of Open Access Journals (Sweden)

    Djoille Denner Damm

    2017-09-01

    Full Text Available Academics and industry have sought after combining the exceptional properties of diamonds with the toughness of steel. Since the early 1990s several partial solutions have been found but chemical vapor deposition (CVD diamond deposition on steel substrate continues to be a persistent problem. The main drawbacks are the high carbon diffusion from gas phase into substrate, the transition metals on the material surface that catalyze sp2 bond formation, instead of sp3 bonds, and the high thermal expansion coefficient (TEC mismatch between diamond and steels. An intermediate layer has been found necessary to increase diamond adhesion. Literature has proposed many efficient intermediate layers as a diffusion barrier for both, carbon and iron, but most intermediate layers shown have not solved TEC mismatch. In this review, we briefly discuss the solutions that exclusively work as diffusion barrier and discuss in a broader way the ones that also solve, or may potentially solve, the TEC mismatch problem. We examine some multilayers, the iron borides, the chromium carbides, and vanadium carbides. We go through the most relevant results of the last two and a half decades, including recent advances in our group. Vanadium carbide looks promising since it has shown excellent diffusion barrier properties, its TEC is intermediary between diamond and steel and, it has been thickened to manage thermal stress relief. We also review a new deposition technique to set up intermediate layers: laser cladding. It is promising because of its versatility in mixing different materials and fusing and/or sintering them on a steel surface. We conclude by remarking on new perspectives.

  3. Gas barrier properties of diamond-like carbon films coated on PTFE

    International Nuclear Information System (INIS)

    Ozeki, K.; Nagashima, I.; Ohgoe, Y.; Hirakuri, K.K.; Mukaibayashi, H.; Masuzawa, T.

    2009-01-01

    Diamond-like carbon (DLC) films were deposited on polytetrafluoroethylene (PTFE) using radio frequency (RF) plasma-enhanced chemical vapour deposition (PE-CVD). Before the DLC coating, the PTFE substrate was modified with a N 2 plasma pre-treatment to enhance the adhesive strength of the DLC to the substrate. The influences of the N 2 plasma pre-treatment and process pressure on the gas permeation properties of these DLC-coated PTFE samples were investigated. In the Raman spectra, the G peak position shifted to a lower wave number with increasing process pressure. With scanning electron microscopy (SEM), a network of microcracks was observed on the surface of the DLC film without N 2 plasma pre-treatment. The density of these cracks decreased with increasing process pressure. In the film subjected to a N 2 plasma pre-treatment, no cracks were observed at any process pressure. In the gas barrier test, the gas permeation decreased drastically with increasing film thickness and saturated at a thickness of 0.2 μm. The DLC-coated PTFE with the N 2 plasma pre-treatment exhibited a greater reduction in gas permeation than did the samples without pre-treatment. For both sample types, gas permeation decreased with increasing process pressure.

  4. Functional foam coatings inside tubing and custom developed diamond ignition targets

    International Nuclear Information System (INIS)

    Dawedeit, Christoph

    2014-01-01

    The development of inertial confinement fusion targets requires new efficient ablator materials and characteristic temperature measurements during confinement. Here, an aerogel coating process is developed to coat inside spheres and cylinders. The characteristic emission spectrum of doped aerogel inside diamond targets is used as temperature gauge during confinement. Coatings inside metal cylinders confirmed the generality of the coating procedure. In addition artificial diamond is characterized which represents an interesting ablator material.

  5. Electrochemical Behavior of Biomedical Titanium Alloys Coated with Diamond Carbon in Hanks' Solution

    Science.gov (United States)

    Gnanavel, S.; Ponnusamy, S.; Mohan, L.; Radhika, R.; Muthamizhchelvan, C.; Ramasubramanian, K.

    2018-03-01

    Biomedical implants in the knee and hip are frequent failures because of corrosion and stress on the joints. To solve this important problem, metal implants can be coated with diamond carbon, and this coating plays a critical role in providing an increased resistance to implants toward corrosion. In this study, we have employed diamond carbon coating over Ti-6Al-4V and Ti-13Nb-13Zr alloys using hot filament chemical vapor deposition method which is well-established coating process that significantly improves the resistance toward corrosion, wears and hardness. The diamond carbon-coated Ti-13Nb-13Zr alloy showed an increased microhardness in the range of 850 HV. Electrochemical impedance spectroscopy and polarization studies in SBF solution (simulated body fluid solution) were carried out to understand the in vitro behavior of uncoated as well as coated titanium alloys. The experimental results showed that the corrosion resistance of Ti-13Nb-13Zr alloy is relatively higher when compared with diamond carbon-coated Ti-6Al-4V alloys due to the presence of β phase in the Ti-13Nb-13Zr alloy. Electrochemical impedance results showed that the diamond carbon-coated alloys behave as an ideal capacitor in the body fluid solution. Moreover, the stability in mechanical properties during the corrosion process was maintained for diamond carbon-coated titanium alloys.

  6. The effect of percentage carbonon the CVD coating of plain carbon ...

    African Journals Online (AJOL)

    Two steels En 3 and En 39 were given a TiC-TiN CVD coating in the carburized and uncarburized conditions. The continuity of the coatings and their adherance to the substrate were examined. The thickness of the deposited coatings were also measured, their adherence to the substrate and their thickness was off ected by ...

  7. High-Resolution Energy and Intensity Measurements with CVD Diamond at REX-ISOLDE

    CERN Document Server

    Griesmayer, E; Dobos, D; Wenander, F; Bergoz, J; Bayle, H; Frais-Kölbl, H; Leinweber, J; Aumeyr, T; CERN. Geneva. BE Department

    2009-01-01

    A novel beam instrumentation device for the HIE-REX (High In-tensity and Energy REX) upgrade has been developed and tested at the On-Line Isotope Mass Separator ISOLDE, located at the European Laboratory for Particle Physics (CERN). This device is based on CVD diamond detector technology and is used for measuring the beam intensity, particle counting and measuring the energy spectrum of the beam. An energy resolution of 0.6% was measured at a carbon ion energy of 22.8 MeV. This corresponds to an energy spread of ± 140 keV.

  8. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S; Nugent, K W; Bettiol, A A; Kostidis, L I; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  9. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  10. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1996-01-01

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 μm 2 . After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs

  11. Influence of CVD diamond tips and Er:YAG laser irradiation on bonding of different adhesive systems to dentin

    OpenAIRE

    da Silva, Melissa Aline [UNESP; Nicolo, Rebeca Di [UNESP; Barcellos, Daphne Camara [UNESP; Batista, Graziela Ribeiro [UNESP; Pucci, Cesar Rogerio [UNESP; Torres, Carlos Rocha Gomes [UNESP; Borges, Alessandra Bühler [UNESP

    2013-01-01

    Aim: The aim of this study was to compare the microtensile bond strength of three adhesive systems, using different methods of dentin preparation. Materials and methods: A hundred and eight bovine teeth were used. The dentin from buccal face was exposed and prepared with three different methods, divided in 3 groups: Group 1 (DT)- diamond tip on a high-speed handpiece; Group 2 (CVD)-CVD tip on a ultrasonic handpiece; Group 3 (LA)-Er: YAG laser. The teeth were divided into 3 subgroups, accordin...

  12. Nanostructured diamond coatings for orthopaedic applications

    Science.gov (United States)

    CATLEDGE, S.A.; THOMAS, V.; VOHRA, Y.K.

    2013-01-01

    With increasing numbers of orthopaedic devices being implanted, greater emphasis is being placed on ceramic coating technology to reduce friction and wear in mating total joint replacement components, in order to improve implant function and increase device lifespan. In this chapter, we consider ultra-hard carbon coatings, with emphasis on nanostructured diamond, as alternative bearing surfaces for metallic components. Such coatings have great potential for use in biomedical implants as a result of their extreme hardness, wear resistance, low friction and biocompatibility. These ultra-hard carbon coatings can be deposited by several techniques resulting in a wide variety of structures and properties. PMID:25285213

  13. Measurement of the secondary electron emission from CVD diamond films using phosphor screen detectors

    Science.gov (United States)

    Vaz, R.; May, P. W.; Fox, N. A.; Harwood, C. J.; Chatterjee, V.; Smith, J. A.; Horsfield, C. J.; Lapington, J. S.; Osbourne, S.

    2015-03-01

    Diamond-based photomultipliers have the potential to provide a significant improvement over existing devices due to diamond's high secondary electron yield and narrow energy distribution of secondary electrons which improves energy resolution creating extremely fast response times. In this paper we describe an experimental apparatus designed to study secondary electron emission from diamond membranes only 400 nm thick, observed in reflection and transmission configurations. The setup consists of a system of calibrated P22 green phosphor screens acting as radiation converters which are used in combination with photomultiplier tubes to acquire secondary emission yield data from the diamond samples. The superior signal voltage sampling of the phosphor screen setup compared with traditional Faraday Cup detection allows the variation in the secondary electron yield across the sample to be visualised, allowing spatial distributions to be obtained. Preliminary reflection and transmission yield data are presented as a function of primary electron energy for selected CVD diamond films and membranes. Reflection data were also obtained from the same sample set using a Faraday Cup detector setup. In general, the curves for secondary electron yield versus primary energy for both measurement setups were comparable. On average a 15-20% lower signal was recorded on our setup compared to the Faraday Cup, which was attributed to the lower photoluminescent efficiency of the P22 phosphor screens when operated at sub-kilovolt bias voltages.

  14. Beneficial effects of laser irradiation on the deposition process of diamond/Ni60 composite coating with cold spray

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Jianhua, E-mail: laser@zjut.edu.cn; Yang, Lijing; Li, Bo; Li, Zhihong

    2015-03-01

    Graphical abstract: - Highlights: • The hard Ni-based alloy powder as matrix in diamond composite coating was studied. • The influence of laser on diamond distribution of composite coating was analyzed. • The graphitization of diamond was prohibited in supersonic laser deposition process. • The abrasion mechanisms of diamond/Ni60 composite coating were discussed. - Abstract: Although cold spray process has many unique advantages over other coating techniques, it has difficulties in depositing hard materials. This article presents a study in the beneficial effects of laser irradiation on the fabrication process of diamond/Ni60 composite coating using cold spray. The focus of this research is on the comparison between the composite coatings produced with laser cladding (LC) and with supersonic laser deposition (SLD), with respect to diamond graphitization and tribological properties, thus to demonstrate the beneficial effects of laser irradiation on the cold spray process. The influence of deposition temperature on the coating characteristics, such as deposition efficiency, diamond volume fraction, microstructure and phase is also investigated. The tribological properties of the diamond/Ni60 composite coating produced with SLD are determined using a pin-on-disc tribometer, along with the diamond/Ni60 coating produced using LC with the optimal process parameters for comparison. The experimental results show that with the assistance of laser irradiation, diamond/Ni60 composite coating can be successfully deposited using cold spray; the obtained coating is superior to that processed with LC, because SLD can suppress the graphitization of the diamond particles. The diamond/Ni60 composite coating fabricated with SLD has much better tribological properties than the LC coating.

  15. Diamonds for beam instrumentation

    International Nuclear Information System (INIS)

    Griesmayer, Erich

    2013-01-01

    Diamond is perhaps the most versatile, efficient and radiation tolerant material available for use in beam detectors with a correspondingly wide range of applications in beam instrumentation. Numerous practical applications have demonstrated and exploited the sensitivity of diamond to charged particles, photons and neutrons. In this paper, a brief description of a generic diamond detector is given and the interaction of the CVD diamond detector material with protons, electrons, photons and neutrons is presented. Latest results of the interaction of sCVD diamond with 14 MeV mono-energetic neutrons are shown.

  16. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  17. Diamond detector technology: status and perspectives

    CERN Document Server

    Kagan, Harris; Artuso, M; Bachmair, F; Bäni, L; Bartosik, M; Beacham, J; Beck, H P; Bellini,, V; Belyaev, V; Bentele, B; Berdermann, E; Bergonzo, P; Bes, A; Brom, J-M; Bruzzi, M; Cerv, M; Chiodini, G; Chren, D; Cindro, V; Claus, G; Collot, J; Cumalat, J; Dabrowski, A; D'Alessandro, R; De Boer, W; Dehning, B; Dorfer, C; Dunser, M; Eremin, V; Eusebi, R; Forcolin, G; Forneris, J; Frais-Kölbl, H; Gan, K K; Gastal, M; Giroletti, C; Goffe, M; Goldstein, J; Golubev, A; Gorišek, A; Grigoriev, E; Grosse-Knetter, J; Grummer, A; Gui, B; Guthoff, M; Haughton, I; Hiti, B; Hits, D; Hoeferkamp, M; Hofmann, T; Hosslet, J; Hostachy, J-Y; Hügging, F; Hutton, C; Jansen, H; Janssen, J; Kanxheri, K; Kasieczka, G; Kass, R; Kassel, F; Kis, M; Kramberger, G; Kuleshov, S; Lacoste, A; Lagomarsino, S; Lo Giudice, A; Lukosi, E; Maazouzi, C; Mandic, I; Mathieu, C; Mcfadden, N; Menichelli, M; Mikuž, M; Morozzi, A; Moss, J; Mountain, R; Murphy, S; Muškinja, M; Oh, A; Oliviero, P; Passeri, D; Pernegger, H; Perrino, R; Picollo, F; Pomorski, M; Potenza, R; Quadt, A; Re, A; Reichmann, M; Riley, G; Roe, S; Sanz, D; Scaringella, M; Schaefer, D; Schmidt, C J; Schnetzer, S; Schreiner, T; Sciortino, S; Scorzoni, A; Seidel, S; Servoli, L; Sopko, B; Sopko, V; Spagnolo, S; Spanier, S; Stenson, K; Stone, R; Sutera, C; Taylor, Aaron; Traeger, M; Tromson, D; Trischuk, W; Tuve, C; Uplegger, L; Velthuis, J; Venturi, N; Vittone, E; Wagner, Stephen; Wallny, R; Wang, J C; Weingarten, J; Weiss, C; Wengler, T; Wermes, N; Yamouni, M; Zavrtanik, M

    2017-01-01

    The status of material development of poly-crystalline chemical vapor deposition (CVD) diamond is presented. We also present beam test results on the independence of signal size on incident par-ticle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition the first beam test results from 3D detectors made with poly-crystalline CVD diamond are presented. Finally the first analysis of LHC data from the ATLAS Diamond Beam Monitor (DBM) which is based on pixelated poly-crystalline CVD diamond sensors bump-bonded to pixel readout elec-tronics is shown.

  18. Structure and wettability property of the growth and nucleation surfaces of thermally treated freestanding CVD diamond films

    Science.gov (United States)

    Pei, Xiaoqiang; Cheng, Shaoheng; Ma, Yibo; Wu, Danfeng; Liu, Junsong; Wang, Qiliang; Yang, Yizhou; Li, Hongdong

    2015-08-01

    This paper reports the surface features and wettability properties of the (1 0 0)-textured freestanding chemical vapor deposited (CVD) diamond films after thermal exposure in air at high temperature. Thermal oxidation at proper conditions eliminates selectively nanodiamonds and non-diamond carbons in the films. The growth side of the films contains (1 0 0)-oriented micrometer-sized columns, while its nucleation side is formed of nano-sized tips. The examined wettability properties of the as-treated diamond films reveal a hydrophilicity and superhydrophilicity on the growth surface and nucleation surface, respectively, which is determined by oxygen termination and geometry structure of the surface. When the surface termination is hydrogenated, the wettability of nucleation side converted from superhydrophilicity to high hydrophobicity, while the hydrophilicity of the growth side does not change significantly. The findings open a possibility for realizing freestanding diamond films having not only novel surface structures but also multifunction applications, especially proposed on the selected growth side or nucleation side in one product.

  19. CVD diamond metallization and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Fraimovitch, D., E-mail: dimitryf@mail.tau.ac.il [Faculty of Engineering, Tel Aviv University, 69978 Tel Aviv (Israel); Adelberd, A.; Marunko, S. [Faculty of Engineering, Tel Aviv University, 69978 Tel Aviv (Israel); Lefeuvre, G. [Micron Semiconductor Ltd. Royal Buildings, Marlborough Road, Lancing Business Park, BN15 8SJ (United Kingdom); Ruzin, A. [Faculty of Engineering, Tel Aviv University, 69978 Tel Aviv (Israel)

    2017-02-11

    In this study we compared three diamond substrate grades: polycrystalline, optical grade single crystal, and electronic grade single crystal for detector application. Beside the bulk type, the choice of contact material, pre-treatment, and sputtering process details have shown to alter significantly the diamond detector performance. Characterization of diamond substrate permittivity and losses indicate grade and crystallinity related, characteristic differences for frequencies in 1 kHz–1 MHz range. Substantial grade related variations were also observed in surface electrostatic characterization performed by contact potential difference (CPD) mode of an atomic force microscope. Study of conductivity variations with temperature reveal that bulk trap energy levels are also dependent on the crystal grade.

  20. CVD diamond metallization and characterization

    International Nuclear Information System (INIS)

    Fraimovitch, D.; Adelberd, A.; Marunko, S.; Lefeuvre, G.; Ruzin, A.

    2017-01-01

    In this study we compared three diamond substrate grades: polycrystalline, optical grade single crystal, and electronic grade single crystal for detector application. Beside the bulk type, the choice of contact material, pre-treatment, and sputtering process details have shown to alter significantly the diamond detector performance. Characterization of diamond substrate permittivity and losses indicate grade and crystallinity related, characteristic differences for frequencies in 1 kHz–1 MHz range. Substantial grade related variations were also observed in surface electrostatic characterization performed by contact potential difference (CPD) mode of an atomic force microscope. Study of conductivity variations with temperature reveal that bulk trap energy levels are also dependent on the crystal grade.

  1. Nanocrystalline diamond coatings for mechanical seals applications.

    Science.gov (United States)

    Santos, J A; Neto, V F; Ruch, D; Grácio, J

    2012-08-01

    A mechanical seal is a type of seal used in rotating equipment, such as pumps and compressors. It consists of a mechanism that assists the connection of the rotating shaft to the housings of the equipments, preventing leakage or avoiding contamination. A common cause of failure of these devices is end face wear out, thus the use of a hard, smooth and wear resistant coating such as nanocrystalline diamond would be of great importance to improve their working performance and increase their lifetime. In this paper, different diamond coatings were deposited by the HFCVD process, using different deposition conditions. Additionally, the as-grown films were characterized for, quality, morphology and microstructure using scanning electron microscopy (SEM) and Raman spectroscopy. The topography and the roughness of the films were characterized by atomic force microscopy (AFM).

  2. Effect of substrates on tribological properties of diamond-like carbon coating

    Directory of Open Access Journals (Sweden)

    Renhui ZHANG

    2017-06-01

    Full Text Available In order to well investigate the effect of different substrates on the friction and wear of diamond-like carbon (DLC coating, the DLC coatings are deposited on substrates like the high-speed steel (HSS, SiC and 304 stainless steel by using plasma enhanced chemical vapor deposition method. The diamond-like carbon is prepared. The microstructure of the coatings is characterized using SEM, TEM and Raman. The SEM results exhibit that the total thickness of the coatings is about 6.5 μm, and there's apparent interfaces between layers. The TEM results imply that the coatings have an amorphous structure. Raman spectrum exhibits that G and D peaks are observed, which implies that the deposition coatings are diamond-like carbon coating. The results of tribological tests show that the substrates have a significant effect on the friction and wear of the coating. For different substrates, the transfer film is found on the steel counterpart surface, the wear track of the HSS has a lowest width, and the DLC coating that deposited on HSS exhibits the lowest wear and low friction coefficient (about 0.1.The microstructure of different substrates wear track surfaces is analyzed by using Raman spectrum, and the lowest wear of the HSS is attributed to the lower degree of the graphitization. The research provides reference for preparing the DLC coating with excellent tribological properties.

  3. Fabrication and characterization of boron-doped nanocrystalline diamond-coated MEMS probes

    Science.gov (United States)

    Bogdanowicz, Robert; Sobaszek, Michał; Ficek, Mateusz; Kopiec, Daniel; Moczała, Magdalena; Orłowska, Karolina; Sawczak, Mirosław; Gotszalk, Teodor

    2016-04-01

    Fabrication processes of thin boron-doped nanocrystalline diamond (B-NCD) films on silicon-based micro- and nano-electromechanical structures have been investigated. B-NCD films were deposited using microwave plasma assisted chemical vapour deposition method. The variation in B-NCD morphology, structure and optical parameters was particularly investigated. The use of truncated cone-shaped substrate holder enabled to grow thin fully encapsulated nanocrystalline diamond film with a thickness of approx. 60 nm and RMS roughness of 17 nm. Raman spectra present the typical boron-doped nanocrystalline diamond line recorded at 1148 cm-1. Moreover, the change in mechanical parameters of silicon cantilevers over-coated with boron-doped diamond films was investigated with laser vibrometer. The increase of resonance to frequency of over-coated cantilever is attributed to the change in spring constant caused by B-NCD coating. Topography and electrical parameters of boron-doped diamond films were investigated by tapping mode AFM and electrical mode of AFM-Kelvin probe force microscopy (KPFM). The crystallite-grain size was recorded at 153 and 238 nm for boron-doped film and undoped, respectively. Based on the contact potential difference data from the KPFM measurements, the work function of diamond layers was estimated. For the undoped diamond films, average CPD of 650 mV and for boron-doped layer 155 mV were achieved. Based on CPD values, the values of work functions were calculated as 4.65 and 5.15 eV for doped and undoped diamond film, respectively. Boron doping increases the carrier density and the conductivity of the material and, consequently, the Fermi level.

  4. Improvement on p-type CVD diamond semiconducting properties by fabricating thin heavily-boron-doped multi-layer clusters isolated each other in unintentionally boron-doped diamond layer

    Science.gov (United States)

    Maida, Osamu; Tabuchi, Tomohiro; Ito, Toshimichi

    2017-12-01

    We have developed a new fabrication process to decrease the effective activation energy of B atoms doped in diamond without a significant decrease in the carrier mobility by fabricating heavily B-doped clusters with very low mobility which are embedded in lightly-B-doped diamond layers. The resistivities of the heavily B-doped and unintentionally B-doped diamond stacked layers had almost no temperature dependence, suggesting the presence of an impurity-band conduction in these diamond layers. On the other hand, the resistivities of the samples after the embedding growth process of the stacked layers that had been appropriately divided to innumerable small clusters by means of a suitable etching process increased with decreasing the temperature from 330 to 130 K. The effective activation energies and Hall mobilities at room temperature of both samples were estimated to be 0.21 eV, 106 cm2 V-1 s-1 for micron-sized clusters and 0.23 eV, 470 cm2 V-1 s-1 for nano-sized clusters, respectively, indicating that the diamond film structure fabricated in this work is effective for the improvement of the p-type performance for the B-doped CVD diamond.

  5. Flexible diamond-like carbon film coated on rubber

    NARCIS (Netherlands)

    Pei, Y.T.; Bui, X.L.; Pal, J.P. van der; Martinez-Martinez, D.; Hosson, J.Th.M. De

    2013-01-01

    Dynamic rubber seals are major sources of friction of lubrication systems and bearings, which may take up to 70% of the total friction. The solution we present is to coat rubbers with diamond-like carbon (DLC) thin films by which the coefficient of friction is reduced to less than one tenth. Coating

  6. Influence of CVD diamond tips and Er:YAG laser irradiation on bonding of different adhesive systems to dentin.

    Science.gov (United States)

    da Silva, Melissa Aline; Di Nicolo, Rebeca; Barcellos, Daphne Camara; Batista, Graziela Ribeiro; Pucci, Cesar Rogerio; Rocha Gomes Torres, Carlos; Borges, Alessandra Bühler

    2013-01-01

    The aim of this study was to compare the microtensile bond strength of three adhesive systems, using different methods of dentin preparation. A hundred and eight bovine teeth were used. The dentin from buccal face was exposed and prepared with three different methods, divided in 3 groups: Group 1 (DT)- diamond tip on a high-speed handpiece; Group 2 (CVD)-CVD tip on a ultrasonic handpiece; Group 3 (LA)-Er: YAG laser. The teeth were divided into 3 subgroups, according adhesive systems used: Subgroup 1-Adper Single Bond Plus/3M ESPE (SB) total-etch adhesive; Subgroup 2-Adper Scotchbond SE/3M ESPE (AS) selfetching adhesive; Subgroup 3-Clearfil SE Bond/Kuraray (CS) selfetching adhesive. Blocks of composite (Filtek Z250-3M ESPE) 4 mm high were built up and specimens were stored in deionized water for 24 hours at 37°C. Serial mesiodistal and buccolingual cuts were made and stick-like specimens were obtained, with transversal section of 1.0 mm(2). The samples were submitted to microtensile test at 1 mm/min and load of 10 kg in a universal testing machine. Data (MPa) were subjected to ANOVA and Tukey's tests (p adhesive produced significantly lower bond strength values compared to other groups. Surface treatment with Er: YAG laser associated with Single Bond Plus or Clearfil SE Bond adhesives and surface treatment with CVD tip associated with Adper Scotchbond SE adhesive produced significantly lower bond strength values compared to surface treatment with diamond or CVD tips associated with Single Bond Plus or Adper Scotchbond SE adhesives. Interactions between laser and the CVD tip technologies and the different adhesive systems can produce a satisfactory bonding strength result, so that these associations may be beneficial and enhance the clinical outcomes.

  7. Hard Coat Layers by PE-CVD Process for the Top Surface of Touch Panel

    International Nuclear Information System (INIS)

    Okunishi, T; Sato, N; Yazawa, K

    2013-01-01

    In order to protect surface from damages, the high pencil hardness and the high abrasion resistance are required for the hard coat layers on polyethylene telephthalate (PET) films for the application of touch panel surface. We have already found that the UV-curing-hard-coat-polymer (UHP) coated PET films show the poor abrasion resistance, while they have the high pencil hardness. It reveals that the abrasion resistance of hard coat layers of the UHP is not simply dependent on the pencil hardness. In this work, we have studied to improve the abrasion resistance of SiOC films as hard coat layers, which were formed by PE-CVD process on UHP coated PET. The abrasion resistance was evaluated by Taber abrasion test. PE-CVD hard coat layers which formed on UHP coater PET films have showed the better abrasion resistance and have the possibility of substitution to the thin glass sheets for touch panel application.

  8. Regeneration of FBGs during the HFCVD diamond-fiber coating process

    Science.gov (United States)

    Alberto, Nélia J.; Kalinowski, Hypolito J.; Neto, Victor F.; Nogueira, Rogério N.

    2014-08-01

    In this work, the regeneration of saturated fiber Bragg gratings during the diamond coating of the fiber is presented. Due to the high temperatures characteristic of the hot filament chemical vapor deposition (HFCVD) process (around 800 ºC), uniform fiber Bragg gratings (FBGs) are not appropriate to be coated. Nevertheless, regenerated Bragg gratings are a suitable solution for this drawback. Its production process involves the inscription of a saturated FBG followed by a time consuming heat treatment. Here it is proposed to take advantage of the high temperatures characteristic of the HFCVD process to simultaneous regenerate the grating and coat the fiber with diamond.

  9. Silicon Oil DC200(R)5CST as AN Alternative Coolant for Cvd Diamond Windows

    Science.gov (United States)

    Vaccaro, A.; Aiello, G.; Meier, A.; Schere, T.; Schreck, S.; Spaeh, P.; Strauss, D.; Gantenbein, G.

    2011-02-01

    The production of high power mm-wave radiation is a key technology in large fusion devices, since it is required for localized plasma heating and current drive. Transmission windows are necessary to keep the vacuum in the gyrotron system and also act as tritium barriers. With its excellent optical, thermal and mechanical properties, synthetic CVD (Chemical Vapor Deposition) diamond is the state of the art material for the cw transmission of the mm-wave beams produced by high power gyrotrons. The gyrotrons foreseen for the W7-X stellarator are designed for cw operation with 1 MW output power at 140 GHz. The output window unit is designed by TED (Thales Electron Devices, France) using a single edge circumferentially cooled CVD-diamond disc with an aperture of 88 mm. The window unit is cooled by de-ionized water which is considered as chemical aggressive and might cause corrosion in particular at the brazing. The use of a different coolant such as silicon oil could prevent this issue. The cooling circuit has been simulated by steady-state CFD analysis. A total power generation of 1 kW (RF transmission losses) with pure Gaussian distribution has been assumed for the diamond disc. The performance of both water and the industrial silicon oil DC200(R) have been investigated and compared with a focus on the temperature distribution on the disc, the pressure drop across the cooling path and the heat flux distribution. Although the silicon oil has a higher viscosity (~x5), lower heat capacity (~x1/2) and lower thermal conductivity (~x1/3), it has proven to be a good candidate as alternative to water.

  10. Modifying thin film diamond for electronic applications

    International Nuclear Information System (INIS)

    Baral, B.

    1999-01-01

    The unique combination of properties that diamond possesses are being exploited in both electronic and mechanical applications. An important step forward in the field has been the ability to grow thin film diamond by chemical vapour deposition (CVD) methods and to control parameters such as crystal orientation, dopant level and surface roughness. An extensive understanding of the surface of any potential electronic material is vital to fully comprehend its behaviour within device structures. The surface itself ultimately controls key aspects of device performance when interfaced with other materials. This study has provided insight into important chemical reactions on polycrystalline CVD diamond surfaces, addressing how certain surface modifications will ultimately affect the properties of the material. A review of the structure, bonding, properties and potential of diamond along with an account of the current state of diamond technology and CVD diamond growth is provided. The experimental chapter reviews bulk material and surface analytical techniques employed in this work and is followed by an investigation of cleaning treatments for polycrystalline CVD diamond aimed at removing non-diamond carbon from the surface. Selective acid etch treatments are compared and contrasted for efficacy with excimer laser irradiation and hydrogen plasma etching. The adsorption/desorption kinetics of potential dopant-containing precursors on polycrystalline CVD diamond surfaces have been investigated to compare their effectiveness at introducing dopants into the diamond during the growth stage. Both boron and sulphur-containing precursor compounds have been investigated. Treating polycrystalline CVD diamond in various atmospheres / combination of atmospheres has been performed to enhance electron field emission from the films. Films which do not emit electrons under low field conditions can be modified such that they emit at fields as low as 10 V/μm. The origin of this enhancement

  11. Diamond coating in accelerator structure

    International Nuclear Information System (INIS)

    Lin, X.E.

    1998-08-01

    The future accelerators with 1 GeV/m gradient will give rise to hundreds of degrees instantaneous temperature rise on the copper surface. Due to its extraordinary thermal and electric properties, diamond coating on the surface is suggested to remedy this problem. Multi-layer structure, with the promise of even more temperature reduction, is also discussed, and a proof of principle experiment is being carried out

  12. Ti:Pt:Au:Ni thin-film CVD diamond sensor ability for charged particle detection.

    Science.gov (United States)

    Kasiwattanawut, Haruetai; Tchouaso, Modeste Tchakoua; Prelas, Mark A

    2018-05-22

    This work demonstrates the development of diamond sensors with reliable contacts using a new metallization formula, which can operate under high-pressure gas environment. The metallization was created using thin film layers of titanium, platinum, gold and nickel deposited on a single crystal electronic grade CVD diamond chip. The contacts were 2 mm in diameter with thickness of 50/5/20/150 nm of Ti:Pt:Au:Ni. The optimum operating voltage of the sensor was determined from the current-voltage measurements. The sensor was calibrated with 239 Pu and 241 Am alpha radiation sources at 300 V. The energy resolution of the Ti:Pt:Au:Ni diamond sensor was determined to be 7.6% at 5.2 MeV of 239 Pu and 2.2% at 5.48 MeV of 241 Am. The high-pressure gas loading environment under which this sensor was used is discussed. Specifically, experimental observations are described using hydrogen loading of nickel as a means of initiating low energy nuclear reactions. No neutrons, electrons, ions or other ionizing radiations were observed in these experiments. Copyright © 2018 Elsevier Ltd. All rights reserved.

  13. Development of Fe-AI CVD coatings as tritium permeation barrier

    International Nuclear Information System (INIS)

    Chabrol, C.; Schuster, F.; Le Marois, G.; Serra, E.

    1998-01-01

    A specific method of pack-cementation has been developed in order to perform a CVD deposition of Fe-Al alloys on a martensitic steel at a temperature which respects its mechanical properties ( 2 Al 5 intermetallic phases thanks to a low pressure deposition and using a special cement containing Fe and Al. These coatings coated with an Al 2 O 3 top layer drastically reduce the permeation rate of deuterium with regards to the uncoated substrate. (authors)

  14. Polycrystalline Diamond Coating of Additively Manufactured Titanium for Biomedical Applications.

    Science.gov (United States)

    Rifai, Aaqil; Tran, Nhiem; Lau, Desmond W; Elbourne, Aaron; Zhan, Hualin; Stacey, Alastair D; Mayes, Edwin L H; Sarker, Avik; Ivanova, Elena P; Crawford, Russell J; Tran, Phong A; Gibson, Brant C; Greentree, Andrew D; Pirogova, Elena; Fox, Kate

    2018-03-14

    Additive manufacturing using selective laser melted titanium (SLM-Ti) is used to create bespoke items across many diverse fields such as medicine, defense, and aerospace. Despite great progress in orthopedic implant applications, such as for "just in time" implants, significant challenges remain with regards to material osseointegration and the susceptibility to bacterial colonization on the implant. Here, we show that polycrystalline diamond coatings on these titanium samples can enhance biological scaffold interaction improving medical implant applicability. The highly conformable coating exhibited excellent bonding to the substrate. Relative to uncoated SLM-Ti, the diamond coated samples showed enhanced mammalian cell growth, enriched apatite deposition, and reduced microbial S. aureus activity. These results open new opportunities for novel coatings on SLM-Ti devices in general and especially show promise for improved biomedical implants.

  15. Toward deep blue nano hope diamonds: heavily boron-doped diamond nanoparticles.

    Science.gov (United States)

    Heyer, Steffen; Janssen, Wiebke; Turner, Stuart; Lu, Ying-Gang; Yeap, Weng Siang; Verbeeck, Jo; Haenen, Ken; Krueger, Anke

    2014-06-24

    The production of boron-doped diamond nanoparticles enables the application of this material for a broad range of fields, such as electrochemistry, thermal management, and fundamental superconductivity research. Here we present the production of highly boron-doped diamond nanoparticles using boron-doped CVD diamond films as a starting material. In a multistep milling process followed by purification and surface oxidation we obtained diamond nanoparticles of 10-60 nm with a boron content of approximately 2.3 × 10(21) cm(-3). Aberration-corrected HRTEM reveals the presence of defects within individual diamond grains, as well as a very thin nondiamond carbon layer at the particle surface. The boron K-edge electron energy-loss near-edge fine structure demonstrates that the B atoms are tetrahedrally embedded into the diamond lattice. The boron-doped diamond nanoparticles have been used to nucleate growth of a boron-doped diamond film by CVD that does not contain an insulating seeding layer.

  16. Heparin free coating on PLA membranes for enhanced hemocompatibility via iCVD

    Science.gov (United States)

    Wang, Hui; Shi, Xiao; Gao, Ailin; Lin, Haibo; Chen, Yongliang; Ye, Yumin; He, Jidong; Liu, Fu; Deng, Gang

    2018-03-01

    In the present work, we report one-step immobilization of nano-heparin coating on PLA membranes via initiated chemical vapor deposition (iCVD) for enhanced hemocompatibility. The nano-coating introduced onto the membrane surface via the crosslinking of P(MAA-EGDA) was confirmed by the FTIR, SEM and weight measurement respectively. The negative carboxyl groups could form the hydration interaction with the protein and platelets and electrostatic interaction with amide groups of thrombin by the mediation of antithrombin, which is similar but different with heparin. The P(MAA-EGDA) coated membranes showed suppressed platelet adhesion and prolonged clotting time (APTTs increased to 59 s, PTs increased to 20.4 s, TTs increased to 17.5 s, and the FIBs declined by 30 mg/dL). Moreover, the complement activation tests demonstrated the formation of C3a and C5a was inhibited. All results demonstrated that the nano-coating of P(MAA-EGDA) via iCVD significantly enhanced the hemocompatibility of PLA membranes, which is also applicable for various membranes.

  17. Performance of CVD and CVR coated carbon-carbon in high temperature hydrogen

    Science.gov (United States)

    Adams, J. W.; Barletta, R. E.; Svandrlik, J.; Vanier, P. E.

    As a part of the component development process for the particle bed reactor (PBR), it is necessary to develop coatings which will be time and temperature stable at extremely high temperatures in flowing hydrogen. These coatings must protect the underlying carbon structure from attack by the hydrogen coolant. Degradation which causes small changes in the reactor component, e.g. hole diameter in the hot frit, can have a profound effect on operation. The ability of a component to withstand repeated temperature cycles is also a coating development issue. Coatings which crack or spall under these conditions would be unacceptable. While refractory carbides appear to be the coating material of choice for carbon substrates being used in PBR components, the method of applying these coatings can have a large effect on their performance. Two deposition processes for these refractory carbides, chemical vapor deposition (CVD) and chemical vapor reaction (CVR), have been evaluated. Screening tests for these coatings consisted of testing of coated 2-D and 3-D weave carbon-carbon in flowing hot hydrogen at one atmosphere. Carbon loss from these samples was measured as a function of time. Exposure temperatures up to 3,000 K were used, and samples were exposed in a cyclical fashion cooling to room temperature between exposures. The results of these measurements are presented along with an evaluation of the relative merits of CVR and CVD coatings for this application.

  18. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  19. Electronic properties of single crystal CVD diamond and its suitability for particle detection in hadron physics experiments

    Energy Technology Data Exchange (ETDEWEB)

    Pomorski, Michal

    2008-08-07

    This work presents the study on the suitability of single-crystal CVD diamond for particle-detection systems in present and future hadron physics experiments. Different characterization methods of the electrical and the structural properties were applied to gain a deeper understanding of the crystal quality and the charge transport properties of this novel semiconductor material. First measurements regarding the radiation tolerance of diamond were performed with sensors heavily irradiated with protons and neutrons. Finally, detector prototypes were fabricated and successfully tested in various experiments as time detectors for minimum ionizing particles as well as for spectroscopy of heavy ions at the energy ranges available at the SIS and the UNILAC facilities of GSI. (orig.)

  20. Electronic properties of single crystal CVD diamond and its suitability for particle detection in hadron physics experiments

    International Nuclear Information System (INIS)

    Pomorski, Michal

    2008-01-01

    This work presents the study on the suitability of single-crystal CVD diamond for particle-detection systems in present and future hadron physics experiments. Different characterization methods of the electrical and the structural properties were applied to gain a deeper understanding of the crystal quality and the charge transport properties of this novel semiconductor material. First measurements regarding the radiation tolerance of diamond were performed with sensors heavily irradiated with protons and neutrons. Finally, detector prototypes were fabricated and successfully tested in various experiments as time detectors for minimum ionizing particles as well as for spectroscopy of heavy ions at the energy ranges available at the SIS and the UNILAC facilities of GSI. (orig.)

  1. Tests of Hercules/Ultramet CVD coatings in hot hydrogen

    International Nuclear Information System (INIS)

    Vanier, P.E.; Barletta, R.E.; Svandrlik, J.; Adams, J.

    1992-01-01

    The effort by Hercules and Ultramet to produce CVD NbC coatings, which protect carbon-carbon substrates from hot hydrogen, has had some success but with some limitations. The coatings increase the survival time at atmospheric pressure and low flow rate of hydrogen by about a factor of 40 over uncoated graphite at 3000 K. However, the grain structure is not stable at these temperatures, and after about 10--20 minutes, the coating is subject to rapid degradation by spalling in visible chunks. Further experiments would have to be performed to determine the effects of higher pressures and flow rates, for it is not clear how these factors would affect the survival time, considering that one of the main failure mechanisms is independent of the atmosphere

  2. Physics and applications of CVD diamond

    CERN Document Server

    Koizumi, Satoshi; Nesladek, Milos

    2008-01-01

    Here, leading scientists report on why and how diamond can be optimized for applications in bioelectronic and electronics. They cover such topics as growth techniques, new and conventional doping mechanisms, superconductivity in diamond, and excitonic properties, while application aspects include quantum electronics at room temperature, biosensors as well as diamond nanocantilevers and SAWs.Written in a review style to make the topic accessible for a wider community of scientists working in interdisciplinary fields with backgrounds in physics, chemistry, biology and engineering, this is e

  3. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  4. Noble gas studies in vapor-growth diamonds: Comparison with shock-produced diamonds and the origin of diamonds in ureilites

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, Junichi; Fukunaga, Kazuya; Ito, Keisuke (Kobe Univ. (Japan))

    1991-07-01

    The authors synthesized vapor-trowth diamonds by two kinds of Chemical Vapor Deposition (CVD) using microwave (MWCVD) and hot filament (HFCVD) ionization of gases, and examined elemental abundances and isotopic compositions of the noble gases trapped in the diamonds. It is remarkable that strong differences existed in the noble gas concentrations in the two kinds of CVD diamonds: large amounts of noble gases were trapped in the MWCVD diamonds, but not in the HFCVD diamonds. The heavy noble gases (Ar to Xe) in the MWCVD diamonds were highly fractionated compared with those in the ambient atmosphere, and are in good agreement with the calculated fractionation patterns for plasma at an electron temperature of 7,000-9,000 K. These results strongly suggest that the trapping mechanism of noble gases in CVD diamonds is ion implantation during diamond growth. The degrees of fractionation of heavy noble gases were also in good agreement with those in ureilites. The vapor-growth hypothesis is discussed in comparison with the impact-shock hypothesis as a better model for the origin of diamonds in ureilites. The diamond (and graphite, amorphous carbon, too) may have been deposited on early condensates such as Re, Ir, W, etc. This model explains the chemical features of vein material in ureilites; the refractory siderophile elements are enriched in carbon and noble gases and low in normal siderophiles. The vapor-growth model is also compatible with the oxygen isotopic data of ureilites which suggests that nebular processes are primarily responsible for the composition of ureilites.

  5. Commissioning and first operation of the pCVD diamond ATLAS Beam Conditions Monitor

    CERN Document Server

    Dobos, D

    2009-01-01

    The main aim of the ATLAS Beam Conditions Monitor is to protect the ATLAS Inner Detector silicon trackers from high radiation doses caused by LHC beam incidents, e.g. magnet failures. The BCM uses in total 16 1x1 cm2 500 μm thick polycrystalline chemical vapor deposition (pCVD) diamond sensors. They are arranged in 8 positions around the ATLAS LHC interaction point. Time difference measurements with sub nanosecond resolution are performed to distinguish between particles from a collision and spray particles from a beam incident. An abundance of the latter leads the BCM to provoke an abort of the LHC beam. A FPGA based readout system with a sampling rate of 2.56 GHz performs the online data analysis and interfaces the results to ATLAS and the beam abort system. The BCM diamond sensors, the detector modules and their readout system are described. Results of the operation with the first LHC beams are reported and results of commissioning and timing measurements (e.g. with cosmic muons) in preparation for first ...

  6. Effect of substrate bias voltage on tensile properties of single crystal silicon microstructure fully coated with plasma CVD diamond-like carbon film

    Science.gov (United States)

    Zhang, Wenlei; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2018-06-01

    Tensile strength and strength distribution in a microstructure of single crystal silicon (SCS) were improved significantly by coating the surface with a diamond-like carbon (DLC) film. To explore the influence of coating parameters and the mechanism of film fracture, SCS microstructure surfaces (120 × 4 × 5 μm3) were fully coated by plasma enhanced chemical vapor deposition (PECVD) of a DLC at five different bias voltages. After the depositions, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), thermal desorption spectrometry (TDS), surface profilometry, atomic force microscope (AFM) measurement, and nanoindentation methods were used to study the chemical and mechanical properties of the deposited DLC films. Tensile test indicated that the average strength of coated samples was 13.2-29.6% higher than that of the SCS sample, and samples fabricated with a -400 V bias voltage were strongest. The fracture toughness of the DLC film was the dominant factor in the observed tensile strength. Deviations in strength were reduced with increasingly negative bias voltage. The effect of residual stress on the tensile properties is discussed in detail.

  7. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  8. Diamond sensors for future high energy experiments

    Energy Technology Data Exchange (ETDEWEB)

    Bachmair, Felix, E-mail: bachmair@phys.ethz.ch

    2016-09-21

    With the planned upgrade of the LHC to High-Luminosity-LHC [1], the general purpose experiments ATLAS and CMS are planning to upgrade their innermost tracking layers with more radiation tolerant technologies. Chemical Vapor Deposition CVD diamond is one such technology. CVD diamond sensors are an established technology as beam condition monitors in the highest radiation areas of all LHC experiments. The RD42-collaboration at CERN is leading the effort to use CVD diamond as a material for tracking detectors operating in extreme radiation environments. An overview of the latest developments from RD42 is presented including the present status of diamond sensor production, a study of pulse height dependencies on incident particle flux and the development of 3D diamond sensors.

  9. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  10. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  11. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  12. The adhesion and tribology analysis of polycrystalline diamond coated on Si3N4 substrate

    International Nuclear Information System (INIS)

    Hamzah, E.; Purniawan, A.

    2007-01-01

    Cauliflower and octahedral structure of polycrystalline diamond was deposited on silicon nitride (Si 3 N 4 ) substrate by microwave plasma assisted chemical vapor deposition (MPACVD). In our earlier work, the effects of deposition parameters namely, % Methane (CH 4 ) diluted in hydrogen (H 2 ), microwave power and chamber pressure on surface morphology were studied. In the present work the polycrystalline diamond coating adhesion and tribology behaviour were investigated. Rockwell C hardness tester and pin-on-disk tribometer were used to determine the adhesion and tribology properties on diamond coating, respectively. The morphology of the diamond before and after indentation was observed using field emission scanning electron microscopy (FESEM). Based on the adhesion analysis results, it was found that octahedral morphology has better adhesion than cauliflower structure. It was indicated by few cracks and less peel-off than cauliflower structure of polycrystalline diamond after indentation. Based on tribology analysis, polycrystalline diamond coated on substrate has better tribology properties than uncoated substrate. (author)

  13. Diamond-coated ATR prism for infrared absorption spectroscopy of surface-modified diamond nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Remes, Z., E-mail: remes@fzu.cz [Institute of Physics of the ASCR, v.v.i., Cukrovarnicka 10, Praha 6 (Czech Republic); Kozak, H.; Rezek, B.; Ukraintsev, E.; Babchenko, O.; Kromka, A. [Institute of Physics of the ASCR, v.v.i., Cukrovarnicka 10, Praha 6 (Czech Republic); Girard, H.A.; Arnault, J.-C.; Bergonzo, P. [CEA, LIST, Diamond Sensors Laboratory, F-91191 Gif-sur-Yvette (France)

    2013-04-01

    Linear antenna microwave chemical vapor deposition process was used to homogeneously coat a 7 cm long silicon prism by 85 nm thin nanocrystalline diamond (NCD) layer. To show the advantages of the NCD-coated prism for attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) of nanoparticles, we apply diamond nanoparticles (DNPs) of 5 nm nominal size with various surface modifications by a drop-casting of their methanol dispersions. ATR-FTIR spectra of as-received, air-annealed, plasma-oxidized, and plasma-hydrogenated DNPs were measured in the 4000–1500 cm{sup −1} spectral range. The spectra show high spectral resolution, high sensitivity to specific DNP surface moieties, and repeatability. The NCD coating provides mechanical protection against scratching and chemical stability of the surface. Moreover, unlike on bare Si surface, NCD hydrophilic properties enable optically homogeneous coverage by DNPs with some aggregation on submicron scale as evidenced by scanning electron microscopy and atomic force microscopy. Compared to transmission FTIR regime with KBr pellets, direct and uniform deposition of DNPs on NCD-ATR prism significantly simplifies and speeds up the analysis (from days to minutes). We discuss prospects for in situ monitoring of surface modifications and molecular grafting.

  14. Diamond-coated ATR prism for infrared absorption spectroscopy of surface-modified diamond nanoparticles

    Science.gov (United States)

    Remes, Z.; Kozak, H.; Rezek, B.; Ukraintsev, E.; Babchenko, O.; Kromka, A.; Girard, H. A.; Arnault, J.-C.; Bergonzo, P.

    2013-04-01

    Linear antenna microwave chemical vapor deposition process was used to homogeneously coat a 7 cm long silicon prism by 85 nm thin nanocrystalline diamond (NCD) layer. To show the advantages of the NCD-coated prism for attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR) of nanoparticles, we apply diamond nanoparticles (DNPs) of 5 nm nominal size with various surface modifications by a drop-casting of their methanol dispersions. ATR-FTIR spectra of as-received, air-annealed, plasma-oxidized, and plasma-hydrogenated DNPs were measured in the 4000-1500 cm-1 spectral range. The spectra show high spectral resolution, high sensitivity to specific DNP surface moieties, and repeatability. The NCD coating provides mechanical protection against scratching and chemical stability of the surface. Moreover, unlike on bare Si surface, NCD hydrophilic properties enable optically homogeneous coverage by DNPs with some aggregation on submicron scale as evidenced by scanning electron microscopy and atomic force microscopy. Compared to transmission FTIR regime with KBr pellets, direct and uniform deposition of DNPs on NCD-ATR prism significantly simplifies and speeds up the analysis (from days to minutes). We discuss prospects for in situ monitoring of surface modifications and molecular grafting.

  15. Parametric assessments on hydrogenic species transport in CVD-diamond vacuum windows used in ITER ECRH

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, C.; Sedano, L.A.; Fernandez, A. [EURATOM-CIEMAT Association, Madrid (Spain)

    2007-07-01

    Insulators used as H and CD and Diagnostic vacuum windows (VW) in ITER may become modified by surface intake and bulk transport of hydrogenic species. VW, operating under severe radiation levels, have a primary safety role as tritium confinement barriers. Ionizing radiation enhances the (H') uptake and release at surfaces and diffusion rates in the bulk. Radiation damage modifies the material's bulk trapped inventories by increasing steady state trapping centre concentrations. An experimental programme is ongoing at CIEMAT, to quantify radiation effects on H transport characteristics and also the possible impact on the VW. The reference material for ECRH VW is CVD diamond. As a parallel activity, parametric transport assessments are being made in order to obtain a wide evaluation of permeation fluxes, ranges, and soluted/trapped inventories in CVD diamond. Transport models have been developed based on extended capabilities of finite differences integrator tool TMAP7. Special attention is paid to radiation parameters defining inputs acting on transport magnitudes. These inputs have been analysed by using ionizing/damage radiation transport tools such as MCNPX/SRIM. VW operational scenarios are discussed with special attention being paid to the ITER design assumptions for the values of H-species source terms (neutrals and implanted) in the ECRH system. The available material transport database with and without radiation is discussed and taken as reference for this parametric exercise. Permeation fluxes through base materials are shown to be below DRG limits established for ITER. (orig.)

  16. Superconductivity and low temperature electrical transport in B-doped CVD nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Milos Nesladek, Jiri J. Mares, Dominique Tromson, Christine Mer, Philippe Bergonzo, Pavel Hubik and Jozef Kristofik

    2006-01-01

    Full Text Available In this work, we report on superconductivity (SC found in thin B-doped nanocrystalline diamond films, prepared by the PE-CVD technique. The thickness of the films varies from about 100 to 400 nm, the films are grown on low-alkaline glass at substrate temperatures of about 500–700 °C. The SIMS measurements show that films can be heavily doped with boron in concentrations in the range of 3×1021 cm−3. The Raman spectra show Fano resonances, confirming the substitutional B-incorporation. The low temperature magnetotransport measurements reveal a positive magnetoresistance. The SC transition is observed at about Tc=1.66 K. A simple theory exploiting the concept of weak localization accounting for this transition is proposed.

  17. P-type diamond stripper foils for tandem ion accelerators

    International Nuclear Information System (INIS)

    Phelps, A.W.; Koba, R.

    1989-01-01

    The authors are developing a stripper foil composed of a p-type diamond membrane. This diamond stripper foil should have a significantly longer lifetime than any conventional stripper foil material. To be useful for stripper foils, the boron-doped blue diamond films must be thinner than 0.8 μm and pore-free. Two methods are compared for their ability to achieve a high nucleation areal density on a W substrate. Some W substrates were first coated with think layer of boron (≤20 nm) in order to enhance nucleation. Other W substrates were scratched with submicron diamond particles. A schematic diagram of the stripper foil is shown. Stripper foils were created by etching away the central area of W substrates. The diamond membrane was then supported by an annulus of W. Tungsten was selected as a ring-support material because of its high electrical and thermal conductivity, relatively low thermal expansion, and proven suitability as a substrate for diamond CVD. Warping or fracture of the diamond film after substrate etch-back was investigated

  18. Effect of TiO2/Al2O3 film coated diamond abrasive particles by sol-gel technique

    Science.gov (United States)

    Hu, Weida; Wan, Long; Liu, Xiaopan; Li, Qiang; Wang, Zhiqi

    2011-04-01

    The diamond abrasive particles were coated with the TiO2/Al2O3 film by the sol-gel technique. Compared with the uncoated diamonds, the TiO2/Al2O3 film was excellent material for the protection of the diamonds. The results showed that the incipient oxidation temperature of the TiO2/Al2O3 film coated diamonds in air atmosphere was 775 °C, which was higher 175 °C than that of the uncoated diamonds. And the coated diamonds also had better the diamond's single particle compressive strength and the impact toughness than that of uncoated diamonds after sintering at 750 °C. For the vitrified bond grinding wheels, replacing the uncoated diamonds with the TiO2/Al2O3 film coated diamonds, the volume expansion of the grinding wheels decreased from 6.2% to 3.4%, the porosity decreased from 35.7% to 25.7%, the hardness increased from 61.2HRC to 66.5HRC and the grinding ratio of the vitrified bond grinding wheels to carbide alloy (YG8) increased from 11.5 to 19.1.

  19. Charge transfer effects, thermo and photochromism in single crystal CVD synthetic diamond.

    Science.gov (United States)

    Khan, R U A; Martineau, P M; Cann, B L; Newton, M E; Twitchen, D J

    2009-09-09

    We report on the effects of thermal treatment and ultraviolet irradiation on the point defect concentrations and optical absorption profiles of single crystal CVD synthetic diamond. All thermal treatments were below 850 K, which is lower than the growth temperature and unlikely to result in any structural change. UV-visible absorption spectroscopy measurements showed that upon thermal treatment (823 K), various broad absorption features diminished: an absorption band at 270 nm (used to deduce neutral single substitutional nitrogen (N(S)(0)) concentrations) and also two broad features centred at approximately 360 and 520 nm. Point defect centre concentrations as a function of temperature were also deduced using electron paramagnetic resonance (EPR) spectroscopy. Above ∼500 K, we observed a decrease in the concentration of N(S)(0) centres and a concomitant increase in the negatively charged nitrogen-vacancy-hydrogen (NVH) complex (NVH(-)) concentration. Both transitions exhibited an activation energy between 0.6 and 1.2 eV, which is lower than that for the N(S)(0) donor (∼1.7 eV). Finally, it was found that illuminating samples with intense short-wave ultraviolet light recovered the N(S)(0) concentration and also the 270, 360 and 520 nm absorption features. From these results, we postulate a valence band mediated charge transfer process between NVH and single nitrogen centres with an acceptor trap depth for NVH of 0.6-1.2 eV. Because the loss of N(S)(0) concentration is greater than the increase in NVH(-) concentration we also suggest the presence of another unknown acceptor existing at a similar energy to NVH. The extent to which the colour in CVD synthetic diamond is dependent on prior history is discussed.

  20. CVD diamond - fundamental phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Yarbrough, W.A. [Pennsylvania State Univ., University Park (United States)

    1993-01-01

    This compilation of figures and diagrams addresses the basic physical processes involved in the chemical vapor deposition of diamond. Different methods of deposition are illustrated. For each method, observations are made of the prominent advantages and disadvantages of the technique. Chemical mechanisms of nucleation are introduced.

  1. Facility for continuous CVD coating of ceramic fibers

    International Nuclear Information System (INIS)

    Moore, A.W.

    1992-01-01

    The development of new and improved ceramic fibers has spurred the development and application of ceramic composites with improved strength, strength/weight ratio, toughness, and durability at increasingly high temperatures. For many systems, the ceramic fibers can be used without modification because their properties are adequate for the chosen application. However, in order to take maximum advantage of the fiber properties, it is often necessary to coat the ceramic fibers with materials of different composition and properties. Examples include (1) boron nitride coatings on a ceramic fiber, such as Nicalon silicon carbide, to prevent reaction with the ceramic matrix during fabrication and to enhance fiber pullout and increase toughness when the ceramic composite is subjected to stress; (2) boron nitride coatings on ceramic yarns, such as Nicalon for use as thermal insulation panels in an aerodynamic environment, to reduce abrasion of the Nicalon and to inhibit the oxidation of free carbon contained within the Nicalon; and (3) ceramic coatings on carbon yarns and carbon-carbon composites to permit use of these high-strength, high-temperature materials in oxidizing environments at very high temperatures. This paper describes a pilot-plant-sized CVD facility for continuous coating of ceramic fibers and some of the results obtained so far with this equipment

  2. Chemical vapour deposition synthetic diamond: materials, technology and applications

    International Nuclear Information System (INIS)

    Balmer, R S; Brandon, J R; Clewes, S L; Dhillon, H K; Dodson, J M; Friel, I; Inglis, P N; Madgwick, T D; Markham, M L; Mollart, T P; Perkins, N; Scarsbrook, G A; Twitchen, D J; Whitehead, A J; Wilman, J J; Woollard, S M

    2009-01-01

    Substantial developments have been achieved in the synthesis of chemical vapour deposition (CVD) diamond in recent years, providing engineers and designers with access to a large range of new diamond materials. CVD diamond has a number of outstanding material properties that can enable exceptional performance in applications as diverse as medical diagnostics, water treatment, radiation detection, high power electronics, consumer audio, magnetometry and novel lasers. Often the material is synthesized in planar form; however, non-planar geometries are also possible and enable a number of key applications. This paper reviews the material properties and characteristics of single crystal and polycrystalline CVD diamond, and how these can be utilized, focusing particularly on optics, electronics and electrochemistry. It also summarizes how CVD diamond can be tailored for specific applications, on the basis of the ability to synthesize a consistent and engineered high performance product.

  3. Cutting characteristics of dental diamond burs made with CVD technology Características de corte de pontas odontológicas diamantadas obtidas pela tecnologia CVD

    Directory of Open Access Journals (Sweden)

    Luciana Monti Lima

    2006-04-01

    Full Text Available The aim of this study was to determine the cutting ability of chemical vapor deposition (CVD diamond burs coupled to an ultrasonic dental unit handpiece for minimally invasive cavity preparation. One standard cavity was prepared on the mesial and distal surfaces of 40 extracted human third molars either with cylindrical or with spherical CVD burs. The cutting ability was compared regarding type of substrate (enamel and dentin and direction of handpiece motion. The morphological characteristics, width and depth of the cavities were analyzed and measured using scanning electron micrographs. Statistical analysis using the Kruskal-Wallis test (p O objetivo deste estudo foi determinar a habilidade de corte das pontas de diamante obtidas pelo processo de deposição química a vapor (CVD associadas ao aparelho de ultra-som no preparo cavitário minimamente invasivo. Uma cavidade padronizada foi preparada nas faces mesial e distal de 40 terceiros molares, utilizando-se pontas de diamante CVD cilíndrica e esférica. A habilidade de corte foi comparada quanto ao tipo de substrato (esmalte e dentina e quanto à direção do movimento realizado com a ponta. As características morfológicas, a largura e profundidade das cavidades foram analisadas e medidas em microscopia eletrônica de varredura. A análise estatística pelo teste de Kruskal-Wallis (p < 0,05 revelou que a largura e profundidade das cavidades foram significativamente maiores em dentina. Cavidades mais largas foram obtidas quando se utilizou a ponta de diamante CVD cilíndrica, e mais profundas quando a ponta esférica foi empregada. A direção do movimento da ponta não influenciou o tamanho das cavidades, sendo os cortes produzidos pelas pontas de diamante CVD precisos e conservadores.

  4. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  5. Selective formation of diamond-like carbon coating by surface catalyst patterning

    DEFF Research Database (Denmark)

    Palnichenko, A.V.; Mátéfi-Tempfli, M.; Mátéfi-Tempfli, Stefan

    2004-01-01

    The selective formation of diamond-like carbon coating by surface catalyst patterning was studied. DLC films was deposited using plasma enhanced chemical vapor deposition, filtered vacuum arc deposition, laser ablation, magnetron sputtering and ion-beam lithography methods. The DLC coatings were...

  6. Aluminum and aluminum/silicon coatings on ferritic steels by CVD-FBR technology

    International Nuclear Information System (INIS)

    Perez, F.J.; Hierro, M.P.; Trilleros, J.A.; Carpintero, M.C.; Sanchez, L.; Bolivar, F.J.

    2006-01-01

    The use of chemical vapor deposition by fluidized bed reactors (CVD-FBR) offers some advantages in comparison to other coating techniques such as pack cementation, because it allows coating deposition at lower temperatures than pack cementation and at atmospheric pressure without affecting the mechanical properties of material due to heat treatments of the bulk during coating process. Aluminum and aluminum/silicon coatings have been obtained on two different ferritics steels (P-91 and P-92). The coatings were analyzed using several techniques like SEM/EDX and XRD. The results indicated that both coatings were form by Fe 2 Al 5 intermetallic compound, and in the co-deposition the Si was incorporated to the Fe 2 Al 5 structure in small amounts

  7. Diamond detectors for high energy physics experiments

    Science.gov (United States)

    Bäni, L.; Alexopoulos, A.; Artuso, M.; Bachmair, F.; Bartosik, M.; Beacham, J.; Beck, H.; Bellini, V.; Belyaev, V.; Bentele, B.; Berdermann, E.; Bergonzo, P.; Bes, A.; Brom, J.-M.; Bruzzi, M.; Cerv, M.; Chiodini, G.; Chren, D.; Cindro, V.; Claus, G.; Collot, J.; Cumalat, J.; Dabrowski, A.; D'Alessandro, R.; Dauvergne, D.; de Boer, W.; Dorfer, C.; Dünser, M.; Eremin, V.; Eusebi, R.; Forcolin, G.; Forneris, J.; Frais-Kölbl, H.; Gallin-Martel, L.; Gallin-Martel, M. L.; Gan, K. K.; Gastal, M.; Giroletti, C.; Goffe, M.; Goldstein, J.; Golubev, A.; Gorišek, A.; Grigoriev, E.; Grosse-Knetter, J.; Grummer, A.; Gui, B.; Guthoff, M.; Haughton, I.; Hiti, B.; Hits, D.; Hoeferkamp, M.; Hofmann, T.; Hosslet, J.; Hostachy, J.-Y.; Hügging, F.; Hutton, C.; Jansen, H.; Janssen, J.; Kagan, H.; Kanxheri, K.; Kasieczka, G.; Kass, R.; Kassel, F.; Kis, M.; Konovalov, V.; Kramberger, G.; Kuleshov, S.; Lacoste, A.; Lagomarsino, S.; Lo Giudice, A.; Lukosi, E.; Maazouzi, C.; Mandic, I.; Mathieu, C.; Menichelli, M.; Mikuž, M.; Morozzi, A.; Moss, J.; Mountain, R.; Murphy, S.; Muškinja, M.; Oh, A.; Oliviero, P.; Passeri, D.; Pernegger, H.; Perrino, R.; Picollo, F.; Pomorski, M.; Potenza, R.; Quadt, A.; Re, A.; Reichmann, M.; Riley, G.; Roe, S.; Sanz, D.; Scaringella, M.; Schaefer, D.; Schmidt, C. J.; Schnetzer, S.; Sciortino, S.; Scorzoni, A.; Seidel, S.; Servoli, L.; Smith, S.; Sopko, B.; Sopko, V.; Spagnolo, S.; Spanier, S.; Stenson, K.; Stone, R.; Sutera, C.; Tannenwald, B.; Taylor, A.; Traeger, M.; Tromson, D.; Trischuk, W.; Tuve, C.; Uplegger, L.; Velthuis, J.; Venturi, N.; Vittone, E.; Wagner, S.; Wallny, R.; Wang, J. C.; Weingarten, J.; Weiss, C.; Wengler, T.; Wermes, N.; Yamouni, M.; Zavrtanik, M.

    2018-01-01

    Beam test results of the radiation tolerance study of chemical vapour deposition (CVD) diamond against different particle species and energies is presented. We also present beam test results on the independence of signal size on incident particle rate in charged particle detectors based on un-irradiated and irradiated poly-crystalline CVD diamond over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2. The pulse height of the sensors was measured with readout electronics with a peaking time of 6 ns. In addition functionality of poly-crystalline CVD diamond 3D devices was demonstrated in beam tests and 3D diamond detectors are shown to be a promising technology for applications in future high luminosity experiments.

  8. Nucleation and adhesion of diamond films on Co cemented tungsten carbide

    Energy Technology Data Exchange (ETDEWEB)

    Polini, R.; Santarelli, M.; Traversa, E.

    1999-12-01

    Diamond deposits were grown using hot filament chemical vapor deposition (CVD) on pretreated Co cemented tungsten carbide (WC-Co) substrates with an average grain size of 6 {micro}m. Depositions were performed with 0.5 or 1.0% methane concentration and with substrate temperatures ranging from 750 to 1,000 C. Diamond nucleation densities were measured by scanning electron microscopy. Scratched and bias-enhanced nucleation pretreated substrates showed the larger nucleation densities. Etching of the WC performed by Murakami's reagent, followed by surface-Co dissolution (MP pretreatment), led to a roughened but scarcely nucleating surface. The performance of a scratching prior to the MP pretreatment allowed one to increase the nucleation density, due scratching-induced defects, confined in the outermost layer of WC grains, which act as nucleation sites. Smaller nucleation densities were observed with increasing the substrate temperature and reducing the methane concentration, confirming that diamond nucleates via a heterogeneous process. The adhesion of continuous films was evaluated by the reciprocal of the slope of crack radius-indentation load functions. The substrate pretreatments mainly affected the film adhesion, while the influence of CVD process conditions was minor. The two main factors that improve the diamond film adhesion are the coating-substrate contact area and the surface-Co removal.

  9. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  10. Plasmon-Organic Fiber Interactions in Diamond-Like Carbon Coated Nanostructured Gold Films

    DEFF Research Database (Denmark)

    Cielecki, Pawel Piotr; Sobolewska, Elżbieta Karolina; Kostiučenko, Oksana

    2017-01-01

    Gold is the most commonly used plasmonic material, however soft and prone to mechanical deformations. It has been shown that the durability of gold plasmonic substrates can be improved by applying a protective diamond-like carbon (DLC) coating. In this work, we investigate the influence of such p......Gold is the most commonly used plasmonic material, however soft and prone to mechanical deformations. It has been shown that the durability of gold plasmonic substrates can be improved by applying a protective diamond-like carbon (DLC) coating. In this work, we investigate the influence...

  11. Prediction of the properties of PVD/CVD coatings with the use of FEM analysis

    International Nuclear Information System (INIS)

    Śliwa, Agata; Mikuła, Jarosław; Gołombek, Klaudiusz; Tański, Tomasz; Kwaśny, Waldemar; Bonek, Mirosław; Brytan, Zbigniew

    2016-01-01

    Highlights: • Prediction of the properties of PVD/CVD coatings with the use of (FEM) analysis. • Stress distribution in multilayer Ti/Ti(C,N)/CrN, Ti/Ti(C,N)/(Ti,Al)N coatings. • The experimental values of stresses were determined on X-ray diffraction patterns. • An FEM model was established for the purpose of building a computer simulation. - Abstract: The aim of this paper is to present the results of the prediction of the properties of PVD/CVD coatings with the use of finite element method (FEM) analysis. The possibility of employing the FEM in the evaluation of stress distribution in multilayer Ti/Ti(C,N)/CrN, Ti/Ti(C,N)/(Ti,Al)N, Ti/(Ti,Si)N/(Ti,Si)N, and Ti/DLC/DLC coatings by taking into account their deposition conditions on magnesium alloys has been discussed in the paper. The difference in internal stresses in the zone between the coating and the substrate is caused by, first of all, the difference between the mechanical and thermal properties of the substrate and the coating, and also by the structural changes that occur in these materials during the fabrication process, especially during the cooling process following PVD and CVD treatment. The experimental values of stresses were determined based on X-ray diffraction patterns that correspond to the modelled values, which in turn can be used to confirm the correctness of the accepted mathematical model for testing the problem. An FEM model was established for the purpose of building a computer simulation of the internal stresses in the coatings. The accuracy of the FEM model was verified by comparing the results of the computer simulation of the stresses with experimental results. A computer simulation of the stresses was carried out in the ANSYS environment using the FEM method. Structure observations, chemical composition measurements, and mechanical property characterisations of the investigated materials has been carried out to give a background for the discussion of the results that were

  12. Prediction of the properties of PVD/CVD coatings with the use of FEM analysis

    Energy Technology Data Exchange (ETDEWEB)

    Śliwa, Agata; Mikuła, Jarosław; Gołombek, Klaudiusz; Tański, Tomasz; Kwaśny, Waldemar; Bonek, Mirosław, E-mail: miroslaw.bonek@polsl.pl; Brytan, Zbigniew

    2016-12-01

    Highlights: • Prediction of the properties of PVD/CVD coatings with the use of (FEM) analysis. • Stress distribution in multilayer Ti/Ti(C,N)/CrN, Ti/Ti(C,N)/(Ti,Al)N coatings. • The experimental values of stresses were determined on X-ray diffraction patterns. • An FEM model was established for the purpose of building a computer simulation. - Abstract: The aim of this paper is to present the results of the prediction of the properties of PVD/CVD coatings with the use of finite element method (FEM) analysis. The possibility of employing the FEM in the evaluation of stress distribution in multilayer Ti/Ti(C,N)/CrN, Ti/Ti(C,N)/(Ti,Al)N, Ti/(Ti,Si)N/(Ti,Si)N, and Ti/DLC/DLC coatings by taking into account their deposition conditions on magnesium alloys has been discussed in the paper. The difference in internal stresses in the zone between the coating and the substrate is caused by, first of all, the difference between the mechanical and thermal properties of the substrate and the coating, and also by the structural changes that occur in these materials during the fabrication process, especially during the cooling process following PVD and CVD treatment. The experimental values of stresses were determined based on X-ray diffraction patterns that correspond to the modelled values, which in turn can be used to confirm the correctness of the accepted mathematical model for testing the problem. An FEM model was established for the purpose of building a computer simulation of the internal stresses in the coatings. The accuracy of the FEM model was verified by comparing the results of the computer simulation of the stresses with experimental results. A computer simulation of the stresses was carried out in the ANSYS environment using the FEM method. Structure observations, chemical composition measurements, and mechanical property characterisations of the investigated materials has been carried out to give a background for the discussion of the results that were

  13. The characteristics of ESR and 3-D TL spectra of diamonds

    International Nuclear Information System (INIS)

    Liu Shunsheng; Lu Xu; Fu Huifang

    2003-01-01

    Electron Spin Resonance (ESR) and 3-dimensional Thermoluminescence (3-D TL) spectra of natural diamond, high temperature-high pressure artificial diamond and high temperature-low pressure chemical vapor deposited (CVD) diamond were determined. The characteristics of spectra have been studied. It is found that isolated nitrogen, nitrogen exchange pair and nitrogen atom pair (S=1) are main forms of electron spin resonance nitrogen in natural and high temperature-high pressure artificial diamonds. The spectrum of CVD diamond is sampler, and contains only one peak caused by suspended bond of unsaturated carbon ones. For 3-D TL spectra, natural diamond has two peaks (∼370 nm and ∼510 nm) in 100-200 degree C temperature range, high temperature-high pressure artificial diamond only has ∼370 nm peak, and CVD diamond only has ∼500 nm peak. These characteristics would be useful for the quality inspection and classification of diamonds, as well as for the study of geologic actions associated with natural diamond

  14. Architectural design of diamond-like carbon coatings for long-lasting joint replacements.

    Science.gov (United States)

    Liu, Yujing; Zhao, Xiaoli; Zhang, Lai-Chang; Habibi, Daryoush; Xie, Zonghan

    2013-07-01

    Surface engineering through the application of super-hard, low-friction coatings as a potential approach for increasing the durability of metal-on-metal replacements is attracting significant attention. In this study innovative design strategies are proposed for the development of diamond-like-carbon (DLC) coatings against the damage caused by wear particles on the joint replacements. Finite element modeling is used to analyze stress distributions induced by wear particles of different sizes in the newly-designed coating in comparison to its conventional monolithic counterpart. The critical roles of architectural design in regulating stress concentrations and suppressing crack initiation within the coatings is elucidated. Notably, the introduction of multilayer structure with graded modulus is effective in modifying the stress field and reducing the magnitude and size of stress concentrations in the DLC diamond-like-carbon coatings. The new design is expected to greatly improve the load-carrying ability of surface coatings on prosthetic implants, in addition to the provision of damage tolerance through crack arrest. Copyright © 2013 Elsevier B.V. All rights reserved.

  15. Pulsed laser deposition of metallic films on the surface of diamond particles for diamond saw blades

    International Nuclear Information System (INIS)

    Jiang Chao; Luo Fei; Long Hua; Hu Shaoliu; Li Bo; Wang Youqing

    2005-01-01

    Ti or Ni films have been deposited on the diamond particle surfaces by pulsed laser deposition. Compressive resistance of the uncoated and coated diamond particles was measured, respectively, in the experiments. The compressive resistance of the Ti-coated diamonds particles was found much higher than that of the uncoated ones. It increased by 39%. The surface morphology is observed by the metallography microscope. The surface of the uncoated diamonds particles had many hollows and flaws, while the surface of Ni-coated diamond particles was flat and smooth, and the surface of Ti-coated diamond particles had some metal masses that stood out of the surface of the Ti-coated film. The components of the metallic films of diamond particles were examined by X-ray diffractometry (XRD). TiC was found formed on the Ti-coated diamond surface, which resulted in increased surface bonding strength between the diamond particles and the Ti films. Meanwhile, TiC also favored improving the bonding strength between the coated diamond particles and the binding materials. Moreover, the bending resistance of the diamond saw blade made of Ti-coated diamond was drastically higher than that of other diamond saw blades, which also played an important role in improving the blade's cutting ability and lifetime. Therefore, it was most appropriate that the diamond saw blade was made of Ti-coated diamond particles rather than other materials

  16. Diamond MEMS: wafer scale processing, devices, and technology insertion

    Science.gov (United States)

    Carlisle, J. A.

    2009-05-01

    Diamond has long held the promise of revolutionary new devices: impervious chemical barriers, smooth and reliable microscopic machines, and tough mechanical tools. Yet it's been an outsider. Laboratories have been effectively growing diamond crystals for at least 25 years, but the jump to market viability has always been blocked by the expense of diamond production and inability to integrate with other materials. Advances in chemical vapor deposition (CVD) processes have given rise to a hierarchy of carbon films ranging from diamond-like carbon (DLC) to vapor-deposited diamond coatings, however. All have pros and cons based on structure and cost, but they all share some of diamond's heralded attributes. The best performer, in theory, is the purest form of diamond film possible, one absent of graphitic phases. Such a material would capture the extreme hardness, high Young's modulus and chemical inertness of natural diamond. Advanced Diamond Technologies Inc., Romeoville, Ill., is the first company to develop a distinct chemical process to create a marketable phase-pure diamond film. The material, called UNCD® (for ultrananocrystalline diamond), features grain sizes from 3 to 300 nm in size, and layers just 1 to 2 microns thick. With significant advantages over other thin films, UNCD is designed to be inexpensive enough for use in atomic force microscopy (AFM) probes, microelectromechanical machines (MEMS), cell phone circuitry, radio frequency devices, and even biosensors.

  17. Linear antenna microwave plasma CVD diamond deposition at the edge of no-growth region of C-H-O ternary diagram

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Hruška, Karel; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2612-2615 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP205/12/0908 Institutional research plan: CEZ:AV0Z10100521 Keywords : C-H-O phase diagram * nanocrystalline diamond * plasma enhanced CVD * Raman spectroscopy * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  18. Effect of absorbing coating on ablation of diamond by IR laser pulses

    Science.gov (United States)

    Kononenko, T. V.; Pivovarov, P. A.; Khomich, A. A.; Khmel'nitskii, R. A.; Konov, V. I.

    2018-03-01

    We study the possibility of increasing the efficiency and quality of laser ablation microprocessing of diamond by preliminary forming an absorbing layer on its surface. The laser pulses having a duration of 1 ps and 10 ns at a wavelength of 1030 nm irradiate the polycrystalline diamond surface coated by a thin layer of titanium or graphite. We analyse the dynamics of the growth of the crater depth as a function of the number of pulses and the change in optical transmission of the ablated surface. It is found that under irradiation by picosecond pulses the preliminary graphitisation allows one to avoid the laser-induced damage of the internal diamond volume until the appearance of a self-maintained graphitised layer. The absorbing coating (both graphite and titanium) much stronger affects ablation by nanosecond pulses, since it reduces the ablation threshold by more than an order of magnitude and allows full elimination of a laser-induced damage of deep regions of diamond and uncontrolled explosive ablation in the nearsurface layer.

  19. Flexible diamond-like carbon thin film coated rubbers: fundamentals and applications

    NARCIS (Netherlands)

    Pei, Y.T.

    2015-01-01

    Dynamic rubber seals are major sources of friction of lubrication systems and bearings, which may take up to 75% of the total friction. The solution we present is to coat rubbers with diamond-like carbon (DLC) thin film, by which the coefficient of friction is reduced to less than one tenth. Coating

  20. CVD - main concepts, applications and restrictions

    International Nuclear Information System (INIS)

    Bliznakovska, B.; Milosevski, M.; Krawczynski, S.; Meixner, C.; Koetter, H.R.

    1993-01-01

    Despite of the fact that the existing literature covering the last two decades is plentiful with data related to CVD, this document is an attempt to provide to a reader a concise information about the nature of CVD technique at production of technologically important materials as well as to point at special references. The text is devided into three separate sections. The first section, The Main Features of CVD, is intended to give a complete comprehensive picture of the CVD technique through process description and characterization. The basic principles of thermodynamics, CVD chemical reactions classification, CVD chemical kinetics aspects and physics of CVD (with particular attention on the gas-flow phenomena) are included. As an additional aspect, in CVD unavoidable aspect however, the role of the coating/substrate compatibility on the overall process was outlined. The second section, CVD Equipment, concerns on the pecularities of the complete CVD unit pointing out the individual significances of the separate parts, i.e. pumping system, reactor chamber, control system. The aim of this section is to create to a reader a basic understanding of the arising problems but connected to be actual CVD performance. As a final goal of this review the reader's attention is turned upon the CVD applications for production of an up-to-date important class of coatings such as multilayer coatings. (orig.)

  1. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    Energy Technology Data Exchange (ETDEWEB)

    Buijnsters, J.G. [Applied Physics, IMM, Department of Applied Physics, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Shankar, P. [Metallurgy and Materials Group, Indira Gandhi Centre for Atomic Research, Kalpakkam-603 102 (India); Enckevort, W.J.P. van [Solid State Chemistry, IMM, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Schermer, J.J. [Experimental Solid State Physics III, IMM, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands); Meulen, J.J. ter [Applied Physics, IMM, Department of Applied Physics, Radboud University Nijmegen, Toernooiveld 1, 6525 ED Nijmegen (Netherlands)]. E-mail: htmeulen@sci.kun.nl

    2005-03-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0% range lead to a transition from micro- towards nanocrystalline films. X-ray diffraction analysis shows Mo{sub 2}C interlayer formation. Indentation, scratch and sand erosion tests are used to evaluate the adhesion strength of the diamond films. Using steel ball indenters (diameter 750 {mu}m), indentation and scratch adhesion tests are performed up to final loads of 200 N. Upon indentation, the load values at which diamond film failure such as flaking and detachment is first observed, increase for increasing temperatures in the deposition temperature range of 450-850 deg C. The scratch adhesion tests show critical load values in the range of 16-40 N normal load for films grown for 4 h. In contrast, diamond films grown for 24 h at a methane concentration of 0.5% do not show any failure at all upon scratching up to 75 N. Film failure upon indenting and scratching is also found to decrease for increasing methane concentration in the CVD gas mixture. The sand abrasion tests show significant differences in coating failure for films grown at varying CH{sub 4}/H{sub 2} ratios. In contrast to the other tests, here best coating performance is observed for the films deposited with a methane concentration of 4%.

  2. Diamond Nucleation Using Polyethene

    Science.gov (United States)

    Morell, Gerardo (Inventor); Makarov, Vladimir (Inventor); Varshney, Deepak (Inventor); Weiner, Brad (Inventor)

    2013-01-01

    The invention presents a simple, non-destructive and non-abrasive method of diamond nucleation using polyethene. It particularly describes the nucleation of diamond on an electrically viable substrate surface using polyethene via chemical vapor deposition (CVD) technique in a gaseous environment.

  3. Diamond films on stainless steel substrates with an interlayer applied by laser cladding

    Energy Technology Data Exchange (ETDEWEB)

    Contin, Andre; Alves, Kenya Aparecida; Damm, Djoille Denner; Trava-Airoldi, Vladimir Jesus; Corat, Evaldo Jose, E-mail: andrecontin@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais (LAS/INPE), Sao Jose dos Campos, SP (Brazil). Laboratorio Associado de Sensores e Materiais; Campos, Raonei Alves [Universidade Federal do Sul e Sudeste do Para (UNIFESSPA), Maraba, PA (Brazil); Vasconcelos, Getulio de [Instituto de Estudos Avancados (DedALO/IEAv), Sao Jose dos Campos, SP (Brazil). Laboratorio de Desenvolvimento de Aplicacoes de Lasers e Optica

    2017-03-15

    The objective of this work is the Hot Filament Chemical Vapor Deposition (HFCVD) of diamond films on stainless steel substrates using a new technique for intermediate barrier forming, made by laser cladding process. In this technique, a powder layer is irradiated by a laser beam to melt the powder layer and the substrate surface layer to create the interlayer. The control of the laser beam parameters allows creating homogeneous coating layers, in rather large area in few seconds. In this work, the silicon carbide powder (SiC) was used to create an intermediate layer. Before the diamond growth, the samples were subjected to the seeding process with diamond powder. The diamond deposition was performed using Hot-Filament CVD reactor and the characterizations were Scanning Electron Microscopy, X-ray diffraction, Raman Scattering Spectroscopy and Scratch Test. (author)

  4. Estimation of magnetic relaxation property for CVD processed YBCO-coated conductors

    International Nuclear Information System (INIS)

    Takahashi, Y.; Kiuchi, M.; Otabe, E.S.; Matsushita, T.; Shikimachi, K.; Watanabe, T.; Kashima, N.; Nagaya, S.

    2010-01-01

    Ion Beam Assist Deposition/Chemical Vapor Deposition(IBAD/CVD)-processed YBCO-coated conductors with high critical current density J c at high magnetic fields are expected to be applied to superconducting equipments such as superconducting magnetic energy storage (SMES). For application to superconducting magnet in SMES one of the most important properties for superconductors is the relaxation property of superconducting current. In this paper, the relaxation property is investigated for IBAD/CVD-processed YBCO-coated conductors of the superconducting layer in the range of 0.18-0.90 μm. This property can be quantitatively characterized by the apparent pinning potential, U 0 *. It is found that U 0 * takes a smaller value due to the two-dimensional pinning mechanism at high magnetic fields for conductor with thinner superconducting layer. Although U 0 * decreases with increasing thickness at low magnetic fields at 20 K, it increases at high magnetic fields. The results are theoretically explained by the model of the flux creep and flow based on the dimensionality of flux pinning. Scaling analysis is examined for the dependence of U 0 * on the magnetic field, temperature and the layer thickness.

  5. Stress in tungsten carbide-diamond like carbon multilayer coatings

    NARCIS (Netherlands)

    Pujada, B.R.; Tichelaar, F.D.; Janssen, G.C.A.M.

    2007-01-01

    Tungsten carbide-diamond like carbon (WC-DLC) multilayer coatings have been prepared by sputter deposition from a tungsten-carbide target and periodic switching on and off of the reactive acetylene gas flow. The stress in the resulting WC-DLC multilayers has been studied by substrate curvature.

  6. Wear resistance of nano- and micro-crystalline diamond coatings onto WC-Co with Cr/CrN interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Polini, Riccardo [Dipartimento di Scienze e Tecnologie Chimiche, Universita di Roma Tor Vergata, Via della Ricerca Scientifica, 1, Rome, 00133 (Italy); Barletta, Massimiliano, E-mail: barletta@ing.uniroma2.i [Dipartimento di Ingegneria Meccanica, Universita di Roma Tor Vergata, Via del Politecnico, 1, Rome, 00133 (Italy); Cristofanilli, Giacomo [Dipartimento di Scienze e Tecnologie Chimiche, Universita di Roma Tor Vergata, Via della Ricerca Scientifica, 1, Rome, 00133 (Italy)

    2010-12-30

    Cr/CrN bi-layers have been used recently to promote the growth of high quality Hot Filament Chemical Vapour Deposition (HFCVD) diamond coatings onto Co-cemented tungsten carbide (WC-6 wt.%Co) substrates. In the present investigation, the influence of the crystalline size of the diamond coatings on their wear endurance is looked into. Nano- (NDC) and micro-crystalline Diamond Coatings (MDC) were deposited by HFCVD onto untreated and Fluidized Bed (FB) treated Cr/CrN interlayers. NDCs, characterized by a cauliflower-like morphology, showed improved wear resistance. However, the superimposition of NDCs onto Cr/CrN interlayers micro-corrugated by FB treatment was found to be the most promising choice, leading to the formation of highly adherent and wear resistant coatings.

  7. Tl and OSL dosimetry of diamond films CVD pure and unpurified with boron-carbon

    International Nuclear Information System (INIS)

    Melendrez, R.; Pedroza M, M.; Chernov, V.; Ochoa N, J.D.; Bernal, R.; Barboza F, M.; Castaneda, B.; Goncalves, J.A.N.; Sandonato, G.M.; Cruz Z, E.; Preciado F, S.; Cruz V, C.; Brown, F.; Schreck, M.

    2004-01-01

    The diamond is a material that possesses extreme physical properties, such as its hardness to the radiation, its low chemical reactivity besides its equivalence to the human tissue, which qualify him as an ideal material for radiation dosimetry. In this work, it was studied the thermal and optically stimulated response (Tl and OSL) of polycrystalline diamond films grown by the technique of CVD pure and contaminated with Boron-carbon (B/C) with the intention of characterizing their efficiency like a dosemeter for radiation in a range of 0 - 3000 Gy. For the case of the films without impurities, the Tl curve presents four main peaks, two of them in an interval of temperatures of 150-200 C and other two additional around of 250-400 C. The dependence of the response of integrated Tl and that of OSL always maintained a lineal relationship with the exhibition dose up to 100 Gy. The behavior of the films contaminated with B/C (2000 - 20000 ppm) was established through experiments that involved the signal of OSL and their relationship with the Tl response. It was found that this processes are correlated, since the electrons caught in the traps of low temperature (50 - 250 C) of the Tl they are the electrons that recombining with more probability to provide the signal of OSL. According to these results it is possible to propose the diamond films as a good candidate for dosimetry to, using the traditional technique of Tl so much as well as the but recent of OSL. (Author)

  8. A comparative machining study of diamond-coated tools made by ...

    Indian Academy of Sciences (India)

    R. Narasimhan (Krishtel eMaging) 1461 1996 Oct 15 13:05:22

    adherent diamond films on WC–CO tools by all three deposition models and has allowed completion of the ..... cesses with hard turning machining will affect future demand for PCBN (and cBN coated) tools. 6. ... Business Communication Co.

  9. Lateral overgrowth of diamond film on stripes patterned Ir/HPHT-diamond substrate

    Science.gov (United States)

    Wang, Yan-Feng; Chang, Xiaohui; Liu, Zhangcheng; Liu, Zongchen; Fu, Jiao; Zhao, Dan; Shao, Guoqing; Wang, Juan; Zhang, Shaopeng; Liang, Yan; Zhu, Tianfei; Wang, Wei; Wang, Hong-Xing

    2018-05-01

    Epitaxial lateral overgrowth (ELO) of diamond films on patterned Ir/(0 0 1)HPHT-diamond substrates have been carried out by microwave plasma CVD system. Ir/(0 0 1)HPHT-diamond substrates are fabricated by photolithographic and magnetron sputtering technique. The morphology of the as grown ELO diamond film is characterized by optical microscopy and scanning electronic microscopy. The quality and stress of the ELO diamond film are investigated by surface etching pit density and micro-Raman spectroscopy. Two ultraviolet photodetectors are fabricated on ELO diamond area and non-ELO diamond area prepared on same substrate, and that one on ELO diamond area indicates better photoelectric properties. All results indicate quality of ELO diamond film is improved.

  10. Evaluation of resistance of diamond-like carbon coating to the corpuscular radiation in outer space conditions

    Science.gov (United States)

    Tomilova, Elizaveta; Bashkov, Valeriy; Mikhalev, Pavel; Fedorchenko, Alexander; Volkova, Yana

    2015-02-01

    The purpose of this work was to research the resistance of thin coatings to the effects of corpuscular radiation, as well as evaluation speed etching of diamond-like films with different content of diamond phase. There were two samples of monocrystalline silicon with DLC coating. To evaluate the resistance, two groups of grooves were etched on each sample. The depth was then measured to calculate a relative etching ratio of DLC coating. The resistance was determined to be four times that of silicon.

  11. Luminescence and conductivity studies on CVD diamond exposed to UV light

    CERN Document Server

    Bizzarri, A; Bruzzi, M; Sciortino, S

    1999-01-01

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination ...

  12. Use of the diamond to the detection of particles

    International Nuclear Information System (INIS)

    Mer, C.; Tromson, D.; Brambilla, A.; Foulon, F.; Guizard, B.; Bergonzo

    2001-01-01

    Diamond synthesized by chemical vapor deposition (CVD) is a valuable material for the detection of particles: broad forbidden energy band, high mobility of electron-hole pairs, and a short life-time of charge carriers. Diamond layers have been used in alpha detectors or gamma dose ratemeters designed to be used in hostile environment. Diamond presents a high resistance to radiation and corrosion. The properties of diamond concerning the detection of particles are spoilt by the existence of crystal defects even in high quality natural or synthesized diamond. This article presents recent works that have been performed in CEA laboratories in order to optimize the use of CVD diamond in particle detectors. (A.C.)

  13. The system of quantum structures coated with the diamond-like carbon for silicon solar cells

    International Nuclear Information System (INIS)

    Efimov, V.P.; Abyzov, A.S.; Luchaninov, A.A.; Omarov, A.O.; Strel'nitskij, V.E.

    2010-01-01

    The peculiarity of the process of amorphous diamond-like carbon coating deposition on the surface of Si photoelectric cell with quantum filaments, which was irradiated by the electrons and heavy multi-charge ions, have been investigated. The experimental results on the investigations of the optical characteristics of the nitrogen doped hydrogenated diamond-like carbon a-C:(H,N) coatings were presented. The parameters of the process of a-C:(H,N) coating deposition on the surfaces of disordered Si semiconductors structures were optimized for the purpose of minimizing optical reflection coefficient from the front surface of the crystal and supplying its mechanical durability.

  14. Determination of temperature dependent parameters of zero-phonon line in photo-luminescence spectrum of silicon-vacancy centre in CVD diamond thin films

    Czech Academy of Sciences Publication Activity Database

    Dragounová, Kateřina; Potůček, Z.; Potocký, Štěpán; Bryknar, Z.; Kromka, Alexander

    2017-01-01

    Roč. 68, č. 1 (2017), s. 74-78 ISSN 1335-3632 R&D Projects: GA ČR(CZ) GA14-04790S Institutional support: RVO:68378271 Keywords : silicon-vacancy centres * photoluminescence * low temperature * diamond * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 0.483, year: 2016

  15. High rate operation of micro-strip gas chambers on diamond-coated glass

    CERN Document Server

    Bouclier, Roger; Million, Gilbert; Ropelewski, Leszek; Sauli, Fabio; Temmel, T; Cooke, R A; Donnel, S; Sastri, S A; Sonderer, N

    1996-01-01

    Very high rate operation of micro­strip gas chambers can be achieved using slightly conducting substrates. We describe preliminary measurements realized with detectors manufactured on boro-silicate glass coated, before the photo-lithographic processing, with a diamond layer having a surface resistivity of around 1014 ‡/o. Stable medium-term operation, and a rate capability largely exceeding the one obtained with identical plates manufactured on uncoated glass are demonstrated. If these results are confirmed by long-term measurements the diamond coating technology appears very attractive since it allows, with a moderate cost overhead, to use thin, commercially available glass with the required surface quality for the large-scale production of gas micro-strip detectors.

  16. A Comparative Study of Three Different Chemical Vapor Deposition Techniques of Carbon Nanotube Growth on Diamond Films

    Directory of Open Access Journals (Sweden)

    Betty T. Quinton

    2013-01-01

    Full Text Available This paper compares between the methods of growing carbon nanotubes (CNTs on diamond substrates and evaluates the quality of the CNTs and the interfacial strength. One potential application for these materials is a heat sink/spreader for high-power electronic devices. The CNTs and diamond substrates have a significantly higher specific thermal conductivity than traditional heat sink/spreader materials making them good replacement candidates. Only limited research has been performed on these CNT/diamond structures and their suitability of different growth methods. This study investigates three potential chemical vapor deposition (CVD techniques for growing CNTs on diamond: thermal CVD (T-CVD, microwave plasma-enhanced CVD (MPE-CVD, and floating catalyst thermal CVD (FCT-CVD. Scanning electron microscopy (SEM and high-resolution transmission electron microscopy (TEM were used to analyze the morphology and topology of the CNTs. Raman spectroscopy was used to assess the quality of the CNTs by determining the ID/IG peak intensity ratios. Additionally, the CNT/diamond samples were sonicated for qualitative comparisons of the durability of the CNT forests. T-CVD provided the largest diameter tubes, with catalysts residing mainly at the CNT/diamond interface. The MPE-CVD process yielded non uniform defective CNTs, and FCT-CVD resulted in the smallest diameter CNTs with catalyst particles imbedded throughout the length of the nanotubes.

  17. Status of the R&D activity on diamond particle detectors

    Science.gov (United States)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knöpfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Marshall, R. D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2003-09-01

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 μm charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  18. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  19. Graphitization of diamond with a metallic coating on ferritic matrix; Grafitizacao do diamante com revestimento metalico em matriz ferritica

    Energy Technology Data Exchange (ETDEWEB)

    Cabral, Stenio Cavalier; Oliveira, Hellen Cristine Prata de; Filgueira, Marcello, E-mail: stenio@uenf.b [Universidade Estadual do Norte Fluminense (PPGECM/CCT/UENF), Campos dos Goytacazes, RJ (Brazil). Centro de Ciencias e Tecnologia. Programa de Pos Graduacao em Engenharia e Ciencia dos Materiais

    2010-07-01

    Iron is a strong catalyst of graphitization of diamonds. This graphitization occurs mainly during the processing of composites - conventional sintering or hot pressing, and during cutting operations. Aiming to avoid or minimize this deleterious effect, there is increasing use of diamond coated with metallic materials in the production of diamond tools processed via powder metallurgy. This work studies the influence of Fe on diamond graphitization diamond-coated Ti after mixing of Fe-diamonds, hot pressing parameters were performed with 3 minutes/35MPa/900 deg C - this is the condition of pressing hot used in industry for production of diamond tools. Microstructural features were observed by SEM, diffusion of Fe in diamond was studied by EDS. Graphitization was analyzed by X-ray diffraction and Raman spectroscopy. It was found that Fe not activate graphitization on the diamond under the conditions of hot pressing. (author)

  20. Neutron detection at jet using artificial diamond detectors

    International Nuclear Information System (INIS)

    Pillon, M.; Angelone, M.; Lattanzi, D.; Marinelli, M.; Milani, E.; Tucciarone, A.; Verona-Rinati, G.; Popovichev, S.; Montereali, R.M.; Vincenti, M.A.; Murari, A.

    2007-01-01

    Artificial diamond neutron detectors recently proved to be promising devices to measure the neutron production on large experimental fusion machines. Diamond detectors are very promising detectors to be used in fusion environment due to their radiation hardness, low sensitivity to gamma rays, fast response and high energy resolution. High quality 'electronic grade' diamond films are produced through microwave chemical vapour deposition (CVD) technique. Two CVD diamond detectors have been installed and operated at joint European torus (JET), Culham Science Centre, UK. One of these detectors was a polycrystalline CVD diamond film; about 12 mm 2 area and 30 μm thickness while the second was a monocrystalline film of about 5 mm 2 area and 20 μm thick. Both diamonds were covered with 2 μm of lithium fluoride (LiF) 95% enriched in 6 Li. The LiF layer works as a neutron-to-charged particle converter so these detectors can measure thermalized neutrons. Their output signals were compared to JET total neutron yield monitors (KN1 diagnostic) realized with a set of uranium fission chambers. Despite their small active volumes the diamond detectors were able to measure total neutron yields with good reliability and stability during the recent JET experimental campaign of 2006

  1. Electrochemical performances of diamond-like carbon coatings on carbon steel, stainless steel, and brass

    International Nuclear Information System (INIS)

    Hadinata, Samuel-Sudibyo; Lee, Ming-Tsung; Pan, Szu-Jung; Tsai, Wen-Ta; Tai, Chen-Yi; Shih, Chuan-Feng

    2013-01-01

    Diamond-like carbon (DLC) coatings have been deposited onto stainless steel, carbon steel and brass by plasma-enhanced chemical vapor deposition, respectively. Atomic arrangement, chemical structure, surface morphology and cross-section microstructure of the DLC coatings were examined by X-ray diffraction, Raman scattering spectroscopy and scanning electron microscopy. The electrochemical behaviors of the DLC coatings in 3.5 wt.% NaCl solution were investigated by performing an open circuit potential (OCP) measurement and a potentiodynamic polarization test. The experimental results showed that properly deposited DLC coatings could cause an increase of OCP by hundreds of millivolts and a reduction of anodic current density by several orders of magnitude as compared to that of the substrate. The results also demonstrated that electrochemical techniques could be used as tools to detect the soundness of the DLC coating by examining OCP and polarization curve, which varied with the form of defect and depended on the type of substrate. - Highlights: ► The substrate could affect the quality of diamond-like carbon (DLC) coating. ► Defect-free DLC coating exhibited extremely low anodic current density. ► The quality of DLC coating on metal could be evaluated by electrochemical test

  2. Electrochemical performances of diamond-like carbon coatings on carbon steel, stainless steel, and brass

    Energy Technology Data Exchange (ETDEWEB)

    Hadinata, Samuel-Sudibyo; Lee, Ming-Tsung [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Pan, Szu-Jung [Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Tsai, Wen-Ta, E-mail: wttsai@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Tai, Chen-Yi [Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Shih, Chuan-Feng [Ocean Energy Research Center, Tainan Hydraulics Laboratory, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China); Department of Electrical Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan 701, Taiwan (China)

    2013-02-01

    Diamond-like carbon (DLC) coatings have been deposited onto stainless steel, carbon steel and brass by plasma-enhanced chemical vapor deposition, respectively. Atomic arrangement, chemical structure, surface morphology and cross-section microstructure of the DLC coatings were examined by X-ray diffraction, Raman scattering spectroscopy and scanning electron microscopy. The electrochemical behaviors of the DLC coatings in 3.5 wt.% NaCl solution were investigated by performing an open circuit potential (OCP) measurement and a potentiodynamic polarization test. The experimental results showed that properly deposited DLC coatings could cause an increase of OCP by hundreds of millivolts and a reduction of anodic current density by several orders of magnitude as compared to that of the substrate. The results also demonstrated that electrochemical techniques could be used as tools to detect the soundness of the DLC coating by examining OCP and polarization curve, which varied with the form of defect and depended on the type of substrate. - Highlights: ► The substrate could affect the quality of diamond-like carbon (DLC) coating. ► Defect-free DLC coating exhibited extremely low anodic current density. ► The quality of DLC coating on metal could be evaluated by electrochemical test.

  3. Large area diamond-like carbon coatings by ion implantation

    International Nuclear Information System (INIS)

    McCabe, A.R.; Proctor, G.; Jones, A.M.; Bull, S.J.; Chivers, D.J.

    1993-01-01

    Diamond-like Carbon (DLC) coatings have been deposited onto large geometry components in the Harwell Blue Tank ion implantation facility. To modify the substrate surface and to crack the low vapour pressure oil which is evaporated and condensed onto the surface, a 40 Kev nitrogen ion bucket ion source is used. The coating of areas up to 1 metre in diameter is common and with component manipulation larger areas may be coated. Since the component temperature never exceeds 80 o C during the process, a wide range of materials may be coated including specialist tool steels and even certain high density polymers. In order to produce hard wear resistant coatings with extremely low coefficients of friction (0.02-0.15) and a range of mechanical and electrical properties, various oil precursors have been investigated. The production and assessment of such coatings, including measurements of their tribiological performance, is presented. Applications for wear resistance, corrosion protection and electrically conducting coatings are discussed with examples drawn from engineering, electronics and biomedicine. (7 figures, 13 references). (UK)

  4. Test of radiation hardness of pcCVD detectors

    Energy Technology Data Exchange (ETDEWEB)

    Schlemme, Steffen [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Enders, Joachim [Technische Universitaet Darmstadt (Germany); Figuera, P.; Salamone, S. [LNS-INFN Catania (Italy); Fruehauf, J.; Kis, Mladen; Kratz, A.; Kurz, N.; Loechner, S.; Nociforo, Chiara; Schirru, Fabio; Szczepanczyk, B.; Traeger, M.; Visinka, R. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Musumarra, A. [LNS-INFN Catania (Italy); University of Catania (Italy)

    2016-07-01

    The new in-flight separator Super-FRS is under construction at the Facility for Antiproton and Ion Research (FAIR, Darmstadt). Ion rates up to 3 x 10{sup 11} {sup 238}U/spill demand an adaption of detectors to a high radiation environment. A test experiment to investigate the radiation hardness of polycrystalline diamond detectors (pcCVD) was performed at the LNS-INFN in Catania using a {sup 12}C beam at 62 MeV/u and intensities of up to 1.5 pnA. The setup consisted of pcCVD strip detectors to measure the beam profile, a single crystal diamond detector to calibrate the ionisation chamber working in current mode as a beam intensity monitor and a pcCVD sample to be irradiated. The IC used was designed for FAIR and showed a stable counting rate allowing us to calibrate and perform beam intensity measurements with it. The total measured counts on the sample were 8.25 x 10{sup 11} counts/mm{sup 2} over a period of 60 hours. Digital waveforms of the pcCVD signals were taken with an oscilloscope and analysed. The results showed no change of the pcCVD signal properties during the entire irradiation.

  5. Status of the R and D activity on diamond particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bellini, B.; Berdermann, E.; Bergonzo, P.; Boer, W. de; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M. E-mail: bruzzi@fi.infn.it; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Doroshenko, J.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Karl, C.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Perera, L.; Pernicka, M.; Polesello, P.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M

    2003-09-21

    Chemical Vapor Deposited (CVD) polycrystalline diamond has been proposed as a radiation-hard alternative to silicon in the extreme radiation levels occurring close to the interaction region of the Large Hadron Collider. Due to an intense research effort, reliable high-quality polycrystalline CVD diamond detectors, with up to 270 {mu}m charge collection distance and good spatial uniformity, are now available. The most recent progress on the diamond quality, on the development of diamond trackers and on radiation hardness studies are presented and discussed.

  6. Diamond and Diamond-Like Materials as Hydrogen Isotope Barriers

    International Nuclear Information System (INIS)

    Foreman, L.R.; Barbero, R.S.; Carroll, D.W.; Archuleta, T.; Baker, J.; Devlin, D.; Duke, J.; Loemier, D.; Trukla, M.

    1999-01-01

    This is the final report of a two-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The purpose of this project was to develop diamond and diamond-like thin-films as hydrogen isotope permeation barriers. Hydrogen embrittlement limits the life of boost systems which otherwise might be increased to 25 years with a successful non-reactive barrier. Applications in tritium processing such as bottle filling processes, tritium recovery processes, and target filling processes could benefit from an effective barrier. Diamond-like films used for low permeability shells for ICF and HEDP targets were also investigated. Unacceptable high permeabilities for hydrogen were obtained for plasma-CVD diamond-like-carbon films

  7. Effect of diamond-like carbon coating on corrosion rate of machinery steel HQ 805

    Science.gov (United States)

    Slat, Winda Sanni; Malau, Viktor; Iswanto, Priyo Tri; Sujitno, Tjipto; Suprapto

    2018-04-01

    HQ 805 is known as a super strength alloys steel and widely applied in military equipment and, aircraft components, drilling device and so on. It is due to its excellent behavior in wear, fatigue, high temperature and high speed operating conditions. The weakness of this material is the vulnerablality to corrosion when employed in sour environments where hydrogen sulfide and chlorides are present. To overcome the problems, an effort should be made to improve or enhance the surface properties for a longer service life. There are varieties of coatings developed and used to improve surface material properties. There are several kinds of coating methods; chemical vapour deposition (CVD), physical vapour deposition (PVD), thermochemical treatment, oxidation, or plasma spraying. This paper presents the research result of the influence of Diamond-Like Carbon (DLC) coating deposited using DC plasma enhanced chemical vapor deposition (DC-PECVD) on corrosion rate (by potentiodynamic polarization method) of HQ 805 machinery steel. As a carbon sources, a mixture of argon (Ar) and methane (CH4) with ratio 76% : 24% was used in this experiment. The conditions of experiment were 400 °C of temperature, 1.2 mbar, 1.4 mbar, 1.6 mbar and 1.8 mbar of pressure of process. Investigated surface properties were hardness (microhardness tester), roughness (roughness test), chemical composition (Spectrometer), microstructure (SEM) and corrosion rate (potentiodynamic polarization). It has been found that the optimum condition with the lowest corrosion rate is at a pressure of 1.4 mbar with a deposition duration of 4 hours at a constant temperature of 400 °C. In this condition, the corrosion rate decreases from 12.326 mpy to 4.487 mpy.

  8. Wear studies on diamond layers; Verschleissuntersuchungen an Diamantschichten

    Energy Technology Data Exchange (ETDEWEB)

    Deuerler, F. [Wuppertal Univ. (Gesamthochschule) (Germany). Fachgebiet Materialkunde; Pohl, M.; Tikana, L. [Bochum Univ. (Germany). Inst. fuer Werkstoffe

    2000-08-01

    Wear studies were carried out on thin CVD diamond layers on WC/Co hard metal substrate. The diamond and hard metal system was exposed to abrasive particles, and the time to initial failure and the size of the wear crater were recorded. In the cavitation test, vibrational cavitation is induced by a sonotrode immersed in a liquid and excited by ultrasonic waves. The wear damage on the surface are recorded by quantitative image analysis as percent surface damage. The mechanism of layer failure (adhesive, cohesive) can be assessed qualitatively by means of SEM pilctures. The effects of surface pretreatment on the adhesive strength of the coating are investigated as well. [German] Das Verhalten von duennen CVD-Diamantschichten auf WC/Co-Hartmetallsubstraten unter Verschleissbeanspruchung wird beschrieben. Dabei wird der Schichtverbund Diamant-Hartmetall im Strahlverschleisstest abrasiven Partikeln ausgesetzt und die Zeit bis zum ersten Schichtversagen sowie die Groesse des Verschleisskraters registriert. Beim Kavitationstest erzeugt eine durch Ultraschall angeregte Sonotrode, die in eine Fluessigkeit eintaucht, Schwingungskavitation. Die durch Oberflaechenzerruettung verursachten Verschleissschaeden an der Schichtoberflaeche werden ueber quantitative Bildanalyse als prozentuale Flaechenschaedigung erfasst. Der Mechanismus des Schichtversagens (adhaesiv, kohaesiv) kann anhand von REM-Aufnahmen qualitativ beurteilt werden. Die Auswirkungen einer Vorbehandlung der Oberflaeche des Hartmetalls auf die fuer die Anwendung massgebliche Haftfestigkeit der Beschichtung werden betrachtet. (orig.)

  9. Optimization of a Wcl6 CVD System to Coat UO2 Powder with Tungsten

    Science.gov (United States)

    Belancik, Grace A.; Barnes, Marvin W.; Mireles, Omar; Hickman, Robert

    2015-01-01

    In order to achieve deep space exploration via Nuclear Thermal Propulsion (NTP), Marshall Space Flight Center (MSFC) is developing W-UO2 CERMET fuel elements, with focus on fabrication, testing, and process optimization. A risk of fuel loss is present due to the CTE mismatch between tungsten and UO2 in the W-60vol%UO2 fuel element, leading to high thermal stresses. This fuel loss can be reduced by coating the spherical UO2 particles with tungsten via H2/WCl6 reduction in a fluidized bed CVD system. Since the latest incarnation of the inverted reactor was completed, various minor modifications to the system design were completed, including an inverted frit sublimer. In order to optimize the parameters to achieve the desired tungsten coating thickness, a number of trials using surrogate HfO2 powder were performed. The furnace temperature was varied between 930 C and 1000degC, and the sublimer temperature was varied between 140 C and 200 C. Each trial lasted 73-82 minutes, with one lasting 205 minutes. A total of 13 trials were performed over the course of three months, two of which were re-coatings of previous trials. The powder samples were weighed before and after coating to roughly determine mass gain, and Scanning Electron Microscope (SEM) data was also obtained. Initial mass results indicated that the rate of layer deposition was lower than desired in all of the trials. SEM confirmed that while a uniform coating was obtained, the average coating thickness was 9.1% of the goal. The two re-coating trials did increase the thickness of the tungsten layer, but only to an average 14.3% of the goal. Therefore, the number of CVD runs required to fully coat one batch of material with the current configuration is not feasible for high production rates. Therefore, the system will be modified to operate with a negative pressure environment. This will allow for better gas mixing and more efficient heating of the substrate material, yielding greater tungsten coating per trial.

  10. "Diamond" over-coated Microstrip Gas Chambers for high rate operation

    CERN Document Server

    Barr, A J; Bouclier, Roger; Capéans-Garrido, M; Dominik, Wojciech; Hoch, M; Manzin, G; Million, Gilbert; Ropelewski, Leszek; Sauli, Fabio; Sharma, A

    1997-01-01

    We describe the recent developments on the diamond-like carbon (DLC) over-coated Microstrip Gas Chambers made on drawn glass substrates. MSGC surface coating with thin DLC layer of stable and controlled resistivity was proposed to overcome the limitation of detector operation due to surface charging-up under avalanches. This brings also advantages for the detector manufacturing technology. The thin layer, deposited on top of a manufactured MSGC (over-coating), demonstrates excellent mechanical properties and very good stability. We report on recent measurements with DLC over-coated MSGCs of various surface resistivities (ranging from 1013W/r to 1016W/r) on D-263 and AF45 glass substrates. Over-coated MSGCs exhibit good rate capability for the resistivity of the surface around 1015W/r. Stable operation up to 50 mC/cm of accumulated charge from avalanches has been demonstrated.

  11. Surface Texturing of CVD Diamond Assisted by Ultrashort Laser Pulses

    Directory of Open Access Journals (Sweden)

    Daniele M. Trucchi

    2017-11-01

    Full Text Available Diamond is a wide bandgap semiconductor with excellent physical properties which allow it to operate under extreme conditions. However, the technological use of diamond was mostly conceived for the fabrication of ultraviolet, ionizing radiation and nuclear detectors, of electron emitters, and of power electronic devices. The use of nanosecond pulse excimer lasers enabled the microstructuring of diamond surfaces, and refined techniques such as controlled ablation through graphitization and etching by two-photon surface excitation are being exploited for the nanostructuring of diamond. On the other hand, ultrashort pulse lasers paved the way for a more accurate diamond microstructuring, due to reduced thermal effects, as well as an effective surface nanostructuring, based on the formation of periodic structures at the nanoscale. It resulted in drastic modifications of the optical and electronic properties of diamond, of which “black diamond” films are an example for future high-temperature solar cells as well as for advanced optoelectronic platforms. Although experiments on diamond nanostructuring started almost 20 years ago, real applications are only today under implementation.

  12. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  13. Biomedical applications of diamond-like carbon coatings: a review.

    Science.gov (United States)

    Roy, Ritwik Kumar; Lee, Kwang-Ryeol

    2007-10-01

    Owing to its superior tribological and mechanical properties with corrosion resistance, biocompatibility, and hemocompatibility, diamond-like carbon (DLC) has emerged as a promising material for biomedical applications. DLC films with various atomic bond structures and compositions are finding places in orthopedic, cardiovascular, and dental applications. Cells grew on to DLC coating without any cytotoxity and inflammation. DLC coatings in orthopedic applications reduced wear, corrosion, and debris formation. DLC coating also reduced thrombogenicity by minimizing the platelet adhesion and activation. However, some contradictory results (Airoldi et al., Am J Cardiol 2004;93:474-477, Taeger et al., Mat-wiss u Werkstofftech 2003;34:1094-1100) were also reported that no significant improvement was observed in the performance of DLC-coated stainless stent or DLC-coated femoral head. This controversy should be discussed based on the detailed information of the coating such as atomic bond structure, composition, and/or electronic structure. In addition, instability of the DLC coating caused by its high level of residual stress and poor adhesion in aqueous environment should be carefully considered. Further in vitro and in vivo studies are thus required to confirm its use for medical devices.

  14. Impedance study on the corrosion of PVD and CVD titanium nitride coatings

    International Nuclear Information System (INIS)

    Elsener, B.; Rota, A.; Boehni, H.

    1989-01-01

    Titanium nitride (TiN) coatings, produced by physical (PVD) or chemical (CVD) vapor deposition techniques are used routinely to improve the wear and corrosion resistance of a surface. The main problem in using TiN as a protective coating in aggressive environements are pores and pinholes in the coating where the substrate is exposed to the electrolyte. In this work, the electrochemical and corrosion behaviour of TiN films on quartz glass, carbon steel, 304 and 316 stainless steel is studied by polarization curves and electrochemical impedance spectroscopy (EIS) in hydrochloric acid. It is shown that the TiN coating can be used successfully only on substrates that passivate easily. On mild steel rapid corrosion takes place at pores in the coating due to the very noble steady state potential of the TiN coating. The interaction of the metallic substrate with the TiN coating is discussed for the two limiting cases mild steel (active) and 316SS (passive). It is shown that the determination of the coating porosity is possible for the active substrate only. On the passive substrate the occurence of an additional time constant in the high frequency region of the spectrum qualitatively indicates the presence of pores. A quality control of the coatings based on this fact might be possible. (author) 15 refs., 6 figs., 2 tabs

  15. Diamond Detector Technology: Status and Perspectives

    CERN Document Server

    Reichmann, M; Artuso, M; Bachmair, F; Bäni, L; Bartosik, M; Beacham, J; Beck, H; Bellini, V; Belyaev, V; Bentele, B; Berdermann, E; Bergonzo, P; Bes, A; Brom, J-M; Bruzzi, M; Cerv, M; Chiodini, G; Chren, D; Cindro, V; Claus, G; Collot, J; Cumalat, J; Dabrowski, A; D'Alessandro, R; Dauvergne, D; de Boer, W; Dorfer, C; Dünser, M; Eremin, V; Eusebi, R; Forcolin, G; Forneris, J; Frais-Kölbl, H; Gallin-Martel, L; Gallin-Martel, M L; Gan, K K; Gastal, M; Giroletti, C; Goffe, M; Goldstein, J; Golubev, A; Gorišek, A; Grigoriev, E; Grosse-Knetter, J; Grummer, A; Gui, B; Guthoff, M; Haughton, I; Hiti, B; Hits, D; Hoeferkamp, M; Hofmann, T; Hosslet, J; Hostachy, J-Y; Hügging, F; Hutton, C; Jansen, H; Janssen, J; Kagan, H; Kanxheri, K; Kasieczka, G; Kass, R; Kassel, F; Kis, M; Konovalov, V; Kramberger, G; Kuleshov, S; Lacoste, A; Lagomarsino, S; Lo Giudice, A; Lukosi, E; Maazouzi, C; Mandic, I; Mathieu, C; Menichelli, M; Mikuž, M; Morozzi, A; Moss, J; Mountain, R; Murphy, S; Muškinja, M; Oh, A; Oliviero, P; Passeri, D; Pernegger, H; Perrino, R; Picollo, F; Pomorski, M; Potenza, R; Quadt, A; Re, A; Riley, G; Roe, S; Sanz-Becerra, D A; Scaringella, M; Schaefer, D; Schmidt, C J; Schnetzer, S; Sciortino, S; Scorzoni, A; Seidel, S; Servoli, L; Smith, S; Sopko, B; Sopko, V; Spagnolo, S; Spanier, S; Stenson, K; Stone, R; Sutera, C; Tannenwald, B; Taylor, A; Traeger, M; Tromson, D; Trischuk, W; Tuve, C; Uplegger, L; Velthuis, J; Venturi, N; Vittone, E; Wagner, S; Wallny, R; Wang, J C; Weingarten, J; Weiss, C; Wengler, T; Wermes, N; Yamouni, M; Zavrtanik, M

    2018-01-01

    The planned upgrade of the LHC to the High-Luminosity-LHC will push the luminosity limits above the original design values. Since the current detectors will not be able to cope with this environment ATLAS and CMS are doing research to find more radiation tolerant technologies for their innermost tracking layers. Chemical Vapour Deposition (CVD) diamond is an excellent candidate for this purpose. Detectors out of this material are already established in the highest irradiation regimes for the beam condition monitors at LHC. The RD42 collaboration is leading an effort to use CVD diamonds also as sensor material for the future tracking detectors. The signal behaviour of highly irradiated diamonds is presented as well as the recent study of the signal dependence on incident particle flux. There is also a recent development towards 3D detectors and especially 3D detectors with a pixel readout based on diamond sensors.

  16. CN distribution in flame deposition of diamond and its relation to the growth rate, morphology, and nitrogen incorporation of the diamond layer

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Schermer, J.J.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements areapplied to the chemical vapour deposition (CVD) of diamond by anoxyacetylene flame to visualize the distribution of CN in the gas phaseduring the diamond growth process. The obtained diamond deposits arecharacterized by optical as

  17. γ radiation thermoluminescence performance of HFCVD diamond films

    International Nuclear Information System (INIS)

    Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Barboza-Flores, M.

    2006-01-01

    Polycrystalline chemically vapor deposited (CVD) diamond films have been proposed as detectors and dosimeters of ionizing radiation with prospective applications in high-energy photon dosimetry applications. We present a comparison study on the thermoluminescence (TL) properties of two diamond film samples grown by the hot filament CVD method having thickness of 180 and 500 μm and exposed to γ radiation in the 1-300 Gy dose range. The 180 μm thick sample deposited on silicon substrate displayed a TL glow curve peaked at 145 deg. C. The 500 μm, which was a free standing sample, exhibited higher intensity and a well defined first order kinetics TL glow peak around 289 deg. C. Both diamond samples showed a linear dose behavior in the 1-50 Gy range and sublinear behavior for higher doses. The 180 and 500 μm samples presented about 80% and 30% TL losses in a 24 h period, respectively, with both samples showing excellent TL reproducibility. The results indicate that the 500 μm CVD diamond film exhibited a good TL behavior adequate for γ radiation dosimetry

  18. Microcontact printing of monodiamond nanoparticles: an effective route to patterned diamond structure fabrication.

    Science.gov (United States)

    Zhuang, Hao; Song, Bo; Staedler, Thorsten; Jiang, Xin

    2011-10-04

    By combining microcontact printing with a nanodiamond seeding technique, a precise micrometer-sized chemical vapor deposition (CVD) diamond pattern have been obtained. On the basis of the guidance of basic theoretical calculations, monodisperse detonation nanodiamonds (DNDs) were chosen as an "ink" material and oxidized poly(dimethylsiloxane) (PDMS) was selected to serve as a stamp because it features a higher interaction energy with the DNDs compared to that of the original PDMS. The adsorption kinetics shows an approximately exponential law with a maximum surface DND density of 3.4 × 10(10) cm(-2) after 20 min. To achieve a high transfer ratio of DNDs from the PDMS stamp to a silicon surface, a thin layer of poly(methyl methacrylate) (PMMA) was spin coated onto the substrates. A microwave plasma chemical vapor deposition system was used to synthesize the CVD diamond on the seeded substrate areas. Precise diamond patterns with a low expansion ratio (3.6%) were successfully prepared after 1.5 h of deposition. Further increases in the deposition time typically lead to a high expansion rate (∼0.8 μm/h). The general pattern shape, however, did not show any significant change. Compared with conventional diamond pattern deposition methods, the technique described here offers the advantages of being simple, inexpensive, damage-free, and highly compatible, rendering it attractive for a broad variety of industrial applications. © 2011 American Chemical Society

  19. Charge transport and X-ray dosimetry performance of a single crystal CVD diamond device fabricated with pulsed laser deposited electrodes

    International Nuclear Information System (INIS)

    Abdel-Rahman, M.A.E.; Abdel-Rahman, M.A.E.; Lohstroh, A.; Bryant, P.; Jayawardena, I.

    2013-01-01

    The deposition of amorphous Carbon mixed with Nickel (C/Ni) as electrodes for a diamond radiation detector using Pulsed Laser Deposition (PLD) was demonstrated previously as a novel technique for producing near-tissue equivalent X-ray dosimeters based on polycrystalline diamond. In this study, we present the first characterisation of a single crystal CVD diamond sandwich detector (of 80 nm thickness) fabricated with this method, labelled SC-C/Ni. To examine the performance of PLD C/Ni as an electrical contact, alpha spectroscopy and x-ray induced photocurrents were studied as a function of applied bias voltage at room temperature and compared to those of polycrystalline CVD diamond detectors (PC-C/Ni); the spectroscopy data allows us to separate electron and hole contributions to the charge transport, whereas the X-ray data was investigated in terms of, linearity and dose rate dependence, sensitivity, signal to noise ratio, photoconductive gain, reproducibility and time response (rise and fall-off times). In the case of electron sensitive alpha induced signals, a charge collection efficiency (CCE) higher than 90 % has been observed at a bias of -40 V and 100 % CCE at -300 V, with an energy resolution of ∼3 % for 5.49 MeV alpha particles. The hole sample showed very poor spectroscopy performance for hole sensitive signals up to 200 Volt; this inhibited a similar numerical analysis to be carried out in a meaningful way. The dosimetric characteristic show a high signal to noise ratio (SNR) of ∼7.3x10 3 , an approximately linear relationship between the photocurrent and the dose rate and a sensitivity of 4.87 μC/Gy.mm 3 . The photoconductive gain is estimated to around 20, this gain might be supported by hole trapping effects as indicated in the alpha spectroscopy. The observed rise and fall-off times are less than 2 and 0.56 seconds, respectively - and mainly reflect the switching time of the X-ray tube used.The reproducibility of (0.504 %) approaches the value

  20. Mechanical design of thin-film diamond crystal mounting apparatus with optimized thermal contact and crystal strain for coherence preservation x-ray optics

    Science.gov (United States)

    Shu, Deming; Shvydko, Yury; Stoupin, Stanislav; Kim, Kwang-Je

    2018-05-08

    A method and mechanical design for a thin-film diamond crystal mounting apparatus for coherence preservation x-ray optics with optimized thermal contact and minimized crystal strain are provided. The novel thin-film diamond crystal mounting apparatus mounts a thin-film diamond crystal supported by a thick chemical vapor deposition (CVD) diamond film spacer with a thickness slightly thicker than the thin-film diamond crystal, and two groups of thin film thermal conductors, such as thin CVD diamond film thermal conductor groups separated by the thick CVD diamond spacer. The two groups of thin CVD film thermal conductors provide thermal conducting interface media with the thin-film diamond crystal. A piezoelectric actuator is integrated into a flexural clamping mechanism generating clamping force from zero to an optimal level.

  1. Prediction of the properties of PVD/CVD coatings with the use of FEM analysis

    Science.gov (United States)

    Śliwa, Agata; Mikuła, Jarosław; Gołombek, Klaudiusz; Tański, Tomasz; Kwaśny, Waldemar; Bonek, Mirosław; Brytan, Zbigniew

    2016-12-01

    The aim of this paper is to present the results of the prediction of the properties of PVD/CVD coatings with the use of finite element method (FEM) analysis. The possibility of employing the FEM in the evaluation of stress distribution in multilayer Ti/Ti(C,N)/CrN, Ti/Ti(C,N)/(Ti,Al)N, Ti/(Ti,Si)N/(Ti,Si)N, and Ti/DLC/DLC coatings by taking into account their deposition conditions on magnesium alloys has been discussed in the paper. The difference in internal stresses in the zone between the coating and the substrate is caused by, first of all, the difference between the mechanical and thermal properties of the substrate and the coating, and also by the structural changes that occur in these materials during the fabrication process, especially during the cooling process following PVD and CVD treatment. The experimental values of stresses were determined based on X-ray diffraction patterns that correspond to the modelled values, which in turn can be used to confirm the correctness of the accepted mathematical model for testing the problem. An FEM model was established for the purpose of building a computer simulation of the internal stresses in the coatings. The accuracy of the FEM model was verified by comparing the results of the computer simulation of the stresses with experimental results. A computer simulation of the stresses was carried out in the ANSYS environment using the FEM method. Structure observations, chemical composition measurements, and mechanical property characterisations of the investigated materials has been carried out to give a background for the discussion of the results that were recorded during the modelling process.

  2. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  3. The first bump-bonded pixel detectors on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Palmieri, V.G.; Pan, L.S.; Peitz, A.; Pernicka, M.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Steuerer, J.; Stone, R.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Turchetta, R.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Zeuner, W.; Ziock, H.; Zoeller, M.; Charles, E.; Ciocio, A.; Dao, K.; Einsweiler, K.; Fasching, D.; Gilchriese, M.; Joshi, A.; Kleinfelder, S.; Milgrome, O.; Palaio, N.; Richardson, J.; Sinervo, P.; Zizka, G.

    1999-01-01

    Diamond is a nearly ideal material for detecting ionising radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow it to be used in high radiation environments. These characteristics make diamond sensors particularly appealing for use in the next generation of pixel detectors. Over the last year, the RD42 collaboration has worked with several groups that have developed pixel readout electronics in order to optimise diamond sensors for bump-bonding. This effort resulted in an operational diamond pixel sensor that was tested in a pion beam. We demonstrate that greater than 98% of the channels were successfully bump-bonded and functioning. The device shows good overall hit efficiency as well as clear spatial hit correlation to tracks measured in a silicon reference telescope. A position resolution of 14.8 μm was observed, consistent with expectations given the detector pitch

  4. The first bump-bonded pixel detectors on CVD diamond

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Fried, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Palmieri, V G; Pan, L S; Peitz, A; Pernicka, Manfred; Pirollo, S; Polesello, P; Pretzl, Klaus P; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Steuerer, J; Stone, R; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Turchetta, R; Vittone, E; Wagner, A; Walsh, A M; Wedenig, R; Weilhammer, Peter; Zeuner, W; Ziock, H J; Zöller, M; Charles, E; Ciocio, A; Dao, K; Einsweiler, Kevin F; Fasching, D; Gilchriese, M G D; Joshi, A; Kleinfelder, S A; Milgrome, O; Palaio, N; Richardson, J; Sinervo, P K; Zizka, G

    1999-01-01

    Diamond is a nearly ideal material for detecting ionising radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow it to be used in high radiation environments. These characteristics make diamond sensors particularly appealing for use in the next generation of pixel detectors. Over the last year, the RD42 collaboration has worked with several groups that have developed pixel readout electronics in order to optimise diamond sensors for bump-bonding. This effort resulted in an operational diamond pixel sensor that was tested in a pion beam. We demonstrate that greater than 98565544f the channels were successfully bump-bonded and functioning. The device shows good overall hit efficiency as well as clear spatial hit correlation to tracks measured in a silicon reference telescope. A position resolution of 14.8 mu m was observed, consistent with expectations given the detector pitch. (13 refs).

  5. The first bump-bonded pixel detectors on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Palmieri, V.G.; Pan, L.S.; Peitz, A.; Pernicka, M.; Pirollo, S.; Polesello, P.; Pretzl, K.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Steuerer, J.; Stone, R.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W. E-mail: william@physics.utoronto.ca; Turchetta, R.; Vittone, E.; Wagner, A.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Zeuner, W.; Ziock, H.; Zoeller, M.; Charles, E.; Ciocio, A.; Dao, K.; Einsweiler, K.; Fasching, D.; Gilchriese, M.; Joshi, A.; Kleinfelder, S.; Milgrome, O.; Palaio, N.; Richardson, J.; Sinervo, P.; Zizka, G

    1999-11-01

    Diamond is a nearly ideal material for detecting ionising radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow it to be used in high radiation environments. These characteristics make diamond sensors particularly appealing for use in the next generation of pixel detectors. Over the last year, the RD42 collaboration has worked with several groups that have developed pixel readout electronics in order to optimise diamond sensors for bump-bonding. This effort resulted in an operational diamond pixel sensor that was tested in a pion beam. We demonstrate that greater than 98% of the channels were successfully bump-bonded and functioning. The device shows good overall hit efficiency as well as clear spatial hit correlation to tracks measured in a silicon reference telescope. A position resolution of 14.8 {mu}m was observed, consistent with expectations given the detector pitch.

  6. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    International Nuclear Information System (INIS)

    Okhotnikov, V V; Linnik, S A; Gaidaichuk, A V; Shashev, D V; Nazarova, G Yu; Yurchenko, V I

    2016-01-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·10 7 pcs/cm 2 ). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,). (paper)

  7. Selective deposition of polycrystalline diamond films using photolithography with addition of nanodiamonds as nucleation centers

    Science.gov (United States)

    Okhotnikov, V. V.; Linnik, S. A.; Gaidaichuk, A. V.; Shashev, D. V.; Nazarova, G. Yu; Yurchenko, V. I.

    2016-02-01

    A new method of selective deposition of polycrystalline diamond has been developed and studied. The diamond coatings with a complex, predetermined geometry and resolution up to 5 μm were obtained. A high density of polycrystallites in the coating area was reached (up to 32·107 pcs/cm2). The uniformity of the film reached 100%, and the degree of the surface contamination by parasitic crystals did not exceed 2%. The technology was based on the application of the standard photolithography with an addition of nanodiamond suspension into the photoresist that provided the creation of the centers of further nucleation in the areas which require further overgrowth. The films were deposited onto monocrystalline silicon substrates using the method of “hot filaments” in the CVD reactor. The properties of the coating and the impact of the nanodiamond suspension concentration in the photoresist were also studied. The potential use of the given method includes a high resolution, technological efficiency, and low labor costs compared to the standard methods (laser treatment, chemical etching in aggressive environments,).

  8. Profiling of Current Transients in Capacitor Type Diamond Sensors

    Science.gov (United States)

    Gaubas, Eugenijus; Ceponis, Tomas; Meskauskaite, Dovile; Kazuchits, Nikolai

    2015-01-01

    The operational characteristics of capacitor-type detectors based on HPHT and CVD diamond have been investigated using perpendicular and parallel injection of carrier domain regimes. Simulations of the drift-diffusion current transients have been implemented by using dynamic models based on Shockley-Ramo’s theorem, under injection of localized surface domains and of bulk charge carriers. The bipolar drift-diffusion regimes have been analyzed for the photo-induced bulk domain (packet) of excess carriers. The surface charge formation and polarization effects dependent on detector biasing voltage have been revealed. The screening effects ascribed to surface charge and to dynamics of extraction of the injected bulk excess carrier domain have been separated and explained. The parameters of drift mobility of the electrons μe = 4000 cm2/Vs and holes μh = 3800 cm2/Vs have been evaluated for CVD diamond using the perpendicular profiling of currents. The coefficient of carrier ambipolar diffusion Da = 97 cm2/s and the carrier recombination lifetime τR,CVD ≌ 110 ns in CVD diamond were extracted by combining analysis of the transients of the sensor current and the microwave probed photoconductivity. The carrier trapping with inherent lifetime τR,HPHT ≌ 2 ns prevails in HPHT diamond. PMID:26061200

  9. Luminescence and conductivity studies on CVD diamond exposed to UV light

    Science.gov (United States)

    Bizzarri, A.; Bogani, F.; Bruzzi, M.; Sciortino, S.

    1999-04-01

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination from bound states rather than due to radiative free to bound transitions, as generally assumed in TL theory. The TSC signal is likely to arise from impurity band rather than from free carriers conduction.

  10. Luminescence and conductivity studies on CVD diamond exposed to UV light

    International Nuclear Information System (INIS)

    Bizzarri, A.; Bogani, F.; Bruzzi, M.; Sciortino, S.

    1999-01-01

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination from bound states rather than due to radiative free to bound transitions, as generally assumed in TL theory. The TSC signal is likely to arise from impurity band rather than from free carriers conduction

  11. Luminescence and conductivity studies on CVD diamond exposed to UV light

    Energy Technology Data Exchange (ETDEWEB)

    Bizzarri, A.; Bogani, F.; Bruzzi, M.; Sciortino, S

    1999-04-21

    The photoluminescence (PL), thermoluminescence (TL) and thermally stimulated currents (TSC) of four high-quality CVD diamond films have been investigated in the range of temperatures between 300 and 700 K. The sample excitation has been carried out by means of an UV xenon lamp and UV laser lines. The features of the signals have been found equal to those obtained from particle excitation. The TL analysis shows the existence of several deep traps with activation energies between 0.6 and 1.0 eV. The contribution to the TL signal from different traps has been singled out by means of successive annealing processes. The TL results are in good agreement with those obtained from TSC measurements. The combined use of the two techniques allows a precise determination of the trap parameters. The spectral content of the TL response has also been compared with the PL signal in order to investigate the recombination process. This analysis shows that, in this temperature range, the TL signal is likely due to recombination from bound states rather than due to radiative free to bound transitions, as generally assumed in TL theory. The TSC signal is likely to arise from impurity band rather than from free carriers conduction.

  12. Recent results on the development of radiation-hard diamond detectors

    CERN Document Server

    Conway, J S; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Dabrowski, W; Da Graca, J; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jamieson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Plano, R; Polesello, P; Prawer, S; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Russ, J; Schnetzer, S; Sciortino, S; Somalwar, S V; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R; Thomson, G B; Trawick, M; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    Charged particle detectors made from chemical vapor deposition (CVD) diamond have radiation hardness greatly exceeding that of silicon- based detectors. The CERN-based RD42 Collaboration has developed and tested CVD diamond microstrip and pixel detectors with an eye to their application in the intense radiation environment near the interaction region of hadron colliders. This paper presents recent results from tests of these detectors. (4 refs).

  13. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    Science.gov (United States)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  14. Growing of synthetic diamond boron-doped films for analytical applications

    International Nuclear Information System (INIS)

    Barros, Rita de Cassia Mendes de; Suarez-Iha, Maria Encarnacion Vazquez; Corat, Evaldo Jose; Iha, Koshun

    1999-01-01

    Chemical vapor deposition (CVD) technology affords the possibility of producing synthetic diamond film electrodes, with several advantageous properties due the unique characteristics of diamond. In this work, we present the study of boron-doped diamond films growth on molybdenum and silicon substrates, using boron trioxide as dopant in a filament assisted CVD reactor. The objective was to obtain semiconductor diamond for use as electrode. The samples were characterized by scanning electron microscopy and Raman spectroscopy to confirm morphology and doping levels. We have assembled electrodes with the various samples, Pt, Mo, Si and diamond, by utilizing brass and left as base materials. The electrodes were tested in neutralization potentiometric titrations for future use in electroanalysis. Boron-doped electrodes have very good performance compared with Pt, widely used in analytical chemistry. (author)

  15. Neutron Detection at JET Using Artificial Diamond Detectors

    International Nuclear Information System (INIS)

    Pillon, M.; Angelone, M.; Lattanzi, D.; Milani, E.; Tucciarone, A.; Verona-Rinati, G.; Popovichev, S.; Murari, A.

    2006-01-01

    Three CVD diamond detectors are installed and operated at Joint European Torus, Culham laboratory. Diamond detectors are very promising detectors to be used in fusion environment due to their radiation hardness, gamma discrimination properties, fast response and spectroscopy properties. The aim of this work is to test and qualify artificial diamond detectors as neutron counters and spectrometers on a large fusion device. Two of these detectors are polycrystalline CVD diamond films of thickness 30 mm and 40 mm respectively while the third detector is a monocrystalline CVD of 110 mm thickness. The first polycrystalline diamond is covered with 4 mm of LiF 95 % enriched in 6 Li and enclosed inside a polyethylene moderator cap. This detector is used with a standard electronic chain made with a charge preamplifier, shaping amplifier and threshold discriminator. It is used to measure the time-dependent total neutron yield produced by JET plasma and its signal is compared with JET fission chambers. The second polycrystalline diamond is connected with a fast (1 GHz) preamplifier and a threshold discriminator via a long (about 100 m) double screened cable. This detector is used to detect the 14 MeV neutrons produced by triton burn-up using the reaction 12 C (n, α) 9 Be which occurs in diamond and a proper discriminator threshold. The response of this detector is fast and the electronic is far from the high radiation environment. Its signal is used in comparison with JET silicon diodes. The third monocrystalline diamond is also connected using a standard electronic and is used to demonstrate the feasibility of 14 MeV neutron spectrometry at about 3% peak resolution taking advantage of the spectrometer properties of monocrystalline diamonds. The results obtained are presented in this work. (author)

  16. Diamond film growth with modification properties of adhesion between substrate and diamond film

    Directory of Open Access Journals (Sweden)

    Setasuwon P.

    2004-03-01

    Full Text Available Diamond film growth was studied using chemical vapor deposition (CVD. A special equipment was build in-house, employing a welding torch, and substrate holder with a water-cooling system. Acetylene and oxygen were used as combustion gases and the substrate was tungsten carbide cobalt. It was found that surface treatments, such as diamond powder scratching or acid etching, increase the adhesion and prevent the film peel-off. Diamond powder scratching and combined diamond powder scratching with acid etching gave the similar diamond film structure with small grain and slightly rough surface. The diamond film obtained with both treatments has high adhesion and can withstand internal stress better than ones obtained by untreated surface or acid etching alone. It was also found that higher substrate temperature produced smoother surface and more uniform diamond grain.

  17. Adherent diamond coatings on cemented tungsten carbide substrates with new Fe/Ni/Co binder phase

    International Nuclear Information System (INIS)

    Polini, Riccardo; Delogu, Michele; Marcheselli, Giancarlo

    2006-01-01

    WC-Co hard metals continue to gain importance for cutting, mining and chipless forming tools. Cobalt metal currently dominates the market as a binder because of its unique properties. However, the use of cobalt as a binder has several drawbacks related to its hexagonal close-packed structure and market price fluctuations. These issues pushed the development of pre-alloyed binder powders which contain less than 40 wt.% cobalt. In this paper we first report the results of extensive investigations of WC-Fe/Ni/Co hard metal sintering, surface pretreating and deposition of adherent diamond films by using an industrial hot filament chemical vapour deposition (HFCVD) reactor. In particular, CVD diamond was deposited onto WC-Fe/Ni/Co grades which exhibited the best mechanical properties. Prior to deposition, the substrates were submitted to surface roughening by Murakami's etching and to surface binder removal by aqua regia. The adhesion was evaluated by Rockwell indentation tests (20, 40, 60 and 100 kg) conducted with a Brale indenter and compared to the adhesion of diamond films grown onto Co-cemented tungsten carbide substrates, which were submitted to similar etching pretreatments and identical deposition conditions. The results showed that diamond films on medium-grained WC-6 wt.% Fe/Ni/Co substrates exhibited good adhesion levels, comparable to those obtained for HFCVD diamond on Co-cemented carbides with similar microstructure

  18. Status of diamond particle detectors

    Science.gov (United States)

    Krammer, M.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fish, D.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knöpfle, K. T.; Manfredi, P. F.; Meier, D.; Mishina, M.; LeNormand, F.; Pan, L. S.; Pernegger, H.; Pernicka, M.; Re, V.; Riester, G. L.; Roe, S.; Roff, D.; Rudge, A.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Ziock, H.; Zoeller, M.

    1998-11-01

    To continue the exciting research in the field of particle physics new accelerators and experiments are under construction. In some of these experiments, e.g. ATLAS and CMS at the Large Hadron Collider at CERN or HERA-B at DESY, the detectors have to withstand an extreme environment. The detectors must be radiation hard, provide a very fast signal, and be as thin as possible. The properties of CVD diamond allow to fulfill these requirements and make it an ideal material for the detectors close to the interaction region of these experiments, i.e. the vertex detectors or the inner trackers. The RD42 collaboration is developing diamond detectors for these applications. The program of RD42 includes the improvement of the charge collection properties of CVD diamond, the study of the radiation hardness and the development of low-noise radiation hard readout electronics. An overview of the progress achieved during the last years will be given.

  19. Diamond-based materials for biomedical applications

    CERN Document Server

    Narayan, Roger

    2013-01-01

    Carbon is light-weight, strong, conductive and able to mimic natural materials within the body, making it ideal for many uses within biomedicine. Consequently a great deal of research and funding is being put into this interesting material with a view to increasing the variety of medical applications for which it is suitable. Diamond-based materials for biomedical applications presents readers with the fundamental principles and novel applications of this versatile material. Part one provides a clear introduction to diamond based materials for medical applications. Functionalization of diamond particles and surfaces is discussed, followed by biotribology and biological behaviour of nanocrystalline diamond coatings, and blood compatibility of diamond-like carbon coatings. Part two then goes on to review biomedical applications of diamond based materials, beginning with nanostructured diamond coatings for orthopaedic applications. Topics explored include ultrananocrystalline diamond for neural and ophthalmologi...

  20. Chemical Analysis of Impurity Boron Atoms in Diamond Using Soft X-ray Emission Spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Muramatsu, Yasuji; Iihara, Junji; Takebe, Toshihiko; Denlinger, Jonathan D.

    2008-03-29

    To analyze the local structure and/or chemical states of boron atoms in boron-doped diamond, which can be synthesized by the microwave plasma-assisted chemical vapor deposition method (CVD-B-diamond) and the temperature gradient method at high pressure and high temperature (HPT-B-diamond), we measured the soft X-ray emission spectra in the CK and BK regions of B-diamonds using synchrotron radiation at the Advanced Light Source (ALS). X-ray spectral analyses using the fingerprint method and molecular orbital calculations confirm that boron atoms in CVD-B-diamond substitute for carbon atoms in the diamond lattice to form covalent B-C bonds, while boron atoms in HPT-B-diamond react with the impurity nitrogen atoms to form hexagonal boron nitride. This suggests that the high purity diamond without nitrogen impurities is necessary to synthesize p-type B-diamond semiconductors.

  1. Polycrystalline Diamond Schottky Diodes and Their Applications.

    Science.gov (United States)

    Zhao, Ganming

    In this work, four-hot-filament CVD techniques for in situ boron doped diamond synthesis on silicon substrates were extensively studied. A novel tungsten filament shape and arrangement used to obtain large-area, uniform, boron doped polycrystalline diamond thin films. Both the experimental results and radiative heat transfer analysis showed that this technique improved the uniformity of the substrate temperature. XRD, Raman and SEM studies indicate that large area, uniform, high quality polycrystalline diamond films were obtained. Schottky diodes were fabricated by either sputter deposition of silver or thermal evaporation of aluminum or gold, on boron doped diamond thin films. High forward current density and a high forward-to-reverse current ratio were exhibited by silver on diamond Schottky diodes. Schottky barrier heights and the majority carrier concentrations of both aluminum and gold contacted diodes were determined from the C-V measurements. Furthermore, a novel theoretical C-V-f analysis of deep level boron doped diamond Schottky diodes was performed. The analytical results agree well with the experimental results. Compressive stress was found to have a large effect on the forward biased I-V characteristics of the diamond Schottky diodes, whereas the effect on the reverse biased characteristics was relatively small. The stress effect on the forward biased diamond Schottky diode was attributed to piezojunction and piezoresistance effects. The measured force sensitivity of the diode was as high as 0.75 V/N at 1 mA forward bias. This result shows that CVD diamond device has potential for mechanical transducer applications. The quantitative photoresponse characteristics of the diodes were studied in the spectral range of 300 -1050 nm. Semi-transparent gold contacts were used for better photoresponse. Quantum efficiency as high as 50% was obtained at 500 nm, when a reverse bias of over 1 volt was applied. The Schottky barrier heights between either gold or

  2. Development of Diamond Tracking Detectors for High Luminosity Experiments at the LHC, HL-LHC and Beyond

    CERN Document Server

    Kagan, Harris (Ohio State)

    2018-01-01

    The RD42 collaboration at CERN is leading the effort to develop radiation tolerant devices based on polycrystalline Chemical Vapor Deposition (pCVD) diamond as a material for tracking detectors operating in harsh radiation environments. Diamond has properties that make it suitable for such detector applications. During the last few years the RD42 group has succeeded in producing and characterising a number of devices to address specific issues related to their use at the LHC and HL-LHC. Herein we present the status of the RD42 project with emphasis on recent beam test results and our proposed three year research plan. In particular, we review recent results on the stability of signal size on incident particle rate in diamond detectors over a range of particle fluxes up to 20 MHz/cm2, on the radiation tolerance of CVD diamond, on the diamond work with ATLAS and CMS, on the results of 3D diamond detectors fabricated in pCVD diamond and on the work with diamond manufacturers. In addition, we present the details ...

  3. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  4. Tribosystems based on multilayered micro/nanocrystalline CVD diamond coatings =

    Science.gov (United States)

    Shabani, Mohammadmehdi

    A combinacao das caracteristicas do diamante microcristalino (MCD) e nanocristalino (NCD), tais como elevada adesao do MCD e a baixa rugosidade superficial e baixo coeficiente de atrito do NCD, e ideal para aplicacoes tribologicas exigentes. Deste modo, o presente trabalho centrou-se no desenvolvimento de revestimentos em multicamada MCD/NCD. Filmes com dez camadas foram depositados em amostras de cerâmicos de Si3N4 pela tecnica de deposicao quimica em fase vapor assistida por filamento quente (HFCVD). A microestrutura, qualidade do diamante e adesao foram investigadas usando tecnicas como SEM, AFM, espectroscopia Raman, DRX, indentacao Brale e perfilometria otica 3D. Diversas geometrias para aplicacoes distintas foram revestidas: discos e esferas para testes tribologicos a escala laboratorial, e para testes em servico, aneis de empanques mecânicos e pastilhas de corte para torneamento. Nos ensaios tribologicos esfera-sobre-plano em movimento reciproco, sob 10-90% de humidade relativa (RH), os valores medios dos coeficientes de atrito maximo e em estado estacionario sao de 0,32 e 0,09, respetivamente. Em relacao aos coeficientes de desgaste, observou-se um valor minimo de cerca de 5,2x10-8 mm3N-1m-1 para valores intermedios de 20-25% de RH. A humidade relativa tem um forte efeito sobre o valor da carga critica que triplica a partir de 40 N a 10% RH para 120 N a 90% de RH. No intervalo de temperaturas 50-100 °C, as cargas criticas sao semelhantes as obtidas em condicoes de baixa RH ( 10-25%). A vida util das ferramentas com revestimento de dez camadas alternadas MCD/NCD e 24 mum de espessura total no torneamento de um composito de matriz metalica Al- 15 vol% Al2O3 (Al-MMC) e melhor do que a maioria das ferramentas de diamante CVD encontradas na literatura, e semelhante a maioria das ferramentas de diamante policristalino (PCD). A formacao de cratera ocorre por desgaste sucessivo das varias camadas, atrasando a delaminacao total do revestimento de diamante do

  5. Effects of a diamond-like carbon coating on the frictional properties of orthodontic wires.

    Science.gov (United States)

    Muguruma, Takeshi; Iijima, Masahiro; Brantley, William A; Mizoguchi, Itaru

    2011-01-01

    To test the hypothesis that a diamond-like carbon coating does not affect the frictional properties of orthodontic wires. Two types of wires (nickel-titanium and stainless steel) were used, and diamond-like carbon (DLC) films were deposited on the wires. Three types of brackets, a conventional stainless steel bracket and two self-ligating brackets, were used for measuring static friction. DLC layers were observed by three-dimensional scanning electron microscopy (3D-SEM), and the surface roughness was measured. Hardness and elastic modulus were obtained by nanoindentation testing. Frictional forces and surface roughness were compared by the Kruskal-Wallis and Mann-Whitney U-tests. The hardness and elastic modulus of the wires were compared using Student's t-test. When angulation was increased, the DLC-coated wires showed significantly less frictional force than the as-received wires, except for some wire/bracket combinations. Thin DLC layers were observed on the wire surfaces by SEM. As-received and DLC-coated wires had similar surface morphologies, and the DLC-coating process did not affect the surface roughness. The hardness of the surface layer of the DLC-coated wires was much higher than for the as-received wires. The elastic modulus of the surface layer of the DLC-coated stainless steel wire was less than that of the as-received stainless steel wire, whereas similar values were found for the nickel-titanium wires. The hypothesis is rejected. A DLC-coating process does reduce the frictional force.

  6. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  7. On the development of a dual-layered diamond-coated tool for the effective machining of titanium Ti-6Al-4V alloy

    International Nuclear Information System (INIS)

    Srinivasan, Balaji; Rao, Balkrishna C; Ramachandra Rao, M S

    2017-01-01

    This work is focused on the development of a dual-layered diamond-coated tungsten carbide tool for machining titanium Ti-6Al-4V alloy. A hot-filament chemical vapor deposition technique was used to synthesize diamond films on tungsten carbide tools. A boron-doped diamond interlayer was added to a microcrystalline diamond layer in an attempt to improve the interface adhesion strength. The dual-layered diamond-coated tool was employed in machining at cutting speeds in the range of 70 to 150 m min −1 with a lower feed and a lower depth of cut of 0.5 mm rev −1 and 0.5 mm, respectively, to operate in the transition from adhesion- to diffusion-tool-wear and thereby arrive at suitable conditions for enhancing tool life. The proposed tool was then compared, on the basis of performance under real-time cutting conditions, with commercially available microcrystalline diamond, nanocrystalline diamond, titanium nitride and uncoated tungsten carbide tools. The life and surface finish of the proposed dual-layered tool and uncoated tungsten carbide were also investigated in interrupted cutting such as milling. The results of this study show a significant improvement in tool life and finish of Ti-6Al-4V parts machined with the dual-layered diamond-coated tool when compared with its uncoated counterpart. These results pave the way for the use of a low-cost tool, with respect to, polycrystalline diamond for enhancing both tool life and machining productivity in critical sectors fabricating parts out of titanium Ti-6Al-4V alloy. The application of this coating technology can also be extended to the machining of non-ferrous alloys owing to its better adhesion strength. (paper)

  8. Room temperature diamond-like carbon coatings produced by low energy ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Markwitz, A., E-mail: a.markwitz@gns.cri.nz [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Mohr, B.; Leveneur, J. [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand)

    2014-07-15

    Nanometre-smooth diamond-like carbon coatings (DLC) were produced at room temperature with ion implantation using 6 kV C{sub 3}H{sub y}{sup +} ion beams. Ion beam analysis measurements showed that the coatings contain no heavy Z impurities at the level of 100 ppm, have a homogeneous stoichiometry in depth and a hydrogen concentration of typically 25 at.%. High resolution TEM analysis showed high quality and atomically flat amorphous coatings on wafer silicon. Combined TEM and RBS analysis gave a coating density of 3.25 g cm{sup −3}. Raman spectroscopy was performed to probe for sp{sup 2}/sp{sup 3} bonds in the coatings. The results indicate that low energy ion implantation with 6 kV produces hydrogenated amorphous carbon coatings with a sp{sup 3} content of about 20%. Results highlight the opportunity of developing room temperature DLC coatings with ion beam technology for industrial applications.

  9. Encapsulation of electroless copper patterns into diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Pimenov, S.M.; Shafeev, G.A.; Lavrischev, S.V. [General Physics Institute, Moscow (Russian Federation)] [and others

    1995-12-31

    The results are reported on encapsulating copper lines into diamond films grown by a DC plasma CVD. The process includes the steps of (i) laser activation of diamond for electroless metal plating, (ii) electroless copper deposition selectively onto the activated surface regions, and (iii) diamond regrowth on the Cu-patterned diamond films. The composition and electrical properties of the encapsulated copper lines were examined, revealing high purity and low electrical resistivity of the encapsulated electroless copper.

  10. Effects of temperature and Mo2C layer on stress and structural properties in CVD diamond film grown on Mo foil

    International Nuclear Information System (INIS)

    Long, Fen; Wei, Qiuping; Yu, Z.M.; Luo, Jiaqi; Zhang, Xiongwei; Long, Hangyu; Wu, Xianzhe

    2013-01-01

    Highlights: •Polycrystalline diamond films were grown on Mo foil substrates by HF-CVD. •We investigated the temperature dependence of the film stress for each sample. •We show that how the thermal stress and intrinsic stress affects the total stress. •The stress of Mo foil substrate obtained by XRD was investigated in this study. •The effect of Mo 2 C interface layer for stress of multilayer system was considered. -- Abstract: Polycrystalline diamond films have been prepared by hot-filament-assisted chemical vapor deposition (HFCVD) on Mo foils. The morphology, growth rate, phase composition, element distribution and residual stress of the films at different temperature were investigated by field-emission scanning electron microscopy, Raman spectrum, field emission electron probe microanalysis and X-ray diffraction. Results show that the residual stress of the diamond films is compressive. The thermal stress plays a decisive role in the total stress, while the intrinsic stress can change the trend of the total stress. The residual stress of substrate gradually changes from tensile stress to compressive stress with the increase of the deposited temperature. A Mo 2 C interlayer is formed during deposition process, and this layer has an important influence on the stresses of films and substrates

  11. Influence of defects on diamond detection properties

    International Nuclear Information System (INIS)

    Tromson, Dominique

    2000-01-01

    This work focuses on the study of the influence of defects on the detection properties of diamond. Devices are fabricated using natural as well as synthetic diamond samples grown using the plasma enhanced chemical vapour deposition (CVD). Optical studies with infrared and Raman spectrometry are used to characterise the material properties as well as thermoluminescence and thermally stimulated current measurements. These thermally stimulated analyses reveal the presence of several trapping levels with emission temperatures below or near room temperature as well as an important level near 550 K. The influence of these defects on the alpha and X-ray detector responses is studied as a function of the initial state of the detectors (thermal treatment, irradiation) and of the measurement conditions (time, temperature). The results show a significant correlation between the charged state of traps, namely filled or empty and the response of the detectors. It appears that filling and emptying the traps respectively enhances the sensitivity and stability of detection devices to be used at room temperature and decreases the detection properties at higher temperature. Localised measurements are also used to study the spatial inhomogeneity of natural and CVD diamond samples from the 2D mapping of the detector responses. Non uniformity are attributed to a non-isotropic distribution of defects in natural diamonds. By comparing the detector responses to the topographical map of CVD samples a correlation appears between grains and grain boundaries with the variation of the detector sensitivity. Devices fabricated for detection applications with CVD samples are presented and namely for the monitoring and profiling of synchrotron beams as well as dose rate measurements in harsh environments. (author) [fr

  12. Cold cathodes on ultra-dispersed diamond base

    International Nuclear Information System (INIS)

    Alimova, A.N.; Zhirnov, V.V.; Chubun, N.N.; Belobrov, P.I.

    1998-01-01

    Prospects of application of nano diamond powders for fabrication of cold cathodes are discussed.Cold cathodes based on silicon pointed structures with nano diamond coatings were prepared.The deposition technique of diamond coating was dielectrophoresis from suspension of nano diamond powder in organic liquids.The cathodes were tested in sealed prototypes of vacuum electronic devices

  13. Zero bias thermally stimulated currents in synthetic diamond

    Science.gov (United States)

    Mori, R.; Miglio, S.; Bruzzi, M.; Bogani, F.; De Sio, A.; Pace, E.

    2009-06-01

    Zero bias thermally stimulated currents (ZBTSCs) have been observed in single crystal high pressure high temperature (HPHT) and polycrystalline chemical vapor deposited (pCVD) diamond films. The ZBTSC technique is characterized by an increased sensitivity with respect to a standard TSC analysis. Due to the absence of the thermally activated background current, new TSC peaks have been observed in both HPHT and pCVD diamond films, related to shallow activation energies usually obscured by the emission of the dominant impurities. The ZBTSC peaks are explained in terms of defect discharge in the nonequilibrium potential distribution created by a nonuniform traps filling at the metal-diamond junctions. The electric field due to the charged defects has been estimated in a quasizero bias TSC experiment by applying an external bias.

  14. Tl and OSL dosimetry of diamond films CVD pure and unpurified with boron-carbon; Dosimetria Tl y OSL de peliculas de diamante CVD puras e impurificadas con boro-carbono

    Energy Technology Data Exchange (ETDEWEB)

    Melendrez, R.; Pedroza M, M.; Chernov, V.; Ochoa N, J.D.; Bernal, R.; Barboza F, M. [CIF, UNISON, A.P. 5-088, 83190 Hermosillo, Sonora (Mexico); Castaneda, B. [Departamento de Fisica, Universidad de Sonora, Apdo. Postal 1626, Hermosillo, Sonora (Mexico); Goncalves, J.A.N.; Sandonato, G.M. [Laboratorio Associado de Plasma, Instituto Nacional de Pesquisas Espaciais C.P. 515- 12201 -970, Sao Jose dos Campos, SP (Brazil); Cruz Z, E. [Instituto de Ciencias Nucleares, UNAM, Apdo. Postal 70-543, 04510 Mexico D.F. (Mexico); Preciado F, S.; Cruz V, C.; Brown, F. [Departamento de Investigacion en Polimeros y Materiales de la Universidad de Sonora, Apdo. Postal 130, 83000 Hermosillo, Sonora (Mexico); Schreck, M. [Universitaet Augsburg, Institut fuer Physik D-86135 Augsburg (Germany)

    2004-07-01

    The diamond is a material that possesses extreme physical properties, such as its hardness to the radiation, its low chemical reactivity besides its equivalence to the human tissue, which qualify him as an ideal material for radiation dosimetry. In this work, it was studied the thermal and optically stimulated response (Tl and OSL) of polycrystalline diamond films grown by the technique of CVD pure and contaminated with Boron-carbon (B/C) with the intention of characterizing their efficiency like a dosemeter for radiation in a range of 0 - 3000 Gy. For the case of the films without impurities, the Tl curve presents four main peaks, two of them in an interval of temperatures of 150-200 C and other two additional around of 250-400 C. The dependence of the response of integrated Tl and that of OSL always maintained a lineal relationship with the exhibition dose up to 100 Gy. The behavior of the films contaminated with B/C (2000 - 20000 ppm) was established through experiments that involved the signal of OSL and their relationship with the Tl response. It was found that this processes are correlated, since the electrons caught in the traps of low temperature (50 - 250 C) of the Tl they are the electrons that recombining with more probability to provide the signal of OSL. According to these results it is possible to propose the diamond films as a good candidate for dosimetry to, using the traditional technique of Tl so much as well as the but recent of OSL. (Author)

  15. A Bayesian method to estimate the neutron response matrix of a single crystal CVD diamond detector

    International Nuclear Information System (INIS)

    Reginatto, Marcel; Araque, Jorge Guerrero; Nolte, Ralf; Zbořil, Miroslav; Zimbal, Andreas; Gagnon-Moisan, Francis

    2015-01-01

    Detectors made from artificial chemical vapor deposition (CVD) single crystal diamond are very promising candidates for applications where high resolution neutron spectrometry in very high neutron fluxes is required, for example in fusion research. We propose a Bayesian method to estimate the neutron response function of the detector for a continuous range of neutron energies (in our case, 10 MeV ≤ E n ≤ 16 MeV) based on a few measurements with quasi-monoenergetic neutrons. This method is needed because a complete set of measurements is not available and the alternative approach of using responses based on Monte Carlo calculations is not feasible. Our approach uses Bayesian signal-background separation techniques and radial basis function interpolation methods. We present the analysis of data measured at the PTB accelerator facility PIAF. The method is quite general and it can be applied to other particle detectors with similar characteristics

  16. Flexible diamond-like carbon thin film coated on rubbers: fundamentals and applications

    NARCIS (Netherlands)

    Pei, Yutao

    2015-01-01

    Dynamic rubber seals are the major source of friction in lubrication systems and bearings, which may take up to 70% of the total friction. Our solution is to coat rubbers with flexible diamond-like carbon (DLC) thin film by which the coefficient of friction is reduced from above 1.5 to below 0.15.

  17. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  18. Trapezoidal diffraction grating beam splitters in single crystal diamond

    Science.gov (United States)

    Kiss, Marcell; Graziosi, Teodoro; Quack, Niels

    2018-02-01

    Single Crystal Diamond has been recognized as a prime material for optical components in high power applications due to low absorption and high thermal conductivity. However, diamond microstructuring remains challenging. Here, we report on the fabrication and characterization of optical diffraction gratings exhibiting a symmetric trapezoidal profile etched into a single crystal diamond substrate. The optimized grating geometry diffracts the transmitted optical power into precisely defined proportions, performing as an effective beam splitter. We fabricate our gratings in commercially available single crystal CVD diamond plates (2.6mm x 2.6mm x 0.3mm). Using a sputter deposited hard mask and patterning by contact lithography, the diamond is etched in an inductively coupled oxygen plasma with zero platen power. The etch process effectively reveals the characteristic {111} diamond crystal planes, creating a precisely defined angled (54.7°) profile. SEM and AFM measurements of the fabricated gratings evidence the trapezoidal shape with a pitch of 3.82μm, depth of 170 nm and duty cycle of 35.5%. Optical characterization is performed in transmission using a 650nm laser source perpendicular to the sample. The recorded transmitted optical power as function of detector rotation angle shows a distribution of 21.1% in the 0th order and 23.6% in each +/-1st order (16.1% reflected, 16.6% in higher orders). To our knowledge, this is the first demonstration of diffraction gratings with trapezoidal profile in single crystal diamond. The fabrication process will enable beam splitter gratings of custom defined optical power distribution profiles, while antireflection coatings can increase the efficiency.

  19. Plasma spraying method for forming diamond and diamond-like coatings

    Science.gov (United States)

    Holcombe, Cressie E.; Seals, Roland D.; Price, R. Eugene

    1997-01-01

    A method and composition for the deposition of a thick layer (10) of diamond or diamond-like material. The method includes high temperature processing wherein a selected composition (12) including at least glassy carbon is heated in a direct current plasma arc device to a selected temperature above the softening point, in an inert atmosphere, and is propelled to quickly quenched on a selected substrate (20). The softened or molten composition (18) crystallizes on the substrate (20) to form a thick deposition layer (10) comprising at least a diamond or diamond-like material. The selected composition (12) includes at least glassy carbon as a primary constituent (14) and may include at least one secondary constituent (16). Preferably, the secondary constituents (16) are selected from the group consisting of at least diamond powder, boron carbide (B.sub.4 C) powder and mixtures thereof.

  20. Status of diamond particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Krammer, M.; Adam, W.; Friedl, M.; Hrubec, J.; Pernegger, H.; Pernicka, M. [Institut fuer Hochenergiephysik der Oesterr. Akademie d. Wissenschaften, Nikolsdorferg. 18, A-1050 Vienna (Austria); Bauer, C. [MPI fuer Kernphysik, D-69029 Heidelberg (Germany); Berdermann, E.; Stelzer, H. [GSI, Darmstadt (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M.; Sciortino, S. [University of Florence, Florence (Italy); Colledani, C.; Dulinski, W.; Husson, D.; LeNormand, F.; Riester, G.L.; Turchetta, R. [LEPSI, CRN Strasbourg (France); Conway, J.; Fish, D.; Schnetzer, S.; Stone, R.; Tesarek, R.; Thomson, G.B.; Walsh, A.M. [Rutgers University, Piscataway, NJ (United States); Dabrowski, W.; Kaplon, J.; Meier, D.; Roe, S.; Rudge, A.; Wedenig, R.; Weilhammer, P. [CERN, CH-1211 Geneva (Switzerland); Delpierre, P.; Hallewell, G. [CPPM, Marseille (France); Deneuville, A.; Cheeraert, E. [LEPES, Grenoble (France); Eijk, B.V.; Hartjes, F. [NIKHEF, Amsterdam (Netherlands); Fallou, A. [CPPM, Marseille (France); Foulon, F. [Centre d' Etudes de Saclay, 91191 Gif-Sur-Yvette (France); Gan, K.K.; Kagan, H.; Kass, R.; Trawick, M.; Zoeller, M. [The Ohio State University, Columbus, OH (United States); Grigoriev, E.; Knoepfle, K.T. [MPI fuer Kernphysik, D-69029 Heidelberg (Germany); Hall-Wilton, R. [Bristol University, Bristol (United Kingdom); Han, S.; Ziock, H. [Los Alamos National Laboratory, Research Division, Los Alamos, NM (United States); Kania, D. [Lawrence Livermore National Laboratory, Livermore, CA (United States); Manfredi, P.F.; Re, V.; Speziali, V. [Universita di Pavia, Dipartimento di Elettronica, 27100 Pavia (Italy); Mishina, M. [FNAL, Batavia, IL (United States); Pan, L.S. [Sandia National Laboratory, Albuquerque, NM (United States); Roff, D.; Tapper, R.J. [Bristol University, Bristol (United Kingdom); Trischuk, W. [University of Toronto, Toronto (Canada)

    1998-11-21

    To continue the exciting research in the field of particle physics new accelerators and experiments are under construction. In some of these experiments, e.g. ATLAS and CMS at the Large Hadron Collider at CERN or HERA-B at DESY, the detectors have to withstand an extreme environment. The detectors must be radiation hard, provide a very fast signal, and be as thin as possible. The properties of CVD diamond allow to fulfill these requirements and make it an ideal material for the detectors close to the interaction region of these experiments, i.e. the vertex detectors or the inner trackers. The RD42 collaboration is developing diamond detectors for these applications. The program of RD42 includes the improvement of the charge collection properties of CVD diamond, the study of the radiation hardness and the development of low-noise radiation hard readout electronics. An overview of the progress achieved during the last years will be given. (Copyright (c) 1998 Elsevier Science B.V., Amsterdam. All rights reserved.)

  1. Status of diamond particle detectors

    International Nuclear Information System (INIS)

    Krammer, M.; Adam, W.; Friedl, M.; Hrubec, J.; Pernegger, H.; Pernicka, M.; Bauer, C.; Berdermann, E.; Stelzer, H.; Bogani, F.; Borchi, E.; Bruzzi, M.; Sciortino, S.; Colledani, C.; Dulinski, W.; Husson, D.; LeNormand, F.; Riester, G.L.; Turchetta, R.; Conway, J.; Fish, D.; Schnetzer, S.; Stone, R.; Tesarek, R.; Thomson, G.B.; Walsh, A.M.; Dabrowski, W.; Kaplon, J.; Meier, D.; Roe, S.; Rudge, A.; Wedenig, R.; Weilhammer, P.; Delpierre, P.; Hallewell, G.; Deneuville, A.; Cheeraert, E.; Eijk, B.V.; Hartjes, F.; Fallou, A.; Foulon, F.; Gan, K.K.; Kagan, H.; Kass, R.; Trawick, M.; Zoeller, M.; Grigoriev, E.; Knoepfle, K.T.; Hall-Wilton, R.; Han, S.; Ziock, H.; Kania, D.; Manfredi, P.F.; Re, V.; Speziali, V.; Mishina, M.; Pan, L.S.; Roff, D.; Tapper, R.J.; Trischuk, W.

    1998-01-01

    To continue the exciting research in the field of particle physics new accelerators and experiments are under construction. In some of these experiments, e.g. ATLAS and CMS at the Large Hadron Collider at CERN or HERA-B at DESY, the detectors have to withstand an extreme environment. The detectors must be radiation hard, provide a very fast signal, and be as thin as possible. The properties of CVD diamond allow to fulfill these requirements and make it an ideal material for the detectors close to the interaction region of these experiments, i.e. the vertex detectors or the inner trackers. The RD42 collaboration is developing diamond detectors for these applications. The program of RD42 includes the improvement of the charge collection properties of CVD diamond, the study of the radiation hardness and the development of low-noise radiation hard readout electronics. An overview of the progress achieved during the last years will be given. (Copyright (c) 1998 Elsevier Science B.V., Amsterdam. All rights reserved.)

  2. FY 2000 report on the results of the regional consortium R and D project - Regional new technology creation R and D. First year report. Functional molds with highly polished diamond coatings; 2000 nendo chiiki consortium kenkyu kaihatsu jigyo - chiiki shingijutsu soshutsu kenkyu kaihatsu. Kyomen diamond maku wo yusuru kokino kanagata (shonendo) seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The development was proceeded with of the technology to form the uniform diamond coating on mold with the complicated shape and also to highly polish it by a new chemical polishing method. The new chemical polishing method is a method using TiAlX intermetallic compounds as grindstone. Reaction with each other of Ti and C by frictional heat expedites diamond polishing. 1) development of the controlling technology of diamond coating interface; 2) development of the 3-D diamond polishing system; 3) demonstrative test on diamond coating; 4) study of a possibility of the commercialization. 1) was divided into the development of the controlling technology of diamond coating interface and the survey of super-hard alloys suitable for diamond coating formation. In the latter, it was found out that the highly Co containing particular WC super-hard alloys were bad in adhesiveness to diamond coating. Therefore, studies were made on the manufacturing of super-hard alloys which use coarse WC only near the surface and does not have much Co and on the use of SiC excellent in adhesiveness both to super-hard alloys and diamond for intermediate layer. (NEDO)

  3. Bragg superlattice for obtaining individual photoluminescence of diamond color centers in dense 3D ensembles

    Science.gov (United States)

    Kukushkin, V. A.

    2017-10-01

    A way to significantly increase the spatial resolution of the color center photoluminescence collection in chemically vapor-deposited (CVD) diamond at a fixed exciting beam focal volume is suggested. It is based on the creation of a narrow waveguide for the color center photoluminescence with a small number of allowed vertical indices of guided modes. The waveguide is formed between the top surface of a CVD diamond film and an underlaid mirror—a Bragg superlattice made of interchanging high- and low boron-doped layers of CVD diamond. The guided color center photoluminescence is extracted through the top surface of a CVD diamond film with the frustrated total internal reflection method. According to the results of simulation made for a case when color centers are nitrogen-vacancy (NV) centers, the suggested way allows to increase the maximal value of the NV center concentration still compatible with selective collection of their photoluminescence by several times at a fixed exciting beam focal volume. This increase is provided without the deterioration of the NV center photoluminescence collection efficiency.

  4. Surface hardening of optic materials by deposition of diamond like carbon coatings from separated plasma of arc discharge

    Science.gov (United States)

    Osipkov, A. S.; Bashkov, V. M.; Belyaeva, A. O.; Stepanov, R.; Mironov, Y. M.; Galinovsky, A. L.

    2015-02-01

    This article considers the issue of strengthening of optic materials used in the IR spectrum by deposition of diamond like carbon coatings from separated plasma arc discharge. The report shows results of tests of bare and strengthened optical materials such as BaF2, MgF2, Si, Ge, including the testing of their strength and spectral characteristics. Results for the determination of optical constants for the DLC coatings deposited on substrates of Ge and Si, by using separated plasma, are also presented. Investigations showed that surface hardening of optical materials operable in the IR range, by the deposition of diamond like carbon coating onto their surface, according to this technology, considerably improves operational properties and preserves or improves their optic properties.

  5. Optically stimulated luminescence and thermoluminescence in CVD diamond and dosimetric evaluation in fields of ionizing radiation; Luminiscencia opticamente estimulada y termoluminiscencia en diamante DQV y evaluacion dosimetrica en campos de radiacion ionizante

    Energy Technology Data Exchange (ETDEWEB)

    Barboza F, M.; Chernov, V.; Pedroza M, M. [Centro de Investigaciones en Fisica, Universidad de Sonora, A.P. 5-088, 83190 Hermosillo, Sonora (Mexico); Schreck, M. [Universitat Augsburg, Institut fur Physik D-86135, Augsburg (Germany); Preciado F, S.; Melendrez, R. [Universidad de Sonora, A.P. 130, 83000 Hermosillo, Sonora (Mexico)

    2006-07-01

    The optically stimulated luminescence (OSL) results a highly appropriate dosimetric technique for readings of absorbed radiation 'in alive' and 'in situ', as well as in real time. The CVD diamond on the other hand presents excellent qualities like radiation reader thanks to its reproducibility, radiation resistance, biocompatibility and non toxicity. The present work studies the answer of two diamond films pure and polluted with nitrogen (750 ppm) grown by the Chemical Vapor Deposition method (CVD) on silicon substrate (001) irradiated with beta (Sr-90) in the 0.833-100 Gy interval. The optical stimulation was carried out by 40 seconds with infrared laser (830 nm, 0.36 W/cm{sup 2}) and the filter BG-39 (300-600 nm) coupled the PM. The intensity and the decay of the hyperbolic type of the LOE curves were similar in both samples, for the non doped diamond were observed trapping states in 200-380 C being compared with those that it presents the polluted diamond with nitrogen in 80-277 C. The dosimetric behavior in the pure sample is observed lineal in two regions 0-16 Gy and in 30-100 Gy, only the doped sample didn't present linearity in the all range of the studied dose. The advantage is stood out of LOE on TL because the first one not requires of thermal stimulation in such a way that is more appropriate to detect and to measure radiation doses in biomedicine. (Author)

  6. High-speed deposition of titanium carbide coatings by laser-assisted metal–organic CVD

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Yansheng [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tu, Rong, E-mail: turong@whut.edu.cn [State Key Laboratory of Advanced Technology for Material Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); Goto, Takashi [Institute for Materials Research, Tohoku University, Aoba-ku, 2-1-1 Katahira, Sendai 980-8577 (Japan)

    2013-08-01

    Graphical abstract: - Highlights: • A semiconductor laser was first used to prepare wide-area LCVD-TiC{sub x} coatings. • The effect of laser power for the deposition of TiC{sub x} coatings was discussed. • TiC{sub x} coatings showed a columnar cross section and a dense surface texture. • TiC{sub x} coatings had a 1–4 order lower laser density than those of previous reports. • This study gives the possibility of LCVD applying on the preparation of TiC{sub x} coating. - Abstract: A semiconductor laser-assisted chemical vapor deposition (LCVD) of titanium carbide (TiC{sub x}) coatings on Al{sub 2}O{sub 3} substrate using tetrakis (diethylamido) titanium (TDEAT) and C{sub 2}H{sub 2} as source materials were investigated. The influences of laser power (P{sub L}) and pre-heating temperature (T{sub pre}) on the microstructure and deposition rate of TiC{sub x} coatings were examined. Single phase of TiC{sub x} coatings were obtained at P{sub L} = 100–200 W. TiC{sub x} coatings had a cauliflower-like surface and columnar cross section. TiC{sub x} coatings in the present study had the highest R{sub dep} (54 μm/h) at a relative low T{sub dep} than those of conventional CVD-TiC{sub x} coatings. The highest volume deposition rate (V{sub dep}) of TiC{sub x} coatings was about 4.7 × 10{sup −12} m{sup 3} s{sup −1}, which had 3–10{sup 5} times larger deposition area and 1–4 order lower laser density than those of previous LCVD using CO{sub 2}, Nd:YAG and argon ion laser.

  7. n-type diamond growth by phosphorus doping on (0 0 1)-oriented surface

    International Nuclear Information System (INIS)

    Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Okushi, Hideyo

    2007-01-01

    The properties of phosphorus incorporation for n-type doping of diamond are discussed and summarized. Doping of (0 0 1)-oriented diamond is introduced and compared with results achieved on (1 1 1) diamond. This review describes detailed procedures and conditions of plasma-enhanced chemical vapour deposition (CVD) growth and characteristics of electrical properties of phosphorus-doped diamond. The phosphorus incorporation was characterized by SIMS analysis including mapping. n-type conductivity is evaluated by Hall-effect measurements over a temperature regime of 300-1000 K. The crystal perfection of (0 0 1)-oriented n-type diamond is also evaluated by x-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction and cathodoluminescence analyses. The results show that phosphorus atoms are incorporated into the diamond network during (0 0 1) CVD diamond growth and that phosphorus acts as a donor as in (1 1 1)-oriented diamond. This result eliminates the restriction on substrate orientation, which had previously created a bottleneck in the development of diamond electronic devices. (review article)

  8. Thermally stable diamond brazing

    Science.gov (United States)

    Radtke, Robert P [Kingwood, TX

    2009-02-10

    A cutting element and a method for forming a cutting element is described and shown. The cutting element includes a substrate, a TSP diamond layer, a metal interlayer between the substrate and the diamond layer, and a braze joint securing the diamond layer to the substrate. The thickness of the metal interlayer is determined according to a formula. The formula takes into account the thickness and modulus of elasticity of the metal interlayer and the thickness of the TSP diamond. This prevents the use of a too thin or too thick metal interlayer. A metal interlayer that is too thin is not capable of absorbing enough energy to prevent the TSP diamond from fracturing. A metal interlayer that is too thick may allow the TSP diamond to fracture by reason of bending stress. A coating may be provided between the TSP diamond layer and the metal interlayer. This coating serves as a thermal barrier and to control residual thermal stress.

  9. Non-Lubricated Diamond-Coated Bearings Reinforced by Carbon Fibers to Work in Lunar Dust, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — In Phase I, we made prototype sliding bearings from functionally-graded, diamond-coated carbon-fiber reinforced composite. In dry-sliding experiments, the friction...

  10. Structural and functional characterization of HPHT diamond crystals used in photoconductive devices

    Energy Technology Data Exchange (ETDEWEB)

    Pace, E.; Pini, A. [Florence Univ. (Italy). Ist. di Astronomia; Vinattieri, A.; Bogani, F.; Santoro, M.; Messina, G.; Santangelo, S.; Sato, Y.

    2000-09-01

    Diamond films are extensively studied for applications as functional material for UV photoconductors. CVD-grown polycrystalline diamond films show very interesting performances, but their complete exploitation is actually limited by a slow time response if compared to other materials, by a relatively high concentration of structural defects, impurities and grain boundaries, which may affect the collection length of photogenerated charges. High-quality single crystal diamonds could solve some of these problems. The absence of grain boundaries can produce longer collection lengths. The nitrogen and impurity contents can be reduced and then large type-IIa diamond single-crystals can be obtained. In this work, a detailed structural and functional characterization of type Ib HPHT diamond crystals has been carried out and the results have been compared to similar characterizations of CVD films to evaluate the different behavior, taking also into account that these high pressure high temperature (HPHT) diamond crystals contain several tens ppm of nitrogen. (orig.)

  11. X-ray beam monitor made by thin-film CVD single-crystal diamond.

    Science.gov (United States)

    Marinelli, Marco; Milani, E; Prestopino, G; Verona, C; Verona-Rinati, G; Angelone, M; Pillon, M; Kachkanov, V; Tartoni, N; Benetti, M; Cannatà, D; Di Pietrantonio, F

    2012-11-01

    A novel beam position monitor, operated at zero bias voltage, based on high-quality chemical-vapor-deposition single-crystal Schottky diamond for use under intense synchrotron X-ray beams was fabricated and tested. The total thickness of the diamond thin-film beam monitor is about 60 µm. The diamond beam monitor was inserted in the B16 beamline of the Diamond Light Source synchrotron in Harwell (UK). The device was characterized under monochromatic high-flux X-ray beams from 6 to 20 keV and a micro-focused 10 keV beam with a spot size of approximately 2 µm × 3 µm square. Time response, linearity and position sensitivity were investigated. Device response uniformity was measured by a raster scan of the diamond surface with the micro-focused beam. Transmissivity and spectral responsivity versus beam energy were also measured, showing excellent performance of the new thin-film single-crystal diamond beam monitor.

  12. Beam Tests of Diamond-Like Carbon Coating for Mitigation of Electron Cloud

    Energy Technology Data Exchange (ETDEWEB)

    Eldred, Jeffrey [Fermilab; Backfish, Michael [Fermilab; Kato, Shigeki [KEK, Tsukuba; Tan, Cheng-Yang [Fermilab; Zwaska, Robert [Fermilab

    2017-05-01

    Electron cloud beam instabilities are an important consideration in virtually all high-energy particle accelerators and could pose a formidable challenge to forthcoming high-intensity accelerator upgrades. Our results evaluate the efficacy of a diamond-like carbon (DLC) coating for the mitigation of electron in the Fermilab Main Injector. The interior surface of the beampipe conditions in response to electron bombardment from the electron cloud and we track the change in electron cloud flux over time in the DLC coated beampipe and uncoated stainless steel beampipe. The electron flux is measured by retarding field analyzers placed in a field-free region of the Main Injector. We find the DLC coating reduces the electron cloud signal to roughly 2\\% of that measured in the uncoated stainless steel beampipe.

  13. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  14. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  15. Coating dental implant abutment screws with diamondlike carbon doped with diamond nanoparticles: the effect on maintaining torque after mechanical cycling.

    Science.gov (United States)

    Lepesqueur, Laura Soares; de Figueiredo, Viviane Maria Gonçalves; Ferreira, Leandro Lameirão; Sobrinho, Argemiro Soares da Silva; Massi, Marcos; Bottino, Marco Antônio; Nogueira Junior, Lafayette

    2015-01-01

    To determine the effect of maintaining torque after mechanical cycling of abutment screws that are coated with diamondlike carbon and coated with diamondlike carbon doped with diamond nanoparticles, with external and internal hex connections. Sixty implants were divided into six groups according to the type of connection (external or internal hex) and the type of abutment screw (uncoated, coated with diamondlike carbon, and coated with diamondlike carbon doped with diamond nanoparticles). The implants were inserted into polyurethane resin and crowns of nickel chrome were cemented on the implants. The crowns had a hole for access to the screw. The initial torque and the torque after mechanical cycling were measured. The torque values maintained (in percentages) were evaluated. Statistical analysis was performed using one-way analysis of variance and the Tukey test, with a significance level of 5%. The largest torque value was maintained in uncoated screws with external hex connections, a finding that was statistically significant (P = .0001). No statistically significant differences were seen between the groups with and without coating in maintaining torque for screws with internal hex connections (P = .5476). After mechanical cycling, the diamondlike carbon with and without diamond doping on the abutment screws showed no improvement in maintaining torque in external and internal hex connections.

  16. Hydrophilic nano-silica coating agents with platinum and diamond nanoparticles for denture base materials.

    Science.gov (United States)

    Yoshizaki, Taro; Akiba, Norihisa; Inokoshi, Masanao; Shimada, Masayuki; Minakuchi, Shunsuke

    2017-05-31

    Preventing microorganisms from adhering to the denture surface is important for ensuring the systemic health of elderly denture wearers. Silica coating agents provide high hydrophilicity but lack durability. This study investigated solutions to improve the durability of the coating layer, determine an appropriate solid content concentration of SiO 2 in the silica coating agent, and evaluate the effect of adding platinum (Pt) and diamond nanoparticles (ND) to the agent. Five coating agents were prepared with different SiO 2 concentrations with/without Pt and ND additives. The contact angle was measured, and the brush-wear test was performed. Scanning electron microscopy was used to investigate the silica coating layer. The appropriate concentration of SiO 2 was found to be 0.5-0.75 wt%. The coating agents with additives showed significantly high hydrophilicity immediately after coating and after the brush-wear test. The coating agents with/without additives formed a durable coating layer even after the brush-wear test.

  17. [The change of bacterial adhesion during deposition nitrogen-diamond like carbon coating on pure titanium].

    Science.gov (United States)

    Yin, Lu; Xiao, Yun

    2011-10-01

    The aim of this study was to observe the change of bacterial adhesion on pure titanium coated with nitrogen-diamond like carbon (N-DLC) films and to guide the clinical application. N-DLC was deposited on titanium using ion plating machine, TiN film, anodic oxide film and non-deposition were used as control, then made specimens adhering on the surface of resin denture base for 6 months. The adhesion of Saccharomyces albicans on the titanium surface was observed using scanning electron microscope, and the roughness was tested by roughness detector. The number of Saccharomyces albicans adhering on diamond-like carbon film was significantly less than on the other groups (P DLC film was less than other group (P coated with N-DLC film reduced the adhesion of Saccharomyces albicans after clinical application, thereby reduced the risk of denture stomatitis.

  18. Diamond bio electronics.

    Science.gov (United States)

    Linares, Robert; Doering, Patrick; Linares, Bryant

    2009-01-01

    The use of diamond for advanced applications has been the dream of mankind for centuries. Until recently this dream has been realized only in the use of diamond for gemstones and abrasive applications where tons of diamonds are used on an annual basis. Diamond is the material system of choice for many applications, but its use has historically been limited due to the small size, high cost, and inconsistent (and typically poor) quality of available diamond materials until recently. The recent development of high quality, single crystal diamond crystal growth via the Chemical Vapor Deposition (CVD) process has allowed physcists and increasingly scientists in the life science area to think beyond these limitations and envision how diamond may be used in advanced applications ranging from quantum computing, to power generation and molecular imaging, and eventually even diamond nano-bots. Because of diamond's unique properties as a bio-compatible material, better understanding of diamond's quantum effects and a convergence of mass production, semiconductor-like fabrication process, diamond now promises a unique and powerful key to the realization of the bio-electronic devices being envisioned for the new era of medical science. The combination of robust in-the-body diamond based sensors, coupled with smart bio-functionalized diamond devices may lead to diamond being the platform of choice for bio-electronics. This generation of diamond based bio-electronic devices would contribute substantially to ushering in a paradigm shift for medical science, leading to vastly improved patient diagnosis, decrease of drug development costs and risks, and improved effectiveness of drug delivery and gene therapy programs through better timed and more customized solutions.

  19. Indigenous development of diamond detectors for monitoring neutrons

    International Nuclear Information System (INIS)

    Singh, Arvind; Amit Kumar; Topkar, Anita; Pithawa, C.K.

    2013-01-01

    High purity synthetic chemically vapor deposited (CVD) diamond has several outstanding characteristics that make it as an important material for detector applications specifically for extreme environmental conditions like high temperature, high radiation, and highly corrosive environments. Diamond detectors are especially considered promising for monitoring fast neutrons produced by the D-T nuclear fusion reactions in next generation fusion facilities such as ITER. When fast neutrons interact with carbon, elastic, inelastic and (n,α) type reactions can occur. These reactions can be employed for the detection of fast neutrons using diamond. We have initiated the development of diamond detectors based on synthetic CVD substrates. In this paper, the first test of a polycrystalline CVD diamond detector with fast neutrons is reported. The test results demonstrate that this detector can be used for monitoring fast neutrons. The diamond detectors have been fabricated using 5 mm x 5 mm, 300 μm polycrystalline diamond substrates. Aluminum metallization has been used on both sides of the detector to provide electrical contacts. The performance of fabricated detectors was first evaluated using current and capacitance measurements. The leakage current was observed to be stable and about a few pAs for voltages up to 300V. The capacitance-voltage characteristics showed a constant capacitance which is as expected. To confirm the response of the detector to charged particles, the pulse height spectrum (PHS) was obtained using 238 Pu- 239 Pu dual α- source. The PHS showed a continuum without any peak due to polycrystalline nature of diamond film. The response of the detector to fast neutrons has been studied using the fast neutron facility at NXF, BARC. The PHS obtained for a neutron yield of 4 x 10 8 n/s is shown. The average counts per second (cps) measured for diamond detector for different neutron yields is shown. The plot shows linearity with coefficient of determination R

  20. The Many Facets of Diamond Crystals

    Directory of Open Access Journals (Sweden)

    Yuri N. Palyanov

    2018-01-01

    Full Text Available This special issue is intended to serve as a multidisciplinary forum covering broad aspects of the science, technology, and application of synthetic and natural diamonds. This special issue contains 12 papers, which highlight recent investigations and developments in diamond research related to the diverse problems of natural diamond genesis, diamond synthesis and growth using CVD and HPHT techniques, and the use of diamond in both traditional applications, such as mechanical machining of materials, and the new recently emerged areas, such as quantum technologies. The results presented in the contributions collected in this special issue clearly demonstrate that diamond occupies a very special place in modern science and technology. After decades of research, this structurally very simple material still poses many intriguing scientific questions and technological challenges. It seems undoubted that diamond will remain the center of attraction for many researchers for many years to come.

  1. Electrochemical characterization of doped diamond-coated carbon fibers at different boron concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Almeida, E.C. [INPE, CP 515, Sao Jose dos Campos, SP 12201-970 (Brazil)]. E-mail: erica@las.inpe.br; Diniz, A.V. [INPE, CP 515, Sao Jose dos Campos, SP 12201-970 (Brazil); Trava-Airoldi, V.J. [INPE, CP 515, Sao Jose dos Campos, SP 12201-970 (Brazil); Ferreira, N.G. [CTA-Divisao de Materiais, Sao Jose dos Campos, SP 12228-904 (Brazil)

    2005-08-01

    Doped diamond films have been deposited on carbon fibers (felt) obtained from polyacrylonitrile at different levels of boron doping. For a successful coating of the fibers, an ultrasonic pretreatment in a bath of diamond powder dissolved in hexane was required. Films were grown on both sample sides, simultaneously, by hot filament-assisted chemical vapour deposition technique at 750 deg. C from a 0.5% H{sub 2}/CH{sub 4} mixture at a total pressure of 6.5 x 10{sup 3} Pa. Boron was obtained from H{sub 2} forced to pass through a bubbler containing B{sub 2}O{sub 3} dissolved in methanol. The doping level studied corresponds to films with acceptor concentrations in the range of 6.5 x 10{sup 18} to 1.5 x 10{sup 21} cm{sup -} {sup 3}, obtained from Mott-Schottky plots. Scanning electron microscopy analyses evidenced fibers totally covered with high quality polycrystalline boron-doped diamond film, also confirmed by Raman spectroscopy spectra. Diamond electrodes grown on carbon fibers demonstrated similar electrochemical behavior obtained from films on Si substrate, for ferri/ferrocyanide redox couple as a function of boron content. The boron content influences electrochemical surface area. A lower boron concentration provides a higher growth rate that results in a higher surface area.

  2. Characterization and development of diamond-like carbon coatings for storing ultracold neutrons

    CERN Document Server

    Grinten, M G D; Shiers, D; Baker, C A; Green, K; Harris, P G; Iaydjiev, P S; Ivanov, S N; Geltenbort, P

    1999-01-01

    In order to determine the suitability of diamond-like carbon (DLC) as a material for storing ultracold neutrons to use in neutron electric-dipole moment (EDM) experiments, a number of tests on DLC coatings have been performed. Thin DLC layers deposited on quartz and aluminium substrates by chemical vapour deposition have been characterised by neutron transmission, neutron reflectometry, electron microscopy and neutron and mercury storage and depolarisation lifetime measurements. Two types of DLC have been compared; DLC made by chemical vapour deposition from natural methane and DLC made by chemical vapour deposition from deuterated methane. With these samples we determined the density, hydrogen concentration and Fermi potential of the coatings. DLC coatings made from deuterated methane are now successfully being used in an experiment to measure the EDM of the neutron.

  3. Characterization and development of diamond-like carbon coatings for storing ultracold neutrons

    International Nuclear Information System (INIS)

    Grinten, M.G.D. van der; Pendlebury, J.M.; Shiers, D.; Baker, C.A.; Green, K.; Harris, P.G.; Iaydjiev, P.S.; Ivanov, S.N.; Geltenbort, P.

    1999-01-01

    In order to determine the suitability of diamond-like carbon (DLC) as a material for storing ultracold neutrons to use in neutron electric-dipole moment (EDM) experiments, a number of tests on DLC coatings have been performed. Thin DLC layers deposited on quartz and aluminium substrates by chemical vapour deposition have been characterised by neutron transmission, neutron reflectometry, electron microscopy and neutron and mercury storage and depolarisation lifetime measurements. Two types of DLC have been compared; DLC made by chemical vapour deposition from natural methane and DLC made by chemical vapour deposition from deuterated methane. With these samples we determined the density, hydrogen concentration and Fermi potential of the coatings. DLC coatings made from deuterated methane are now successfully being used in an experiment to measure the EDM of the neutron

  4. Non-classical crystallization of thin films and nanostructures in CVD and PVD processes

    CERN Document Server

    Hwang, Nong Moon

    2016-01-01

    This book provides a comprehensive introduction to a recently-developed approach to the growth mechanism of thin films and nanostructures via chemical vapour deposition (CVD). Starting from the underlying principles of the low pressure synthesis of diamond films, it is shown that diamond growth occurs not by individual atoms but by charged nanoparticles. This newly-discovered growth mechanism turns out to be general to many CVD and some physical vapor deposition (PVD) processes. This non-classical crystallization is a new paradigm of crystal growth, with active research taking place on growth in solution, especially in biomineralization processes. Established understanding of the growth of thin films and nanostructures is based around processes involving individual atoms or molecules. According to the author’s research over the last two decades, however, the generation of charged gas phase nuclei is shown to be the rule rather than the exception in the CVD process, and charged gas phase nuclei are actively ...

  5. Diamond nanostructured devices for chemical sensing applications

    OpenAIRE

    Ahmad, R. K.

    2011-01-01

    Research in the area of CVD single crystal diamond plates of which only recently has been made commercially available saw significant advancements during the last decade. In parallel to that, detonation nanodiamond (DND) particles also now widely made accessible for requisition are provoking a lot of scientific investigations. The remarkable properties of diamond including its extreme hardness, low coefficient of friction, chemical inertness, biocompatibility, high thermal c...

  6. THIN DIAMOND FILMS FOR SNS H INJECTIONS STRIPPING

    International Nuclear Information System (INIS)

    SHAW, R.W.; HERR, A.D.; FEIGERLE, C.S.; CUTLER, R.J.; LIAW, C.J.; LEE, Y.Y.

    2004-01-01

    We have investigated the preparation and testing of thin diamond foils for use in stripping the SNS H - Linac beam. A long useful lifetime for these foils is desirable to improve operational efficiency. Preliminary data presented at PAC 2001 indicated that diamond foils were superior to conventional evaporated carbon foils, exhibiting lifetimes approximately five-fold longer [1]. That work employed a fully supported diamond foil, a format that is not acceptable for the SNS application; at least two edges of the approximately 1 x 1 cm foils must be free standing to allow for beam rastering. Residual stress in a chemical vapor deposited (CVD) diamond foil results in film distortion (scrolling) when the film is released from its silicon growth substrate. We have attacked this problem by initially patterning the surface of CVD growth substrates with a 50 or 100 line/inch trapezoidal grating, followed by conformal diamond film growth on the patterned substrate. Then removal of the substrate by chemical etching produced a foil that possessed improved mechanical integrity due to its corrugation. The high nucleation density required to grow continuous, pinhole free diamond foils of the desired thickness (1 (micro)m, 350 (micro)g/cm 2 ) was achieved by a combination of substrate surface scratching and seeding. A variety of diamond foils have been tested using the BNL 750 keV Radio Frequency Quadrupole H - beam to simulate energy loss in the SNS. Those include flat, corrugated, microcrystalline, and nanocrystalline foils. Foil lifetimes are reported

  7. D.C. Arcjet Diamond Deposition

    Science.gov (United States)

    Russell, Derrek Andrew

    1995-01-01

    Polycrystalline diamond films synthesized by a D.C. (direct current) arcjet device was reported for the first time in 1988. This device is capable of higher diamond growth rates than any other form of diamond CVD (chemical vapor deposition) process due to its inherent versatility with regard to the enthalpy and fluid properties of the diamond-depositing vapor. Unfortunately, the versatility of this type of device is contrasted by many difficulties such as arc stability and large heat fluxes which make applying it toward diamond deposition a difficult problem. The purpose of this work was to convert the dc arcjet, which is primarily a metallurgical device, into a commercially viable diamond CVD process. The project was divided into two parts: process development and diagnostics. The process development effort concentrated on the certain engineering challenges. Among these was a novel arcjet design that allowed the carbon-source gas to be injected downstream of the tungsten cathode while still facilitating mixture with the main gas feed. Another engineering accomplishment was the incorporation of a water -cooled substrate cooler/spinner that maintained the substrate at the proper temperature, provided the substrate with a large thermal time constant to reduce thermal shock of the diamond film, and enabled the system to achieve a four -inch diameter growth area. The process diagnostics effort concentrated on measurements aimed at developing a fundamental understanding of the properties of the plasma jet such as temperature, plasma density, Mach number, pressure at the substrate, etc. The plasma temperature was determined to be 5195 K by measuring the rotational temperature of C _2 via optical emission spectroscopy. The Mach number of the plasma jet was determined to be ~6.0 as determined by the ratio of the stagnation pressures before and after the shock wave in the plasma jet. The C_2 concentration in the plasma jet was determined to be {~10 }^{12} cm^ {-3} by

  8. Performance evaluation of synthetic diamond to realize ionisation chamber for radiotherapy application

    International Nuclear Information System (INIS)

    Guerrero Waryn, M.J.

    2005-09-01

    This work focuses on the optimisation of synthetic CVD diamond quality to realize ionisation chambers for radiotherapy. Diamonds samples have been synthesized and characterized using thermally stimulated measurement. These measurements showed the presence of trapping levels due to crystalline defects or impurities in material. The study of the response of these samples under irradiation has showed a correlation between the charged state of traps (priming and overshoot phenomena) and the response of the detector (stabilization of the signal). To remove the overshoot phenomenon which prevents from reproducible measurements, we used a specific operating set up controlling the device temperature. This technique enables to neutralize these instable phenomena and to use CVD diamond for ionisation chamber fabrication. (author)

  9. Grating-assisted coupling to nanophotonic circuits in microcrystalline diamond thin films

    Directory of Open Access Journals (Sweden)

    Patrik Rath

    2013-05-01

    Full Text Available Synthetic diamond films can be prepared on a waferscale by using chemical vapour deposition (CVD on suitable substrates such as silicon or silicon dioxide. While such films find a wealth of applications in thermal management, in X-ray and terahertz window design, and in gyrotron tubes and microwave transmission lines, their use for nanoscale optical components remains largely unexplored. Here we demonstrate that CVD diamond provides a high-quality template for realizing nanophotonic integrated optical circuits. Using efficient grating coupling devices prepared from partially etched diamond thin films, we investigate millimetre-sized optical circuits and achieve single-mode waveguiding at telecoms wavelengths. Our results pave the way towards broadband optical applications for sensing in harsh environments and visible photonic devices.

  10. Growth, characterization, and device development in monocrystalline diamond films

    Science.gov (United States)

    Davis, Robert F.

    1991-12-01

    The nucleation of diamond grains on an unscratched silicon wafer is enhanced by four order of magnitude relative to scratched substrates by using negative bias enhanced microwave plasma CVD in a 2 percent methane/hydrogen plasma for an initial period. In vacuo surface analysis has revealed that the actual nucleation occurs on the amorphous C coating present on the thin SiC layer which forms as the product of the initial reaction with the Si surface. It is believed that the C forms critical clusters which are favorable for diamond nucleation. Similar enhancement was observed together with the occurrence of textured diamond films in the use of bias pretreatment of cubic Beta SiC substrates. Approximately 50 percent of the initial diamond nuclei were aligned with the SiC substrate. In contrast, the use of the biasing pretreatment for one hour on polycrystalline substrates resulted in only about 7 percent coverage with diamond particles. Numerous techniques have been used to analyze the nucleation and growth phenomena, especially micro Raman and scanning tunneling microscopy. The latter technique has shown that the morphology of doped and undoped diamond nuclei are similar, as well as the fact that significant concentrations of vacancy related defects are present. In device related-studies, UV-photoemission studies have shown that TiC occurs at the Ti-diamond (100) interface after a 400 C anneal. The Schottky barrier height from this metal on p-type diamond was determined to be 1.0 eV. Indications of negative electron affinity (NEA) was observed and attributed to emission of electrons that are quasi-thermalized to the bottom of the conduction band. A disordered surface removes the NEA. The microwave performance of p-type (beta-doped) diamond MESFET's at 10 GHz has been further investigated. Elevated temperatures may be necessary to obtain sufficient free charge densities in the conducting channel but this will result in degraded device performance. Each of these

  11. Systematic study of radiation hardness of single crystal CVD diamond material investigated with an Au beam and IBIC method

    Energy Technology Data Exchange (ETDEWEB)

    Pietraszko, Jerzy; Koenig, Wolfgang; Traeger, Michael [GSI, Darmstadt (Germany); Draveny, Antoine; Galatyuk, Tetyana [TU, Darmstadt (Germany); Grilj, Veljko [RBI, Zagreb (Croatia); Collaboration: HADES-Collaboration

    2016-07-01

    For the future high rate CBM experiment at FAIR a radiation hard and fast beam detector is required. The detector has to perform precise T0 measurement (σ<50 ps) and should also offer decent beam monitoring capability. These tasks can be performed by utilizing single-crystal Chemical Vapor Deposition (ScCVD) diamond based detector. A prototype, segmented, detector have been constructed and the properties of this detector have been studied with a high current density beam (about 3.10{sup 6}/s/mm{sup 2}) of 1.23 A GeV Au ions in HADES. The irradiated detector properties have been studied at RBI in Zagreb by means of IBIC method. Details of the design, the intrinsic properties of the detectors and their performance after irradiation with such beam are reported.

  12. The effect of ion-beam induced strain on the nucleation density of chemical vapour deposited diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1995-01-01

    The effect of ion implantation on the nucleation of CVD diamond on silicon and diamond substrates has been investigated. The strategy employed is to create laterally confined regions of strain in the substrates by focused MeV implantation of light ions. Raman Microscopy has been employed to obtain spatially resolved maps of the strain in these implanted regions. On diamond substrates a homo-epitaxial CVD diamond film was grown on top of both the implanted and unimplanted regions of the substrate. Raman analysis of the film grown on top of the implanted region revealed it to be under slightly tensile strain as compared to that grown on the unimplanted diamond substrate. The film deposited on the implanted portion of the diamond showed a lower fluorescence background; indicating a lower concentration of incorporated defects. These results suggest that the strain and defects in the diamond substrate material have an important influence on the quality of the homo-epitaxially grown diamond films. 6 refs., 5 figs

  13. Influence of load on the dry frictional performance of alkyl acrylate copolymer elastomers coated with diamond-like carbon films

    NARCIS (Netherlands)

    Martinez, D. Martinez; Nohava, Jiri; De Hosson, J. Th. M.

    2015-01-01

    In this work, the influence of applied load on the frictional behavior of alkyl acrylate copolymer elastomers coated with diamond- like carbon films is studied at dry conditions. The performance of two coatings with very different microstructure (patched vs. continuous film) is compared with the

  14. Tracking with CVD diamond radiation sensors at high luminosity colliders (1999-3.1507)

    CERN Document Server

    Schnetzer, S R; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Da Graca, J; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Jamieson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Plano, R J; Polesello, P; Prawer, S; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Sciortino, S; Somalwar, S V; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    Recent progress on developing diamond-based sensors for vertex detection at high luminosity hadron colliders is described. Measurements of the performance of diamond sensors after irradiation to fluences of up to 5*10/sup 15/ hadrons/cm/sup 2/ are shown. These indicate that diamond sensors will operate at distances as close as 5 cm from the interaction point at the Large Hadron Collider (LHC) for many years at full luminosity without significant degradation in performance. Measurements of the quality of the signals from diamond sensors as well as spatial uniformity are presented. Test beam results on measurements of diamond-based microstrip and pixels devices are described.

  15. Workshop on diamond and diamond-like-carbon films for the transportation industry

    Energy Technology Data Exchange (ETDEWEB)

    Nichols, F.A.; Moores, D.K. [eds.

    1993-01-01

    Applications exist in advanced transportation systems as well as in manufacturing processes that would benefit from superior tribological properties of diamond, diamond-like-carbon and cubic boron nitride coatings. Their superior hardness make them ideal candidates as protective coatings to reduce adhesive, abrasive and erosive wear in advanced diesel engines, gas turbines and spark-ignited engines and in machining and manufacturing tools as well. The high thermal conductivity of diamond also makes it desirable for thermal management not only in tribological applications but also in high-power electronic devices and possibly large braking systems. A workshop has been recently held at Argonne National Laboratory entitled ``Diamond and Diamond-Like-Carbon Films for Transportation Applications`` which was attended by 85 scientists and engineers including top people involved in the basic technology of these films and also representatives from many US industrial companies. A working group on applications endorsed 18 different applications for these films in the transportation area alone. Separate abstracts have been prepared.

  16. Adhesion of staphylococcal and Caco-2 cells on diamond-like carbon polymer hybrid coating.

    Science.gov (United States)

    Kinnari, Teemu J; Soininen, Antti; Esteban, Jaime; Zamora, Nieves; Alakoski, Esa; Kouri, Vesa-Petteri; Lappalainen, Reijo; Konttinen, Yrjö T; Gomez-Barrena, Enrique; Tiainen, Veli-Matti

    2008-09-01

    Staphylococci cause the majority of the nosocomial implant-related infections initiated by adhesion of planktonic bacteria to the implant surface. It was hypothesized that plasma accelerating filtered pulsed arc discharge method enables combination of the advantageous properties of diamond with the antisoiling properties of polymers. Diamond-like carbon polytetrafluoroethylene hybrid (DLC-PTFE-h) coating was produced. The adhesion of S. aureus ATCC 25923 (10(8) colony-forming units/mL) to surfaces diminished from 2.32%, 2.35%, and 2.57% of high quality DLC, titanium, and oxidized silicon, respectively, to 1.93% of DLC-PTFE-h. For S. epidermidis ATCC 35984 the corresponding figures were 3.90%, 3.32%, 3.47%, and 2.57%. Differences in bacterial adhesion between recombinant DLC-PTFE-h and other materials were statistically significant (p DLC-PTFE-h as to DLC, titanium, or silicon, which were all in the MTT test found to be cytocompatible. DLC-PTFE-h coating can be used to modify the surface properties of any surgical implants and is an unfavorable substrate for staphylococcal cells, but compatible with human Caco-2 cells. DLC-PTFE-h coating may help in the combat against Staphylococcus-related implant infections which usually require both antibiotics and surgical removal of the implant for cure.

  17. Corrosion and Wear Behaviors of Cr-Doped Diamond-Like Carbon Coatings

    Science.gov (United States)

    Viswanathan, S.; Mohan, L.; Bera, Parthasarathi; Kumar, V. Praveen; Barshilia, Harish C.; Anandan, C.

    2017-08-01

    A combination of plasma-enhanced chemical vapor deposition and magnetron sputtering techniques has been employed to deposit chromium-doped diamond-like carbon (DLC) coatings on stainless steel, silicon and glass substrates. The concentrations of Cr in the coatings are varied by changing the parameters of the bipolar pulsed power supply and the argon/acetylene gas composition. The coatings have been studied for composition, morphology, surface nature, nanohardness, corrosion resistance and wear resistance properties. The changes in I D / I G ratio with Cr concentrations have been obtained from Raman spectroscopy studies. Ratio decreases with an increase in Cr concentration, and it has been found to increase at higher Cr concentration, indicating the disorder in the coating. Carbide is formed in Cr-doped DLC coatings as observed from XPS studies. There is a decrease in sp 3/ sp 2 ratios with an increase in Cr concentration, and it increases again at higher Cr concentration. Nanohardness studies show no clear dependence of hardness on Cr concentration. DLC coatings with lower Cr contents have demonstrated better corrosion resistance with better passive behavior in 3.5% NaCl solution, and corrosion potential is observed to move toward nobler (more positive) values. A low coefficient of friction (0.15) at different loads is observed from reciprocating wear studies. Lower wear volume is found at all loads on the Cr-doped DLC coatings. Wear mechanism changes from abrasive wear on the substrate to adhesive wear on the coating.

  18. Ion implantation and diamond-like coatings of aluminum alloys

    Science.gov (United States)

    Malaczynski, G. W.; Hamdi, A. H.; Elmoursi, A. A.; Qiu, X.

    1997-04-01

    In an attempt to increase the wear resistance of some key automotive components, General Motors Research and Development Center initiated a study to determine the potential of surface modification as a means of improving the tribological properties of automotive parts, and to investigate the feasibility of mass producing such parts. This paper describes the plasma immersion ion implantation system that was designed for the study of various options for surface treatment, and it discusses bench testing procedures used for evaluating the surface-treated samples. In particular, both tribological and microstructural analyses are discussed for nitrogen implants and diamond-like hydrocarbon coatings of some aluminum alloys.

  19. Low-pressure c-BN deposition - is a CVD process possible?

    International Nuclear Information System (INIS)

    Haubner, R.; Tang, X.

    2001-01-01

    Since the low-pressure diamond deposition was discovered in 1982 there is a high interest to find a similar process for the c-BN synthesis. A review about the c-BN deposition process as well as its characterization is given. Experiments with a simple chemical vapor deposition(CVD) reactor using tris(dimethylamino)borane as precursor were carried out. In a cold-wall reactor substrates were heated up by high-frequency. Argon was used as protecting and carrying the precursor, it was saturated with tris(dimethylamino)borane (precursor) according to its vapor pressure and transports the pressure to the hot substrate, where deposition occurs. WC-Co hardmetal plates containing 6 wt. % Co, Mo and Si were used as substrates. Various BN layers were deposited and characterized. X-ray diffraction, IR-spectroscopy and SIMS indicate that BN-coatings containing c-BN were deposited. However a final verification of c-BN crystallites by TEM investigations was not possible till now. (nevyjel)

  20. Induction surface hardening of hard coated steels

    DEFF Research Database (Denmark)

    Pantleon, Karen; Kessler, Olaf; Hoffmann, Franz

    1999-01-01

    The deposition of hard coatings with CVD-processes is commonly used to improve the wear resistance e.g. of tool steels in forming. The advantages of CVD are undisputed (high deposition rates with simple equipment, excellent coating properties). Nevertheless, the disadvantage of the CVD-process is......The deposition of hard coatings with CVD-processes is commonly used to improve the wear resistance e.g. of tool steels in forming. The advantages of CVD are undisputed (high deposition rates with simple equipment, excellent coating properties). Nevertheless, the disadvantage of the CVD...

  1. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  2. Diamond deposition on siliconized stainless steel

    International Nuclear Information System (INIS)

    Alvarez, F.; Reinoso, M.; Huck, H.; Rosenbusch, M.

    2010-01-01

    Silicon diffusion layers in AISI 304 and AISI 316 type stainless steels were investigated as an alternative to surface barrier coatings for diamond film growth. Uniform 2 μm thick silicon rich interlayers were obtained by coating the surface of the steels with silicon and performing diffusion treatments at 800 deg. C. Adherent diamond films with low sp 2 carbon content were deposited on the diffused silicon layers by a modified hot filament assisted chemical vapor deposition (HFCVD) method. Characterization of as-siliconized layers and diamond coatings was performed by energy dispersive X-ray analysis, scanning electron microscopy, X-ray diffraction and Raman spectroscopy.

  3. Preliminary results from a novel CVD diamond detector system for molecular imaging applications

    International Nuclear Information System (INIS)

    Mahon, A.R.

    1996-01-01

    A novel biomolecular imaging system incorporating a Chemical Vapour Deposition diamond detector is in development. The synthetic diamond is used as a UV detector to image nucleic acids in electrophoresis gels. The microstrip diamond detector currently has a spatial resolution of 30 μm. Preliminary results are presented which include: QE measurements of diamond detectors, detector time response, detector UV response and current detection limits of biomolecules in gel. The potential applications of the technology, and its significant advantages in speed and sensitivity over the current systems are discussed

  4. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  5. Extended defect related energy loss in CVD diamond revealed by spectrum imaging in a dedicated STEM

    International Nuclear Information System (INIS)

    Bangert, U.; Harvey, A.J.; Schreck, M.; Hoermann, F.

    2005-01-01

    This article aims at investigations of the low EEL region in the wide band gap system diamond. The advent of the UHV Enfina electron energy loss spectrometer combined with Digital Micrograph acquisition and processing software has made reliable detection of absorption losses below 10 eV possible. Incorporated into a dedicated STEM this instrumentation allows the acquisition of spectral information via spectrum maps (spectrum imaging) of sample areas hundreds of nanometers across, with nanometers pixel sizes, adequate spectrum statistics and 0.3 eV energy resolution, in direct correlation with microstructural features in the mapping area. We aim at discerning defect related losses at band gap energies, and discuss different routes to simultaneously process and analyse the spectra in a map. This involves extracting the zero loss peak from each spectrum and constructing ratio maps from the intensities in two energy windows, one defect related and one at a higher, crystal bandstructure dominated energy. This was applied to the residual spectrum maps and their first derivatives. Secondly, guided by theoretical EEL spectra calculations, the low loss spectra were fitted by a series of gaussian distributions. Pixel maps were constructed from amplitude ratios of gaussians, situated in the defect and the unaffected energy regime. The results demonstrate the existence of sp 2 -bonded carbon in the vicinity of stacking faults and partial dislocations in CVD diamond as well as additional states below conduction band, tailing deep into the band gap, at a node in a perfect dislocation. Calculated EEL spectra of shuffle dislocations give similar absorption features at 5-8 eV, and it is thought that this common feature is due to sp 2 -type bonding

  6. The effect of diamond-like carbon coating on LiNi0.8Co0.15Al0.05O2 particles for all solid-state lithium-ion batteries based on Li2S-P2S5 glass-ceramics

    Science.gov (United States)

    Visbal, Heidy; Aihara, Yuichi; Ito, Seitaro; Watanabe, Taku; Park, Youngsin; Doo, Seokgwang

    2016-05-01

    There have been several reports on improvements of the performance of all solid-state battery using lithium metal oxide coatings on the cathode active material. However, the mechanism of the performance improvement remains unclear. To better understand the effect of the surface coating, we studied the impact of diamond-like carbon (DLC) coating on LiNi0.8Co0.15Al0.05O2 (NCA) by chemical vapor deposition (CVD). The DLC coated NCA showed good cycle ability and rate performance. This result is further supported by reduction of the interfacial resistance of the cathode and electrolyte observed in impedance spectroscopy. The DLC layer was analyzed by transmission electron microscopy electron energy loss spectroscopy (TEM-EELS). After 100 cycles the sample was analyzed by X-ray photo spectroscopy (XPS), and Time-of-Flight Secondary Ion Mass Spectrometry (TOF-SIMS). These analyses showed that the thickness of the coating layer was around 4 nm on average, acting to hinder the side reactions between the cathode particle and the solid electrolyte. The results of this study will provide useful insights for understanding the nature of the buffer layer for the cathode materials.

  7. Cavitation erosion resistance of diamond-like carbon coating on stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Feng; Jiang, Shuyun, E-mail: jiangshy@seu.edu.cn

    2014-02-15

    Two diamond-like carbon (DLC) coatings are prepared on stainless steel 304 by cathodic arc plasma deposition technology at different substrate bias voltages and arc currents (−200 V/80 A, labeled DLC-1, and −100 V/60 A, labeled DLC-2). Cavitation tests are performed by using a rotating-disk test rig to explore the cavitation erosion resistance of the DLC coating. The mass losses, surface morphologies, chemical compositions and the phase constituents of the specimens after cavitation tests are examined by using digital balance, scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD), respectively. The results indicate that the DLC-2 coatings can elongate the incubation period of stainless steel, leading to an excellent cavitation erosion resistance as compared to the untreated stainless steel specimens. After duration of 100 h cavitation test, serious damaged surfaces and plenty of scratches can be observed on the surfaces of the stainless steel specimens, while only a few grooves and tiny pits are observed on the DLC-2 coatings. It is concluded that, decreasing micro defects and increasing adhesion can reduce the delamination of DLC coating, and the erosion continues in the stainless steel substrate after DLC coating failure, and the eroded surface of the substrate is subjected to the combined action from cavitation erosion and slurry erosion.

  8. Mechanism-Based FE Simulation of Tool Wear in Diamond Drilling of SiCp/Al Composites.

    Science.gov (United States)

    Xiang, Junfeng; Pang, Siqin; Xie, Lijing; Gao, Feinong; Hu, Xin; Yi, Jie; Hu, Fang

    2018-02-07

    The aim of this work is to analyze the micro mechanisms underlying the wear of macroscale tools during diamond machining of SiC p /Al6063 composites and to develop the mechanism-based diamond wear model in relation to the dominant wear behaviors. During drilling, high volume fraction SiC p /Al6063 composites containing Cu, the dominant wear mechanisms of diamond tool involve thermodynamically activated physicochemical wear due to diamond-graphite transformation catalyzed by Cu in air atmosphere and mechanically driven abrasive wear due to high-frequency scrape of hard SiC reinforcement on tool surface. An analytical diamond wear model, coupling Usui abrasive wear model and Arrhenius extended graphitization wear model was proposed and implemented through a user-defined subroutine for tool wear estimates. Tool wear estimate in diamond drilling of SiC p /Al6063 composites was achieved by incorporating the combined abrasive-chemical tool wear subroutine into the coupled thermomechanical FE model of 3D drilling. The developed drilling FE model for reproducing diamond tool wear was validated for feasibility and reliability by comparing numerically simulated tool wear morphology and experimentally observed results after drilling a hole using brazed polycrystalline diamond (PCD) and chemical vapor deposition (CVD) diamond coated tools. A fairly good agreement of experimental and simulated results in cutting forces, chip and tool wear morphologies demonstrates that the developed 3D drilling FE model, combined with a subroutine for diamond tool wear estimate can provide a more accurate analysis not only in cutting forces and chip shape but also in tool wear behavior during drilling SiC p /Al6063 composites. Once validated and calibrated, the developed diamond tool wear model in conjunction with other machining FE models can be easily extended to the investigation of tool wear evolution with various diamond tool geometries and other machining processes in cutting different

  9. Low temperature CVD deposition of silicon carbide

    International Nuclear Information System (INIS)

    Dariel, M.; Yeheskel, J.; Agam, S.; Edelstein, D.; Lebovits, O.; Ron, Y.

    1991-04-01

    The coating of graphite on silicon carbide from the gaseous phase in a hot-well, open flow reactor at 1150degC is described. This study constitutes the first part of an investigation of the process for the coating of nuclear fuel by chemical vapor deposition (CVD)

  10. Development of reliable diamond window for EC launcher on fusion reactors

    International Nuclear Information System (INIS)

    Takahashi, K.; Illy, S.; Heidinger, R.; Kasugai, A.; Minami, R.; Sakamoto, K.; Thumm, M.; Imai, T.

    2005-01-01

    In order to avoid a possible accidental event of a diamond window, i.e. a leakage of cooling water into vacuum, a new diamond window with a copper (Cu)-coated edge was developed. The 0.5 mm thick Cu-coating completely covers the window disk edge and aluminum braze, between the diamond disk edge and the inconel cuffs cooled by water. Corrosion of the aluminum braze can also be prevented by the Cu-coating. A 170 GHz high power RF transmission experiment, which was indicative for a MW-level transmission, was carried out to investigate the cooling capability of the Cu-coated window. RF power/pulse length 55 kW/3.5 s and 120 kW/3 s, were transmitted through the window without any problem. Temperature increase of 50 and 100 o C were obtained, respectively. The results agree with thermal calculations with loss tangent 8.5 x 10 -4 and thermal conductivity 1.9 kW/(m K) of the diamond. Thermal and stress analysis show that no serious stress between the diamond disk and the Cu-coating is established. It concludes that a diamond window with Cu-coated edge water-cooling is capable of MW-level transmission and that the Cu-coating improves the reliability of the diamond window

  11. Electroplating chromium on CVD SiC and SiCf-SiC advanced cladding via PyC compatibility coating

    Science.gov (United States)

    Ang, Caen; Kemery, Craig; Katoh, Yutai

    2018-05-01

    Electroplating Cr on SiC using a pyrolytic carbon (PyC) bond coat is demonstrated as an innovative concept for coating of advanced fuel cladding. The quantification of coating stress, SEM morphology, XRD phase analysis, and debonding test of the coating on CVD SiC and SiCf-SiC is shown. The residual tensile stress (by ASTM B975) of electroplated Cr is > 1 GPa prior to stress relaxation by microcracking. The stress can remove the PyC/Cr layer from SiC. Surface etching of ∼20 μm and roughening to Ra > 2 μm (by SEM observation) was necessary for successful adhesion. The debonding strength (by ASTM D4541) of the coating on SiC slightly improved from 3.6 ± 1.4 MPa to 5.9 ± 0.8 MPa after surface etching or machining. However, this improvement is limited due to the absence of an interphase, and integrated CVI processing may be required for further advancement.

  12. Engineering NV centres in Synthetic Diamond

    International Nuclear Information System (INIS)

    Matthew Markham

    2014-01-01

    The quantum properties of the nitrogen vacancy (NV) centre in diamond has prompted rapid growth in diamond research. This initial growth was driven by the fact the NV centre provides an 'easy' to manipulate quantum system along with opening up the possibility of a new material to deliver a solid state quantum computer. The NV defect is now moving from a quantum curiosity to a commercial development platform for a range of application such as as gyroscopes, timing and magnetometry as well as the more traditional quantum technologies such as quantum encryption and quantum simulation. These technologies are pushing the development needs of the material, and the processing of that material. The paper will describes the advances in CVD diamond synthesis with special attention to getting NV defects close to the surface of the diamond and how to process the material for diamond quantum optical applications. (author)

  13. Experimental Investigation on Ductile Mode Micro-Milling of ZrO2 Ceramics with Diamond-Coated End Mills

    Directory of Open Access Journals (Sweden)

    Rong Bian

    2018-03-01

    Full Text Available ZrO2 ceramics are currently used in a broad range of industrial applications. However, the machining of post-sintered ZrO2 ceramic is a difficult task, due to its high hardness and brittleness. In this study, micro-milling of ZrO2 with two kinds of diamond-coated end mills has been conducted on a Kern MMP 2522 micro-milling center (Kern Microtechnik GmbH, Eschenlohe, Germany. To achieve a ductile mode machining of ZrO2, the feed per tooth and depth of cut was set in the range of a few micrometers. Cutting force and machined surface roughness have been measured by a Kistler MiniDynamometer (Kistler Group, Winterthur, Switzerland and a Talysurf 120 L profilometer (Taylor Hobson Ltd., Leicester, UK, respectively. Machined surface topography and tool wear have been examined under SEM. Experiment results show that the material can be removed in ductile mode, and mirror quality surface with Ra low as 0.02 μm can be achieved. Curled and smooth chips have been collected and observed. The axial cutting force Fz is always bigger than Fx and Fy, and presents a rising trend with increasing of milling length. Tool wear includes delamination of diamond coating and wear of tungsten carbide substrate. Without the protection of diamond coating, the tungsten carbide substrate was worn out quickly, resulting a change of tool tip geometry.

  14. Optical studies of high quality synthetic diamond

    International Nuclear Information System (INIS)

    Sharp, S.J.

    1999-01-01

    This thesis is concerned with the study of fundamental and defect induced optical properties of synthetic diamond grown using high pressure, high temperature (HPHT) synthesis or chemical vapour deposition (CVD). The primary technique used for investigation is cathodoluminescence (including imaging and decay-time measurements) in addition to other forms of optical spectroscopy. This thesis is timely in that the crystallinity and purity of synthetic diamond has increased ten fold over the last few years. The diamond exciton emission, which is easily quenched by the presence of defects, is studied in high quality samples in detail. In addition the ability now exists to engineer the isotopic content of synthetic diamond to a high degree of accuracy. The experimental chapters are divided as follows: Chapter 2: High resolution, low temperature spectra reveal a splitting of the free-exciton phonon recombination emission peaks and the bound-exciton zero phonon line. Included are measurements of the variation in intensity and decay-time as a function of temperature. Chapter 3: The shift in energy of the phonon-assisted free-exciton phonon replicas with isotopic content has been measured. The shift is in agreement with the results of interatomic force model for phonon scattering due to isotope disorder. Chapter 4: A study of the shift in energy with isotopic content of the diamond of the GR1 band due to the neutral vacancy has allowed a verification of the theoretical predictions due to the Jahn Teller effect. Chapter 5: The spatial distribution of the free-exciton luminescence is studied in HPHT synthetic and CVD diamond. A variation in intensity with distance from the surface is interpreted as a significant non-radiative loss of excitons to the surface. Chapter 6: The decay-times of all known self-interstitial related centres have been measured in order to calculate the concentration of these centres present in electron irradiated diamond. (author)

  15. A nitrogen doped low-dislocation density free-standing single crystal diamond plate fabricated by a lift-off process

    Energy Technology Data Exchange (ETDEWEB)

    Mokuno, Yoshiaki, E-mail: mokuno-y@aist.go.jp; Kato, Yukako; Tsubouchi, Nobuteru; Chayahara, Akiyoshi; Yamada, Hideaki; Shikata, Shinichi [Research Institute for Ubiquitous Energy Devices, National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan)

    2014-06-23

    A nitrogen-doped single crystal diamond plate with a low dislocation density is fabricated by chemical vapor deposition (CVD) from a high pressure high temperature synthetic type IIa seed substrate by ion implantation and lift-off processes. To avoid sub-surface damage, the seed surface was subjected to deep ion beam etching. In addition, we introduced a nitrogen flow during the CVD step to grow low-strain diamond at a relatively high growth rate. This resulted in a plate with low birefringence and a dislocation density as low as 400 cm{sup −2}, which is the lowest reported value for a lift-off plate. Reproducing this lift-off process may allow mass-production of single crystal CVD diamond plates with low dislocation density and consistent quality.

  16. Polarized Raman spectroscopy of chemically vapour deposited diamond films

    International Nuclear Information System (INIS)

    Prawer, S.; Nugent, K.W.; Weiser, P.S.

    1994-01-01

    Polarized micro-Raman spectra of chemically vapour deposited diamond films are presented. It is shown that important parameters often extracted from the Raman spectra such as the ratio of the diamond to non-diamond component of the films and the estimation of the level of residual stress depend on the orientation of the diamond crystallites with respect to the polarization of the incident laser beam. The dependence originates from the fact that the Raman scattering from the non-diamond components in the films is almost completely depolarized whilst the scattering from the diamond components is strongly polarized. The results demonstrate the importance of taking polarization into account when attempting to use Raman spectroscopy in even a semi-quantitative fashion for the assessment of the purity, perfection and stress in CVD diamond films. 8 refs., 1 tab. 2 figs

  17. The Field Emission Characteristics of Titanium-Doped Nano-Diamonds

    Institute of Scientific and Technical Information of China (English)

    YANG Yan-Ning; ZHANG Zhi-Yong; ZHANG Fu-Chun; DONG Jun-Tang; ZHAO Wu; ZHAI Chun-Xue; ZHANG Wei-Hu

    2012-01-01

    An electrophoresis solution,prepared in a specific ratio of titanium (Ti)-doped nano-diamond,is dispersed by ultrasound and the nano-diamond coating is then deposited on a polished Ti substrate by electrophoresis.After high-temperature vacuum annealing,the appearance of the surface and the microstructures of the coating are observed by a metallomicroscope,scanning electron microscopy and Raman spectroscopy.The field emission characteristics and luminescence features are also tested,and the mechanism of the field emission characteristics of the Ti-doped nano-diamond is analyzed.The experimental results show that under the same conditions,the diamond-coated surface (by deposition) is more uniform after doping with 5 mg of Ti powder.Compared with the undoped nano-diamond cathode,the turn-on fields decline from 6.95 to 5.95 V/μm.When the electric field strength is 13.80 V/μm,the field emission current density increases to 130.00 μA/cm2.Under the applied fields,the emission current is stable and the luminescence is at its best,while the field emission characteristics of the 10 mg Ti-doped coating become worse,as does the luminescence.The reason for this could be that an excessive amount of TiC is generated on the surface of the coating.%An electrophoresis solution, prepared in a speciGc ratio of titanium (Ti)-doped nano-diamond, is dispersed by ultrasound and the nano-diamond coating is then deposited on a polished Ti substrate by electrophoresis. After high-temperature vacuum annealing, the appearance of the surface and the microstructures of the coating are observed by a metallomicroscope, scanning electron microscopy and Raman spectroscopy. The field emission characteristics and luminescence features are also tested, and the mechanism of the field emission characteristics of the Ti-doped nano-diamond is analyzed. The experimental results show that under the same conditions, the diamond-coated surface (by deposition) is more uniform after doping with 5 mg of Ti

  18. Direct Coating of Nanocrystalline Diamond on Steel

    Science.gov (United States)

    Tsugawa, Kazuo; Kawaki, Shyunsuke; Ishihara, Masatou; Hasegawa, Masataka

    2012-09-01

    Nanocrystalline diamond films have been successfully deposited on stainless steel substrates without any substrate pretreatments to promote diamond nucleation, including the formation of interlayers. A low-temperature growth technique, 400 °C or lower, in microwave plasma chemical vapor deposition using a surface-wave plasma has cleared up problems in diamond growth on ferrous materials, such as the surface graphitization, long incubation time, substrate softening, and poor adhesion. The deposited nanocrystalline diamond films on stainless steel exhibit good adhesion and tribological properties, such as a high wear resistance, a low friction coefficient, and a low aggression strength, at room temperature in air without lubrication.

  19. Nanostructured Diamond Device for Biomedical Applications.

    Science.gov (United States)

    Fijalkowski, M; Karczemska, A; Lysko, J M; Zybala, R; KozaneckI, M; Filipczak, P; Ralchenko, V; Walock, M; Stanishevsky, A; Mitura, S

    2015-02-01

    Diamond is increasingly used in biomedical applications because of its unique properties such as the highest thermal conductivity, good optical properties, high electrical breakdown voltage as well as excellent biocompatibility and chemical resistance. Diamond has also been introduced as an excellent substrate to make the functional microchip structures for electrophoresis, which is the most popular separation technique for the determination of analytes. In this investigation, a diamond electrophoretic chip was manufactured by a replica method using a silicon mold. A polycrystalline 300 micron-thick diamond layer was grown by the microwave plasma-assisted CVD (MPCVD) technique onto a patterned silicon substrate followed by the removal of the substrate. The geometry of microstructure, chemical composition, thermal and optical properties of the resulting free-standing diamond electrophoretic microchip structure were examined by CLSM, SFE, UV-Vis, Raman, XRD and X-ray Photoelectron Spectroscopy, and by a modified laser flash method for thermal property measurements.

  20. Friction and wear behavior of Inconel 625 with Ni3Ti, TiN, TiC-CVD coatings in an HTGR environment

    International Nuclear Information System (INIS)

    Sarosiek, A.M.; Li, C.C.

    1984-04-01

    The following conclusions apply to Inconel 625 with Ni 3 Ti, TiN, TiC-CVD coatings, tested in an HTGR environment in a temperature range between 500 and 900 0 C at a contact pressure of 3.45 MPa. The average wear rate is very small varying between 0.0 and 1.7 x 10 -4 g/m. The wear rate shows little dependence on temperature and sliding velocity, increasing slightly as the temperature increases or as the sliding velocity decreases. Damage experienced by wear areas is minimal. Stick-slip friction was observed at low sliding velocity, however the friction coefficient is low (maximum 0.63) with an average value of about 0.44. The friction coefficient shows little dependence on temperature and sliding velocity, increasing slightly as the temperature increases, or as the sliding velocity decreases. Ni 3 Ti, TiN, TiC-CVD coatings, are considered effective in minimizing friction and wear damage of Inconel 625 in an HTGR environment

  1. Modified diamond electrodes for electrolysis and electroanalysis applications

    International Nuclear Information System (INIS)

    Einaga, Yasuaki; Sato, Rika; Olivia, Herlambang; Shin, Dongchan; Ivandini, T.A.; Fujishima, Akira

    2004-01-01

    The outstanding properties of diamond make it a very attractive material for use in many potential applications. In particular, the superior electrochemical properties of highly boron-doped conductive diamond films, prepared by the chemical vapor deposition (CVD) process, have received attention from electrochemists. This paper reports several diversified applications of boron-doped diamond electrodes; highly sensitive and interference-free microfiber electrodes with over-oxidized polypyrrole modification, integrated electrochemical detector for microchip capillary electrophoresis (CE), and smoothing treatments of micro-polycrystalline surface. Studies have been made of the electrochemical properties of each system and their application in electroanalysis is discussed

  2. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  3. Comparison of TiC coating and TD coating in actual application

    International Nuclear Information System (INIS)

    Kim, S.K.; Yoo, J.K.

    1995-01-01

    Large blocks of SKD-11 were treated by CVD-TiC coating process, TD coating process, TD coating process after vacuum heat treating, and vacuum heat treating. Amount of deformation was measured and compared to find the process which gives the least deformation. Wear tests were carried out for specimens treated by each process. Application of CVD-TiC and TD coating to the automotive press mold was studied

  4. CVD in nuclear energy

    International Nuclear Information System (INIS)

    Nickel, H.

    1981-08-01

    CVD-deposited pyrocarbon, especially the coatings of nuclear fuel kernels show a structure depending on many parameters such as deposition temperature, nature and pressure of the pyrolysis gas, nature of the substrate, geometry of the deposition system, etc. Because of the variety of pyrocarbon different characterization methods have been developed or qualified for this new application. Additionally classical characterization procedures are available. Beside theoretical aspects concerning the formation and deposition mechanism of pyrocarbon from the gas phase the behaviour of such coatings under irradiation with fast neutrons is discussed. (orig.) [de

  5. Development and characterization of protective nickel coatings by CVD process for non-ferrous metals and alloys

    International Nuclear Information System (INIS)

    Haq, A.U.

    2012-01-01

    Objective of this thesis is the formation of adhesive and corrosion resistant nickel film on aluminum, aluminum-lithium (Li 0.5 %) alloy and copper substrates by chemical vapor deposition (CVD) technique. Different surface preparation treatments such as electropolishing, anodizing and pickling are applied to the aforementioned substrates and its effect on the adhesion and corrosion resistance of nickel coating is studied. Nickel coating is deposited on different substrates by using already optimized parameters of 190-200 degree C deposition temperature, 9-8 x 10/sup -1/ Torr pressure during deposition, pure nickel-tetra-carbonyl gas, and induction heating source and 5 minutes deposition time. Substrates subjected to pickling treatment show excellent adhesion of nickel coating with a value of 5B based on ASTM standard while electropolished substrates show valve of 3B. XRD characterization of the nickel film show characteristic peaks of nickel confirming its phase purity. The SEM images show that nickel coating follows the surface features of the substrate. The pickled surface results in film with rough morphology than electropolished or anodized surface. The corrosion resistance of both uncoated and coated substrates is studied by monitoring its open circuit potential in different electrolytes (brine solution, sea and distilled water) at different temperatures. All substrates coated with nickel show 120-400mV potential difference compare with uncoated substrates in different electrolytes. (author)

  6. Recent Advances in Diamond Detectors

    CERN Document Server

    Trischuk, W.

    2008-01-01

    With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2012, ATLAS and CMS are planning for detector upgrades for their innermost layers requiring radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is now planned for all LHC experiments. This material is now being considered as an alternate sensor for use very close to the interaction region of the super LHC where the most extreme radiation conditions will exist. Recently the RD42 collaboration constructed, irradiated and tested polycrystalline and single-crystal chemical vapor deposition diamond sensors to the highest fluences available. We present beam test results of chemical vapor deposition diamond up to fluences of 1.8 x 10^16 protons/cm^2 showing that both polycrystalline and single-crystal chemical vapor deposition diamonds follow a single damage curve allowing one t...

  7. Diamond thin films: giving biomedical applications a new shine.

    Science.gov (United States)

    Nistor, P A; May, P W

    2017-09-01

    Progress made in the last two decades in chemical vapour deposition technology has enabled the production of inexpensive, high-quality coatings made from diamond to become a scientific and commercial reality. Two properties of diamond make it a highly desirable candidate material for biomedical applications: first, it is bioinert, meaning that there is minimal immune response when diamond is implanted into the body, and second, its electrical conductivity can be altered in a controlled manner, from insulating to near-metallic. In vitro, diamond can be used as a substrate upon which a range of biological cells can be cultured. In vivo , diamond thin films have been proposed as coatings for implants and prostheses. Here, we review a large body of data regarding the use of diamond substrates for in vitro cell culture. We also detail more recent work exploring diamond-coated implants with the main targets being bone and neural tissue. We conclude that diamond emerges as one of the major new biomaterials of the twenty-first century that could shape the way medical treatment will be performed, especially when invasive procedures are required. © 2017 The Authors.

  8. Investigation of the electric field in irradiated diamond sensors

    Energy Technology Data Exchange (ETDEWEB)

    Kassel, Florian; Barvich, Tobias; Boer, Wim de; Dierlamm, Alexander; Eber, Robert; Nuernberg, Andreas; Steck, Pia [Institut fuer Experimentelle Kernphysik (IEKP), Karlsruher Institut fuer Technologie (KIT) (Germany); Dabrowski, Anne; Guthoff, Moritz [CERN (Switzerland)

    2015-07-01

    The Beam Condition Monitoring Leakage (BCML) system is a beam monitoring device in the CMS experiment at the LHC. As detectors 32 poly-crystalline CVD diamond sensors are positioned in a ring around the beam pipe at a distance of +/-1.8 m and +/-14.4 m from the interaction point. The radiation hardness of the diamond sensors in terms of measured signal during operation was significantly lower than expected based on laboratory measurements. At high particle rates, like they occur during the operation of the LHC, charge carriers can be trapped in defects created by radiation. This space charge is expected to modify the electrical field in the sensor bulk and hence to reduce the charge collection efficiency. This modified electrical field has been indirectly measured in the laboratory using the Transient Current Technique (TCT) method in irradiated single crystal CVD diamond. This rate dependent effect was simulated with the software 'SILVACO ATLAS' and the obtained electrical field was used to calculate a TCT measurement pulse. The results of the TCT measurements will be compared to the simulation.

  9. Hard coatings

    International Nuclear Information System (INIS)

    Dan, J.P.; Boving, H.J.; Hintermann, H.E.

    1993-01-01

    Hard, wear resistant and low friction coatings are presently produced on a world-wide basis, by different processes such as electrochemical or electroless methods, spray technologies, thermochemical, CVD and PVD. Some of the most advanced processes, especially those dedicated to thin film depositions, basically belong to CVD or PVD technologies, and will be looked at in more detail. The hard coatings mainly consist of oxides, nitrides, carbides, borides or carbon. Over the years, many processes have been developed which are variations and/or combinations of the basic CVD and PVD methods. The main difference between these two families of deposition techniques is that the CVD is an elevated temperature process (≥ 700 C), while the PVD on the contrary, is rather a low temperature process (≤ 500 C); this of course influences the choice of substrates and properties of the coating/substrate systems. Fundamental aspects of the vapor phase deposition techniques and some of their influences on coating properties will be discussed, as well as the very important interactions between deposit and substrate: diffusions, internal stress, etc. Advantages and limitations of CVD and PVD respectively will briefly be reviewed and examples of applications of the layers will be given. Parallel to the development and permanent updating of surface modification technologies, an effort was made to create novel characterisation methods. A close look will be given to the coating adherence control by means of the scratch test, at the coating hardness measurement by means of nanoindentation, at the coating wear resistance by means of a pin-on-disc tribometer, and at the surface quality evaluation by Atomic Force Microscopy (AFM). Finally, main important trends will be highlighted. (orig.)

  10. Low-emissivity coating of amorphous diamond-like carbon/Ag-alloy multilayer on glass

    International Nuclear Information System (INIS)

    Chiba, Kiyoshi; Takahashi, Toshiyuki; Kageyama, Takashi; Oda, Hironori

    2005-01-01

    Transparent low-emissivity (low-e) coatings comprising dielectrics of amorphous diamond-like carbon (DLC) and Ag-alloy films are investigated. All films have been prepared by dc magnetron sputtering. An index of refraction of the DLC film deposited in a gas mixture of Ar/H 2 (4%) shows n = 1.80 + 0.047i at 500 nm wavelength. A multilayer stack of DLC (70 nm thick)/Ag 87.5 Cu 12.5 -alloy (10 nm)/DLC (140 nm)/Ag 87.5 Cu 12.5 -alloy (10 nm)/DLC (70 nm) has revealed clear interference spectra with spectra selectivity. This coating performs low emittance less than 0.1 for black body radiation at 297 K, exhibiting a transparent heat mirror property embedded in DLC films

  11. Drastically Enhanced High-Rate Performance of Carbon-Coated LiFePO4 Nanorods Using a Green Chemical Vapor Deposition (CVD) Method for Lithium Ion Battery: A Selective Carbon Coating Process.

    Science.gov (United States)

    Tian, Ruiyuan; Liu, Haiqiang; Jiang, Yi; Chen, Jiankun; Tan, Xinghua; Liu, Guangyao; Zhang, Lina; Gu, Xiaohua; Guo, Yanjun; Wang, Hanfu; Sun, Lianfeng; Chu, Weiguo

    2015-06-03

    Application of LiFePO4 (LFP) to large current power supplies is greatly hindered by its poor electrical conductivity (10(-9) S cm(-1)) and sluggish Li+ transport. Carbon coating is considered to be necessary for improving its interparticle electronic conductivity and thus electrochemical performance. Here, we proposed a novel, green, low cost and controllable CVD approach using solid glucose as carbon source which can be extended to most cathode and anode materials in need of carbon coating. Hydrothermally synthesized LFP nanorods with optimized thickness of carbon coated by this recipe are shown to have superb high-rate performance, high energy, and power densities, as well as long high-rate cycle lifetime. For 200 C (18s) charge and discharge, the discharge capacity and voltage are 89.69 mAh g(-1) and 3.030 V, respectively, and the energy and power densities are 271.80 Wh kg(-1) and 54.36 kW kg(-1), respectively. The capacity retention of 93.0%, and the energy and power density retention of 93.6% after 500 cycles at 100 C were achieved. Compared to the conventional carbon coating through direct mixing with glucose (or other organic substances) followed by annealing (DMGA), the carbon phase coated using this CVD recipe is of higher quality and better uniformity. Undoubtedly, this approach enhances significantly the electrochemical performance of high power LFP and thus broadens greatly the prospect of its applications to large current power supplies such as electric and hybrid electric vehicles.

  12. Radiation hardness of a single crystal CVD diamond detector for MeV energy protons

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Yuki, E-mail: y.sato@riken.jp [The Institute of Physical and Chemical Research (RIKEN), 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan); Shimaoka, Takehiro; Kaneko, Junichi H. [Graduate School of Engineering, Hokkaido University, N13, W8, Sapporo 060-8628 (Japan); Murakami, Hiroyuki [The Institute of Physical and Chemical Research (RIKEN), 2-1 Hirosawa, Wako, Saitama 351-0198 (Japan); Isobe, Mitsutaka; Osakabe, Masaki [National Institute for Fusion Science, 322-6, Oroshi-cho Toki-city, Gifu 509-5292 (Japan); Tsubota, Masakatsu [Graduate School of Engineering, Hokkaido University, N13, W8, Sapporo 060-8628 (Japan); Ochiai, Kentaro [Fusion Research and Development Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Chayahara, Akiyoshi; Umezawa, Hitoshi; Shikata, Shinichi [National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31 Midorigaoka, Ikeda, Osaka 563-8577 (Japan)

    2015-06-01

    We have fabricated a particle detector using single crystal diamond grown by chemical vapor deposition. The irradiation dose dependence of the output pulse height from the diamond detector was measured using 3 MeV protons. The pulse height of the output signals from the diamond detector decreases as the amount of irradiation increases at count rates of 1.6–8.9 kcps because of polarization effects inside the diamond crystal. The polarization effect can be cancelled by applying a reverse bias voltage, which restores the pulse heights. Additionally, the radiation hardness performance for MeV energy protons was compared with that of a silicon surface barrier detector.

  13. Drilling of optical glass with electroplated diamond tools

    Science.gov (United States)

    Wang, A. J.; Luan, C. G.; Yu, A. B.

    2010-10-01

    K9 optical glass drilling experiments were carried out. Bright nickel electroplated diamond tools with small slots and under heat treatment in different temperature were fabricated. Scan electro microscope was applied to analyze the wear of electroplated diamond tool. The material removal rate and grinding ratio were calculated. Machining quality was observed. Bond coating hardness was measured. The experimental results show that coolant is needed for the drilling processes of optical glasses. Heat treatment temperature of diamond tool has influence on wearability of diamond tool and grinding ratio. There were two wear types of electroplated diamond tool, diamond grit wear and bond wear. With the machining processes, wear of diamond grits included fracture, blunt and pull-out, and electroplated bond was gradually worn out. High material removal rates could be obtained by using diamond tool with suitable slot numbers. Bright nickel coating bond presents smallest grains and has better mechanical properties. Bright nickel electroplated diamond tool with slot structure and heat treatment under 200°C was suitable for optical glass drilling.

  14. Low temperature diamond growth by linear antenna plasma CVD over large area

    International Nuclear Information System (INIS)

    Izak, Tibor; Babchenko, Oleg; Potocky, Stepan; Kromka, Alexander; Varga, Marian

    2012-01-01

    Recently, there is a great effort to increase the deposition area and decrease the process temperature for diamond growth which will enlarge its applications including use of temperature sensitive substrates. In this work, we report on the large area (20 x 30 cm 2 ) and low temperature (250 C) polycrystalline diamond growth by pulsed linear antenna microwave plasma system. The influence of substrate temperature varied from 250 to 680 C, as controlled by the table heater and/or by microwave power, is studied. It was found that the growth rate, film morphology and diamond to non-diamond phases (sp 3 /sp 2 carbon bonds) are influenced by the growth temperature, as confirmed by SEM and Raman measurements. The surface chemistry and growth processes were studied in terms of activation energies (E a ) calculated from Arrhenius plots. The activation energies of growth processes were very low (1.7 and 7.8 kcal mol -1 ) indicating an energetically favourable growth process from the CO 2 -CH 4 -H 2 gas mixture. In addition, from activation energies two different growth regimes were observed at low and high temperatures, indicating different growth mechanism. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Ionization signals from diamond detectors in fast-neutron fields

    Energy Technology Data Exchange (ETDEWEB)

    Weiss, C. [European Organization for Nuclear Research (CERN), Geneva (Switzerland); CIVIDEC Instrumentation, Wien (Austria); Frais-Koelbl, H. [University of Applied Sciences, Wiener Neustadt (Austria); Griesmayer, E.; Kavrigin, P. [CIVIDEC Instrumentation, Wien (Austria); Vienna University of Technology, Wien (Austria)

    2016-09-15

    In this paper we introduce a novel analysis technique for measurements with single-crystal chemical vapor deposition (sCVD) diamond detectors in fast-neutron fields. This method exploits the unique electronic property of sCVD diamond sensors that the signal shape of the detector current is directly proportional to the initial ionization profile. In fast-neutron fields the diamond sensor acts simultaneously as target and sensor. The interaction of neutrons with the stable isotopes {sup 12}C and {sup 13}C is of interest for fast-neutron diagnostics. The measured signal shapes of detector current pulses are used to identify individual types of interactions in the diamond with the goal to select neutron-induced reactions in the diamond and to suppress neutron-induced background reactions as well as γ-background. The method is verified with experimental data from a measurement in a 14.3 MeV neutron beam at JRC-IRMM, Geel/Belgium, where the {sup 13}C(n, α){sup 10}Be reaction was successfully extracted from the dominating background of recoil protons and γ-rays and the energy resolution of the {sup 12}C(n, α){sup 9}Be reaction was substantially improved. The presented analysis technique is especially relevant for diagnostics in harsh radiation environments, like fission and fusion reactors. It allows to extract the neutron spectrum from the background, and is particularly applicable to neutron flux monitoring and neutron spectroscopy. (orig.)

  16. Spatial distributions of H, CN, and C2 in a diamond growing oxyacetylene flame

    NARCIS (Netherlands)

    Klein-Douwel, R.J.H.; Meulen, ter J.J.

    1998-01-01

    Two-dimensional laser-induced fluorescence (2D-LIF) measurements are applied to the chemical vapor deposition (CVD) of diamond by an oxyacetylene flame to visualize the distributions of atomic hydrogen, C2, and CN in the gas phase during diamond growth. Experiments are carried out in laminar flames

  17. Simulation and experimental approach to CVD-FBR aluminide coatings on ferritic steels under steam oxidation

    International Nuclear Information System (INIS)

    Leal, J.; Alcala, G.; Bolivar, F.J.; Sanchez, L.; Hierro, M.P.; Perez, F.J.

    2008-01-01

    The ferritic steels used to produce structural components for steam turbines are susceptible to strong corrosion and creep damage due to the extreme working conditions pushed to increase the process efficiency and to reduce pollutants release. The response of aluminide coatings on the P-92 ferritic steel, deposited by CVD-FBR, during oxidation in a simulated steam environment was studied. The analyses were performed at 650 deg. C in order to simulate the working conditions of a steam turbine, and 800 deg. C in order to produce a critical accelerated oxidation test. The Thermo-Calc software was used to predict the different solid phases that could be generated during the oxidation process, in both, coated and uncoated samples. In order to validate the thermodynamic results, the oxides scales produced during steam tests were characterized by different techniques such as XRD, SEM and EDS. The preliminary results obtained are discussed in the present work

  18. Tribological performance of polycrystalline tantalum-carbide-incorporated diamond films on silicon substrates

    Science.gov (United States)

    Ullah, Mahtab; Rana, Anwar Manzoor; Ahmed, E.; Malik, Abdul Sattar; Shah, Z. A.; Ahmad, Naseeb; Mehtab, Ujala; Raza, Rizwan

    2018-05-01

    Polycrystalline tantalum-carbide-incorporated diamond coatings have been made on unpolished side of Si (100) wafer by hot filament chemical vapor deposition process. Morphology of the coatings has been found to vary from (111) triangular-facetted to predominantly (111) square-faceted by increasing the concentration of tantalum carbide. The results have been compared to those of a diamond reference coating with no tantalum content. An increase in roughness has been observed with the increase of tantalum carbide (TaC) due to change in morphology of the diamond films. It is noticed that roughness of the coatings increases as grains become more square-faceted. It is found that diamond coatings involving tantalum carbide are not as resistant as diamond films with no TaC content and the coefficient of friction for such coatings with microcrystalline grains can be manipulated to 0·33 under high vacuum of 10-7 Torr. Such a low friction coefficient value enhances tribological behavior of unpolished Si substrates and can possibly be used in sliding applications.

  19. Nanocrystalline diamond films for biomedical applications

    DEFF Research Database (Denmark)

    Pennisi, Cristian Pablo; Alcaide, Maria

    2014-01-01

    Nanocrystalline diamond films, which comprise the so called nanocrystalline diamond (NCD) and ultrananocrystalline diamond (UNCD), represent a class of biomaterials possessing outstanding mechanical, tribological, and electrical properties, which include high surface smoothness, high corrosion...... performance of nanocrystalline diamond films is reviewed from an application-specific perspective, covering topics such as enhancement of cellular adhesion, anti-fouling coatings, non-thrombogenic surfaces, micropatterning of cells and proteins, and immobilization of biomolecules for bioassays. In order...

  20. Sliding Wear Behaviour and Corosion Resistance to Ringer’s Solution of Uncoated and DLC Coated X46Cr13 Steel

    Directory of Open Access Journals (Sweden)

    Scendo M.

    2016-12-01

    Full Text Available Sliding wear properties and corrosion resistance in Ringer’s solution of uncoated and diamond-like carbon (DLC coated X46Cr13 steel was tested. The Raman spectra showed that the DLC film was successfully coated by plasma assisted CVD method onto the steel surface. The wear test, carried out using a ball-on disk tribometer, revealed that the DLC coating show better resistance to sliding wear and lower friction coefficient against a 100Cr6 steel ball than five times softer X46Cr13 steel. The oxidation kinetic parameters were determined by means of both the gravimetric and electrochemical method. It was found that the DLC coating markedly decreased the rate of corrosion of the X46Cr13 steel, irrespective of the corrosion mechanism involved.

  1. Thermal applications of low-pressure diamond

    International Nuclear Information System (INIS)

    Haubner, R.; Lux, B.

    1997-01-01

    During the last decade several applications of low-pressure diamond were developed. Main products are diamond heat-spreaders using its high thermal conductivity, diamond windows with their high transparency over a wide range of wavelengths and wear resistant tool coatings because of diamonds superhardness. A short description of the most efficient diamond deposition methods (microwave, DC-glow discharge, plasma-jet and arc discharge) is given. The production and applications of diamond layers with high thermal conductivity will be described. Problems of reproducibility of diamond deposition, the influence of impurities, the heat conductivity in electronic packages, reliability and economical mass production will be discussed. (author)

  2. Frictional and mechanical properties of diamond-like carbon-coated orthodontic brackets.

    Science.gov (United States)

    Muguruma, Takeshi; Iijima, Masahiro; Brantley, William A; Nakagaki, Susumu; Endo, Kazuhiko; Mizoguchi, Itaru

    2013-04-01

    This study investigated the effects of a diamond-like carbon (DLC) coating on frictional and mechanical properties of orthodontic brackets. DLC films were deposited on stainless steel brackets using the plasma-based ion implantation/deposition (PBIID) method under two different atmospheric conditions. As-received metal brackets served as the control. Two sizes of stainless steel archwires, 0.018 inch diameter and 0.017 × 0.025 inch cross-section dimensions, were used for measuring static and kinetic friction by drawing the archwires through the bracket slots, using a mechanical testing machine (n = 10). The DLC-coated brackets were observed with a scanning electron microscope (SEM). Values of hardness and elastic modulus were obtained by nanoindentation testing (n = 10). Friction forces were compared by one-way analysis of variance and the Scheffé test. The hardness and elastic modulus of the brackets were compared using Kruskal-Wallis and Mann-Whitney U-tests. SEM photomicrographs showed DLC layers on the bracket surfaces with thickness of approximately 5-7 μm. DLC-coated brackets deposited under condition 2 showed significantly less static frictional force for the stainless steel wire with 0.017 × 0.025 inch cross-section dimensions than as-received brackets and DLC-coated brackets deposited under condition 1, although both DLC-coated brackets showed significantly less kinetic frictional force than as-received brackets. The hardness of the DLC layers was much higher than that of the as-received bracket surfaces. In conclusion, the surfaces of metal brackets can be successfully modified by the PBIID method to create a DLC layer, and the DLC-coating process significantly reduces frictional forces.

  3. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    Science.gov (United States)

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  4. Synthetic diamond devices for radiotherapy applications: Thermoluminescent dosimeter and ionisation chamber

    International Nuclear Information System (INIS)

    Descamps, C.; Tromson, D.; Mer, C.; Nesladek, M.; Bergonzo, P.

    2006-01-01

    In radiotherapy field, the major usage of dosimeters is in the measurement of the dose received by the patient during radiotherapy (in-vivo measurements) and in beam calibration and uniformity checks. Diamond exhibits several interesting characteristics that make it a good candidate for radiation detection. It is indeed soft-tissue equivalent (Z=6 compared to Z=7.42 for human tissue), mechanically robust and relatively insensitive to radiation damage, chemically stable and non toxic. Moreover, the recent availability of synthetic samples, grown under controlled conditions using the chemical vapour deposition (C.V.D.) technique, allowed decreasing the high cost and the long delivery time of diamond devices. Diamond can be use for off-line dosimetry as thermoluminescent dosimeters or for on-line dosimetry as ionisation chamber [2,3]. These both applications are reported here. For this study, samples were grown in the laboratory and devices were then tested under X-ray irradiations and in clinical environment under medical cobalt source. The work described in this paper was performed in the framework of the European Integrated Project M.A.E.S.T.R.O., Methods and Advanced Equipment for Simulation and Treatment in Radio-Oncology, (6. FP) which is granted by the European Commission.The first results of this study clearly show that C.V.D. diamond detectors are suitable for dosimetry in radiotherapy applications. Moreover, for both T.L. dosimeters and ionisation chambers applications, and even though the sensitivity is subsequently reduced, nitrogen incorporation in films seems to significantly improve the dosimetric characteristics of the devices. Therefore, the optimisation of the material quality appears as a very important issue in order to increase the dosimetric characteristics of devices and more particularly, for use as thermoluminescent dosimeters, other impurities (Nickel, Phosphorus) will be tested. For ionisation chamber applications, experiments with

  5. Hot Deformation Behavior and Processing Maps of Diamond/Cu Composites

    Science.gov (United States)

    Zhang, Hongdi; Liu, Yue; Zhang, Fan; Zhang, Di; Zhu, Hanxing; Fan, Tongxiang

    2018-06-01

    The hot deformation behaviors of 50 vol pct uncoated and Cr-coated diamond/Cu composites were investigated using hot isothermal compression tests under the temperature and strain rate ranging from 1073 K to 1273 K (800 °C to 1000 °C) and from 0.001 to 5 s-1, respectively. Dynamic recrystallization was determined to be the primary restoration mechanism during deformation. The Cr3C2 coating enhanced the interfacial bonding and resulted in a larger flow stress for the Cr-coated diamond/Cu composites. Moreover, the enhanced interfacial affinity led to a higher activation energy for the Cr-coated diamond/Cu composites (238 kJ/mol) than for their uncoated counterparts (205 kJ/mol). The strain-rate-dependent constitutive equations of the diamond/Cu composites were derived based on the Arrhenius model, and a high correlation ( R = 0.99) was observed between the calculated flow stresses and experimental data. With the help of processing maps, hot extrusions were realized at 1123 K/0.01 s-1 and 1153 K/0.01 s-1 (850 °C/0.01 s-1 and 880 °C/0.01 s-1) for the uncoated and coated diamond/Cu composites, respectively. The combination of interface optimization and hot extrusion led to increases of the density and thermal conductivity, thereby providing a promising route for the fabrication of diamond/Cu composites.

  6. Total inorganic arsenic detection in real water samples using anodic stripping voltammetry and a gold-coated diamond thin-film electrode.

    Science.gov (United States)

    Song, Yang; Swain, Greg M

    2007-06-12

    An accurate method for total inorganic arsenic determination in real water samples was developed using differential pulse anodic stripping voltammetry (DPASV) and a Au-coated boron-doped diamond thin-film electrode. Keys to the method are the use of a conducting diamond platform and solid phase extraction for sample preparation. In the method, the As(III) present in the sample is first detected by DPASV. The As(V) present is then reduced to As(III) by reaction with Na2SO3 and this is followed by a second detection of As(III) by DPASV. Interfering metal ions (e.g., Cu(II)) that cause decreased electrode response sensitivity for arsenic in real samples are removed by solid phase extraction as part of the sample preparation. For example, Cu(II) caused a 30% decrease in the As stripping peak current at a solution concentration ratio of 3:1 (Cu(II)/As(III)). This loss was mitigated by passage of the solution through a Chelex 100 cation exchange resin. After passage, only a 5% As stripping current response loss was seen. The effect of organic matter on the Au-coated diamond electrode response for As(III) was also evaluated. Humic acid at a 5 ppm concentration caused only a 9% decrease in the As stripping peak charge for Au-coated diamond. By comparison, a 50% response decrease was observed for Au foil. Clearly, the chemical properties of the diamond surface in the vicinity of the metal deposits inhibit molecular adsorption on at least some of the Au surface. The method provided reproducible and accurate results for total inorganic arsenic in two contaminated water samples provided by the U.S. Bureau of Reclamation. The total inorganic As concentration in the two samples, quantified by the standard addition method, was 23.2+/-2.9 ppb for UV plant influent water and 16.4+/-0.9 ppb for Well 119 water (n=4). These values differed from the specified concentrations by less than 4%.

  7. Total inorganic arsenic detection in real water samples using anodic stripping voltammetry and a gold-coated diamond thin-film electrode

    International Nuclear Information System (INIS)

    Song Yang; Swain, Greg M.

    2007-01-01

    An accurate method for total inorganic arsenic determination in real water samples was developed using differential pulse anodic stripping voltammetry (DPASV) and a Au-coated boron-doped diamond thin-film electrode. Keys to the method are the use of a conducting diamond platform and solid phase extraction for sample preparation. In the method, the As(III) present in the sample is first detected by DPASV. The As(V) present is then reduced to As(III) by reaction with Na 2 SO 3 and this is followed by a second detection of As(III) by DPASV. Interfering metal ions (e.g., Cu(II)) that cause decreased electrode response sensitivity for arsenic in real samples are removed by solid phase extraction as part of the sample preparation. For example, Cu(II) caused a 30% decrease in the As stripping peak current at a solution concentration ratio of 3:1 (Cu(II)/As(III)). This loss was mitigated by passage of the solution through a Chelex 100 cation exchange resin. After passage, only a 5% As stripping current response loss was seen. The effect of organic matter on the Au-coated diamond electrode response for As(III) was also evaluated. Humic acid at a 5 ppm concentration caused only a 9% decrease in the As stripping peak charge for Au-coated diamond. By comparison, a 50% response decrease was observed for Au foil. Clearly, the chemical properties of the diamond surface in the vicinity of the metal deposits inhibit molecular adsorption on at least some of the Au surface. The method provided reproducible and accurate results for total inorganic arsenic in two contaminated water samples provided by the U.S. Bureau of Reclamation. The total inorganic As concentration in the two samples, quantified by the standard addition method, was 23.2 ± 2.9 ppb for UV plant influent water and 16.4 ± 0.9 ppb for Well 119 water (n = 4). These values differed from the specified concentrations by less than 4%

  8. Coating NiTi archwires with diamond-like carbon films: reducing fluoride-induced corrosion and improving frictional properties.

    Science.gov (United States)

    Huang, S Y; Huang, J J; Kang, T; Diao, D F; Duan, Y Z

    2013-10-01

    This study aims to coat diamond-like carbon (DLC) films onto nickel-titanium (NiTi) orthodontic archwires. The film protects against fluoride-induced corrosion and will improve orthodontic friction. 'Mirror-confinement-type electron cyclotron resonance plasma sputtering' was utilized to deposit DLC films onto NiTi archwires. The influence of a fluoride-containing environment on the surface topography and the friction force between the brackets and archwires were investigated. The results confirmed the superior nature of the DLC coating, with less surface roughness variation for DLC-coated archwires after immersion in a high fluoride ion environment. Friction tests also showed that applying a DLC coating significantly decreased the fretting wear and the coefficient of friction, both in ambient air and artificial saliva. Thus, DLC coatings are recommended to reduce fluoride-induced corrosion and improve orthodontic friction.

  9. The fabrication and evaluation of diamond cold cathodes for field emitter display applications

    International Nuclear Information System (INIS)

    Fox, N.A.

    1998-08-01

    Semiconducting diamond is a candidate wide-band gap material for applications in vacuum microelectronic devices. Its potential use in components that are operated at high frequencies, handle high powers or are subjected to extremes of temperature and radiation have yet to be commercially realised. The work presented below sets out to determine whether semiconducting diamond is a suitable material for such active electronic devices by examining the most efficient means of initiating electron emission from Chemical Vapour Deposited (CVD), semiconducting diamond. Novel methods are reported for the incorporation of impurity atoms of Nitrogen and Phosphorus into CVD diamond that employ ion-implantation techniques. Demonstration of the efficient incorporation of these impurities to form donor states with low activation energies into polycrystalline diamond would facilitate efficient room temperature operation of pn junctions devices. The effectiveness of boron as a p-type dopant in CVD diamond films has enabled the investigation of potential field emitter structures using different boron concentrations in order to identify their respective conduction mechanisms and to make a comparison of their relative electron emission performance. It has been concluded that efficient electron emission is observed to originate from the interface of n + -p, silicon/diamond heterojunctions that employ thin p-type regions which are less than 5μm thick. The emission current may be controlled by the application of a low voltage forward bias of less than 1 volt. Only the np junction containing 400 ppm of boron in the p-diamond layer demonstrated forward biased electron emission. It is proposed that carrier conduction across the junction interface involves recombination and tunnelling steps between interface trap states. Furthermore it is believed that due to the junction interface being in direct contact with vacuum, within this region of the emitter structure, a surface conduction emission

  10. Development of a radio frequency atmospheric pressure plasma jet for diamond-like carbon coatings on stainless steel substrates

    Science.gov (United States)

    Sohbatzadeh, F.; Samadi, O.; Siadati, S. N.; Etaati, G. R.; Asadi, E.; Safari, R.

    2016-10-01

    In this paper, an atmospheric pressure plasma jet with capacitively coupled radio frequency discharge was developed for diamond-like carbon (DLC) coatings on stainless steel substrates. The plasma jet was generated by argon-methane mixture and its physical parameters were investigated. Relation between the plasma jet length and width of the powered electrode was discussed. Optical and electrical characteristics were studied by optical emission spectroscopy, voltage and current probes, respectively. The evolutions of various species like ArI, C2 and CH along the jet axis were investigated. Electron temperature and density were estimated by Boltzmann plot method and Saha-Boltzmann equation, respectively. Finally, a diamond-like carbon coating was deposited on stainless steel-304 substrates by the atmospheric pressure radio frequency plasma jet in ambient air. Raman spectroscopy, scanning electron microscopy (SEM), atomic force microscopy and Vickers hardness test were used to study the deposited films. The length of the jet was increased by increasing the width of the powered electrode. The estimated electron temperature and density were 1.43 eV and 1.39 × 1015 cm-3, respectively. Averaged Vicker's hardness of the coated sample was three times greater than that of the substrate. The SEM images of the deposited thin films revealed a 4.5 μm DLC coated for 20 min.

  11. Study of carbide-forming element interlayers for diamond nucleation and growth on silicon and WC-Co substrates

    International Nuclear Information System (INIS)

    Tang, Y.; Li, Y.S.; Yang, Q.; Hirose, A.

    2010-01-01

    Diamond nucleation and growth on several typical carbide-forming elements (CFE) (Ti, Cr and W) coated Si and WC-Co substrates were studied. The ion beam sputtered CFE interlayers show an amorphous/nanocrystalline microstructure. The diamond formed on the CFE coated substrates shows higher nucleation density and rate and finer grain structure than on uncoated substrates. Consequently, nanocrystalline diamond thin films can be formed on the CFE coated substrates under conventional microcrystalline diamond growth conditions. Among the three tested CFE interlayers, diamond has the highest nucleation density and rate on W layer and the lowest on Ti layer. The diamond nucleation density and rate on CFE coated WC-Co are much higher than those on widely used metal nitride coated WC-Co.

  12. High speed dry machining of MMCs with diamond tools

    International Nuclear Information System (INIS)

    Collins, J.L.

    2001-01-01

    The increasing use of metal matrix composites (MMCs) has raised new issues in their machining. Industrial demands for higher speed and dry machining of MMCs with improved component production to closer tolerances have driven the development of new tool materials. In particular, the wear characteristics of synthetic diamond tooling satisfy many of the requirements imposed in cutting these highly abrasive workpieces. The use of diamond tool materials, such as polycrystalline diamond (PCD), has resulted in tool life improvements which, allied with environmental considerations, show great potential for the development of dry cutting. This paper explores the wear characteristics of PCD, which is highly suited to the dry machining of particulate silicon carbide MMCs. Also, two further diamond tool materials are evaluated - chemical vapor deposition (CVD) thick layer diamond and synthetic single crystal diamond. Their suitability for the efficient machining of high volume fraction MMC materials is shown and their potential impact an the subsequent acceptance and integration of MMCs into engineering components is discussed. (author)

  13. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    NARCIS (Netherlands)

    Buijnsters, J.G.; Shankar, P.; Enckevort, W.J.P. van; Schermer, J.J.; Meulen, J.J. ter

    2005-01-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0%

  14. A novel radial anode layer ion source for inner wall pipe coating and materials modification--hydrogenated diamond-like carbon coatings from butane gas.

    Science.gov (United States)

    Murmu, Peter P; Markwitz, Andreas; Suschke, Konrad; Futter, John

    2014-08-01

    We report a new ion source development for inner wall pipe coating and materials modification. The ion source deposits coatings simultaneously in a 360° radial geometry and can be used to coat inner walls of pipelines by simply moving the ion source in the pipe. Rotating parts are not required, making the source ideal for rough environments and minimizing maintenance and replacements of parts. First results are reported for diamond-like carbon (DLC) coatings on Si and stainless steel substrates deposited using a novel 360° ion source design. The ion source operates with permanent magnets and uses a single power supply for the anode voltage and ion acceleration up to 10 kV. Butane (C4H10) gas is used to coat the inner wall of pipes with smooth and homogeneous DLC coatings with thicknesses up to 5 μm in a short time using a deposition rate of 70 ± 10 nm min(-1). Rutherford backscattering spectrometry results showed that DLC coatings contain hydrogen up to 30 ± 3% indicating deposition of hydrogenated DLC (a-C:H) coatings. Coatings with good adhesion are achieved when using a multiple energy implantation regime. Raman spectroscopy results suggest slightly larger disordered DLC layers when using low ion energy, indicating higher sp(3) bonds in DLC coatings. The results show that commercially interesting coatings can be achieved in short time.

  15. High-temperature Infrared Transmission of Free-standing Diamond Films

    Directory of Open Access Journals (Sweden)

    HEI Li-fu

    2017-02-01

    Full Text Available The combination of low absorption and extreme mechanical and thermal properties make diamond a compelling choice for some more extreme far infrared (8-12 μm window applications. The optical properties of CVD diamond at elevated temperatures are critical to many of these extreme applications. The infrared transmission of free-standing diamond films prepared by DC arc plasma jet were studied at temperature varied conditions. The surface morphology, structure feature and infrared optical properties of diamond films were tested by optical microscope, X-ray diffraction, laser Raman and Fourier-transform infrared spectroscopy. The results show that the average transmittance for 8-12μm is decreased from 65.95% at 27℃ to 52.5% at 500℃,and the transmittance drop is in three stages. Corresponding to the drop of transmittance with the temperature, diamond film absorption coefficient increases with the rise of temperature. The influence of the change of surface state of diamond films on the optical properties of diamond films is significantly greater than the influence on the internal structure.

  16. Low-temperature (200 C or below) fabrication of diamond films for electronic application

    International Nuclear Information System (INIS)

    Hiraki, A.

    2003-01-01

    Fabrication of Diamond (including Diamond Like Carbon: DLC) films as electronic materials, for example: to be used as electron-emitter, requires several following conditions. They are: 1 ) Low temperature fabrication (or deposition on several substrates and sometimes ones with low melting point, like glasses) below 400 C, 2) Wide area film deposition onto wide substrates of several square inches, like Si wafer and glass substrate, 3) Reproducible deposition of well defined film quality, 4) others. In these respects, we have initiated, in the author's laboratories at Osaka University and Kochi University of Technology, a quite new approach to satisfy the above requirements by using microwave plasma CVD under a magnetic field to be called as m agneto-active plasma CVD . The films fabricated by the magnets-active plasma CVD and also recently by cathodic arc methods combined with cur special nano-seeding method, have been utilized for electron emitter to exhibit very high efficiency. (Author)

  17. Preparation and oxidation protection of CVD SiC/a-BC/SiC coatings for 3D C/SiC composites

    International Nuclear Information System (INIS)

    Liu Yongsheng; Zhang Litong; Cheng Laifei; Yang Wenbin; Zhang Weihua; Xu Yongdong

    2009-01-01

    An amorphous boron carbide (a-BC) coating was prepared by LPCVD process from BCl 3 -CH 4 -H 2 -Ar system. XPS result showed that the boron concentration was 15.0 at.%, and carbon was 82.0 at.%. One third of boron was distributed to a bonding with carbon and 37.0 at.% was dissolved in graphite lattice. A multiple-layered structure of CVD SiC/a-BC/SiC was coated on 3D C/SiC composites. Oxidation tests were conducted at 700, 1000, and 1200 deg. C in 14 vol.% H 2 O/8 vol.% O 2 /78 vol.% Ar atmosphere up to 100 h. The 3D C/SiC composites with the modified coating system had a good oxidation resistance. This resulted in the high strength retained ratio of the composites even after the oxidation.

  18. Reduction in static friction by deposition of a homogeneous diamond-like carbon (DLC) coating on orthodontic brackets.

    Science.gov (United States)

    Akaike, Shun; Hayakawa, Tohru; Kobayashi, Daishiro; Aono, Yuko; Hirata, Atsushi; Hiratsuka, Masanori; Nakamura, Yoshiki

    2015-01-01

    In orthodontics, a reduction in static friction between the brackets and wire is important to enable easy tooth movement. The aim of this study was to examine the effects of a homogeneous diamond-like carbon (DLC) coating on the whole surfaces of slots in stainless steel orthodontic brackets on reducing the static friction between the brackets and the wire. The DLC coating was characterized using Raman spectroscopy, surface roughness and contact angle measurements, and SEM observations. Rectangular stainless steel and titanium-molybdenum alloy wires with two different sizes were employed, and the static friction between the brackets and wire was measured under dry and wet conditions. The DLC coating had a thickness of approximately 1.0 μm and an amorphous structure was identified. The results indicated that the DLC coating always led to a reduction in static friction.

  19. Sputtered tungsten-based ternary and quaternary layers for nanocrystalline diamond deposition.

    Science.gov (United States)

    Walock, Michael J; Rahil, Issam; Zou, Yujiao; Imhoff, Luc; Catledge, Shane A; Nouveau, Corinne; Stanishevsky, Andrei V

    2012-06-01

    Many of today's demanding applications require thin-film coatings with high hardness, toughness, and thermal stability. In many cases, coating thickness in the range 2-20 microm and low surface roughness are required. Diamond films meet many of the stated requirements, but their crystalline nature leads to a high surface roughness. Nanocrystalline diamond offers a smoother surface, but significant surface modification of the substrate is necessary for successful nanocrystalline diamond deposition and adhesion. A hybrid hard and tough material may be required for either the desired applications, or as a basis for nanocrystalline diamond film growth. One possibility is a composite system based on carbides or nitrides. Many binary carbides and nitrides offer one or more mentioned properties. By combining these binary compounds in a ternary or quaternary nanocrystalline system, we can tailor the material for a desired combination of properties. Here, we describe the results on the structural and mechanical properties of the coating systems composed of tungsten-chromium-carbide and/or nitride. These WC-Cr-(N) coatings are deposited using magnetron sputtering. The growth of adherent nanocrystalline diamond films by microwave plasma chemical vapor deposition has been demonstrated on these coatings. The WC-Cr-(N) and WC-Cr-(N)-NCD coatings are characterized with atomic force microscopy and SEM, X-ray diffraction, X-ray photoelectron spectroscopy, Raman spectroscopy, and nanoindentation.

  20. Fulltext PDF

    Indian Academy of Sciences (India)

    WINTEC

    Influence of melt treatments and polished CVD diamond coated insert on cutting .... 393. Panchakarla L S. Carbon nanostructures and graphite-coated metal nanostruc- ... Effect of transient change in strain rate on plastic flow behaviour of low ...

  1. First result on biased CMOS MAPs-on-diamond devices

    Energy Technology Data Exchange (ETDEWEB)

    Kanxheri, K., E-mail: keida.kanxheri@pg.infn.it [Università degli Studi di Perugia, Perugia (Italy); INFN Perugia, Perugia (Italy); Citroni, M.; Fanetti, S. [LENS Firenze, Florence (Italy); Lagomarsino, S. [Università degli Studi di Firenze, Florence (Italy); INFN Firenze, Pisa (Italy); Morozzi, A. [Università degli Studi di Perugia, Perugia (Italy); INFN Perugia, Perugia (Italy); Parrini, G. [Università degli Studi di Firenze, Florence (Italy); Passeri, D. [Università degli Studi di Perugia, Perugia (Italy); INFN Perugia, Perugia (Italy); Sciortino, S. [Università degli Studi di Firenze, Florence (Italy); INFN Firenze, Pisa (Italy); Servoli, L. [INFN Perugia, Perugia (Italy)

    2015-10-01

    Recently a new type of device, the MAPS-on-diamond, obtained bonding a thinned to 25 μm CMOS Monolithic Active Pixel Sensor to a standard 500 μm pCVD diamond substrate, has been proposed and fabricated, allowing a highly segmented readout (10×10 μm pixel size) of the signal produced in the diamond substrate. The bonding between the two materials has been obtained using a new laser technique to deliver the needed energy at the interface. A biasing scheme has been adopted to polarize the diamond substrate to allow the charge transport inside the diamond without disrupting the functionalities of the CMOS Monolithic Active Pixel Sensor. The main concept of this class of devices is the capability of the charges generated in the diamond by ionizing radiation to cross the silicon–diamond interface and to be collected by the MAPS photodiodes. In this work we demonstrate that such passage occurs and measure its overall efficiency. This study has been carried out first calibrating the CMOS MAPS with monochromatic X-rays, and then testing the device with charged particles (electrons) either with and without biasing the diamond substrate, to compare the amount of signal collected.

  2. Study on coated layer material performance of coated particle fuel FBR (2). High temperature property and capability of coating to thick layer of TiN

    International Nuclear Information System (INIS)

    Naganuma, Masayuki; Mizuno, Tomoyasu

    2002-08-01

    'Helium Gas Cooled Coated Particle Fuel FBR' is one of attractive core concepts in the Feasibility Study on Commercialized Fast Reactor Cycle System in Japan, and the design study is presently proceeded. As one of key technologies of this concept, the coated layer material is important, and ceramics is considered to be a candidate material because of the superior refractory. Based on existing knowledge, TiN is regarded to be a possible candidate material, to which some property tests and evaluations have been conducted. In this study, preliminary tests about the high temperature property and the capability of thick layer coating of TiN have been conducted. Results of these tests come to the following conclusions. Heating tests of two kinds of TiN layer specimens coated by PVD (Physical Vapor Deposition) and CVD (Chemical Vapor Deposition) were conducted. As a result, as for CVD coating specimens, remarkable charge was not observed on the layer up to 2,000degC, therefore we concluded that the layer by CVD had applicability up to high temperature of actual operation level. On the other hand, as for PVD coating specimens, an unstable behavior that the layer changed to a mesh like texture was observed on a 2,000degC heated specimen, therefore the applied PVD method is not considered to be promising as the coating technique. The surface conditions of some parts inside CVD device were investigated in order to evaluate possibility of TiN thick coating (∼100 μm). As a result, around 500 μm of TiN coating layer was observed on the condition of multilayer. Therefore, we conclude that CVD has capability of coating up to thick layer in actual coated particle fuel fabrication. (author)

  3. Room temperature CVD diamond X-ray and charged particle microdetectors

    CERN Document Server

    Vittone, E; Lo Giudice, A; Polesello, P; Manfredotti, C

    1999-01-01

    Hot filament chemical vapour deposition technique is particularly suitable for the realisation of diamond tip and wire detectors working in a coaxial geometry with a built-in internal metal electrode. By using tungsten wires of different diameters and by controlling the shape of the tip by an electrochemical etch, it is possible to obtain various kinds of microdetectors, with diameters ranging from 50 to 300 mu m. The response of these diamond tip and wire detectors has been tested at low X-ray energies (50-250 keV) and at relatively high energies (6-15 MeV) both in terms of sensitivity (collected charge with respect to the absorbed dose) and of linearity as a function of X-ray fluence. Sensitivities larger than 2 nC/Gy are achieved, with a good linearity in the dose rate range used in applications. Such microprobes have been proved to be suitable as narrow X-ray beam profilers and as surface or in vivo microdosimeters for on-line monitoring of radiotherapy plans. Such detectors have also been used as nuclear...

  4. Radiation damage in the diamond based beam condition monitors of the CMS experiment at the Large Hadron Collider (LHC) at CERN

    Science.gov (United States)

    Guthoff, Moritz; Afanaciev, Konstantin; Dabrowski, Anne; de Boer, Wim; Lange, Wolfgang; Lohmann, Wolfgang; Stickland, David

    2013-12-01

    The Beam Condition Monitor (BCM) of the CMS detector at the LHC is a protection device similar to the LHC Beam Loss Monitor system. While the electronics used is the same, poly-crystalline Chemical Vapor Deposition (pCVD) diamonds are used instead of ionization chambers as the BCM sensor material. The main purpose of the system is the protection of the silicon Pixel and Strip tracking detectors by inducing a beam dump, if the beam losses are too high in the CMS detector. By comparing the detector current with the instantaneous luminosity, the BCM detector efficiency can be monitored. The number of radiation-induced defects in the diamond, reduces the charge collection distance, and hence lowers the signal. The number of these induced defects can be simulated using the FLUKA Monte Carlo simulation. The cross-section for creating defects increases with decreasing energies of the impinging particles. This explains, why diamond sensors mounted close to heavy calorimeters experience more radiation damage, because of the high number of low energy neutrons in these regions. The signal decrease was stronger than expected from the number of simulated defects. Here polarization from trapped charge carriers in the defects is a likely candidate for explaining the difference, as suggested by Transient Current Technique (TCT) measurements. A single-crystalline (sCVD) diamond sensor shows a faster relative signal decrease than a pCVD sensor mounted at the same location. This is expected, since the relative increase in the number of defects is larger in sCVD than in pCVD sensors.

  5. Influence of growth conditions on microstructure and defects in ...

    Indian Academy of Sciences (India)

    improved crystal quality. 4. Conclusions. 1. Significant improvement of the diamond quality and an almost defect free diamond coating has been grown by combination of optimized process parameters like high hydrogen flow rates, moderate microwave power and chamber pressure of microwave plasma CVD. 2.

  6. [Influence of deposition time on chromatics during nitrogen-doped diamond like carbon coating on pure titanium].

    Science.gov (United States)

    Yin, Lu; Yao, Jiang-wu; Xu, De-wen

    2010-10-01

    The aim of this study was to observed the influence of deposition time on chromatics during nitrogen-doped diamond like carbon coating (N-DLC) on pure titanium by multi impulse are plasma plating machine. Applying multi impulse are plasma plating machine to produce TiN coatings on pure titanium in nitrogen atmosphere, then filming with nitrogen-doped DLC on TiN in methane (10-80 min in every 5 min). The colors of N-DLC were evaluated in the CIE1976 L*a*b* uniform color scale and Mussell notation. The surface morphology of every specimen was analyzed using scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS). When changing the time of N-DLC coating deposition, N-DLC surface showed different color. Golden yellow was presented when deposition time was 30 min. SEM showed that crystallization was found in N-DLC coatings, the structure changed from stable to clutter by varying the deposition time. The chromatics of N-DLC coatings on pure titanium could get golden yellow when deposition time was 30 min, then the crystallized structure was stable.

  7. Diamond brazing - interfacial reactions and wetting; Loeten von Diamant - Grenzflaechenreaktionen und Benetzung

    Energy Technology Data Exchange (ETDEWEB)

    Tillmann, W.; Osmanda, A.M.; Yurchenko, S. [Lehrstuhl fuer Werkstofftechnologie, Universitaet Dortmund, Leonhard-Euler-Str. 2, 44227 Dortmund (Germany); Theisen, W. [Ruhr-Universitaet Bochum, Lehrstuhl Werkstofftechnik (Germany)

    2005-08-01

    Diamond tools are increasingly gaining importance as cutting materials for various construction materials. The quality of synthetic diamonds, monocrystalline as well as polycrystalline or CVD-diamonds has been significantly improved over the last years. Integrating these cutting materials requires adequate joining technologies that produce sound joints without exposing the temperature sensitive diamond to too elevated temperatures. The paper highlights current developments in the joining of synthetic diamonds to steel and cemented carbide. Owing to their covalent atomic bonding diamonds cannot easily be wetted and joined by employing conventional brazing alloys. Hence, active agents are needed to foster an interfacial reaction. Different active filler concepts are presented and discussed regarding their joint formation. The brazing temperatures influence not only possible diamond degradation but also the interfacial decomposition of the diamond due to the formation of corresponding reaction layers.Active brazing, monocrystalline. (Abstract Copyright [2005], Wiley Periodicals, Inc.) [German] Diamantwerkstoffe erlangen zunehmend Bedeutung als Schneidmaterialien in Diamantwerkzeugen fuer die Bearbeitung verschiedener Konstruktionswerkstoffe. Die Qualitaet von synthetischen Diamanten, sowohl monokristallinen als auch polykristallinen oder CVD-Diamantschichten konnte in den letzten Jahren deutlich verbessert werden. Die Integration dieser Schneidstoffe erfordert eine angepasste Fuegetechnologie, die fehlerfreie Verbunde bereitstellt, ohne die gegenueber hohen Temperaturen empfindlichen Diamanten zu hohen Temperaturen auszusetzen. Der Beitrag zeigt aktuelle Entwicklungen in der Fuegetechnik von synthetischen Diamanten mit Stahl und Hartmetall auf. Infolge ihrer kovalenten atomaren Bindungen koennen Diamanten nicht ohne weiteres mit herkoemmlichen Lotwerkstoffen benetzt und gefuegt werden. Daher sind reaktive Elemente notwendig, die eine Grenzflaechenreaktion forcieren

  8. Friction and wear performance of diamond-like carbon, boron carbide, and titanium carbide coatings against glass

    International Nuclear Information System (INIS)

    Daniels, B.K.; Brown, D.W.; Kimock, F.M.

    1997-01-01

    Protection of glass substrates by direct ion beam deposited diamond-like carbon (DLC) coatings was observed using a commercial pin-on-disk instrument at ambient conditions without lubrication. Ion beam sputter-deposited titanium carbide and boron carbide coatings reduced sliding friction, and provided tribological protection of silicon substrates, but the improvement factor was less than that found for DLC. Observations of unlubricated sliding of hemispherical glass pins at ambient conditions on uncoated glass and silicon substrates, and ion beam deposited coatings showed decreased wear in the order: uncoated glass>uncoated silicon>boron carbide>titanium carbide>DLC>uncoated sapphire. Failure mechanisms varied widely and are discussed. Generally, the amount of wear decreased as the sliding friction decreased, with the exception of uncoated sapphire substrates, for which the wear was low despite very high friction. There is clear evidence that DLC coatings continue to protect the underlying substrate long after the damage first penetrates through the coating. The test results correlate with field use data on commercial products which have shown that the DLC coatings provide substantial extension of the useful lifetime of glass and other substrates. copyright 1997 Materials Research Society

  9. The bonding of protective films of amorphic diamond to titanium

    Science.gov (United States)

    Collins, C. B.; Davanloo, F.; Lee, T. J.; Jander, D. R.; You, J. H.; Park, H.; Pivin, J. C.

    1992-04-01

    Films of amorphic diamond can be deposited from laser plasma ions without the use of catalysts such as hydrogen or fluorine. Prepared without columnar patterns of growth, the layers of this material have been reported to have ``bulk'' values of mechanical properties that have suggested their usage as protective coatings for metals. Described here is a study of the bonding and properties realized in one such example, the deposition of amorphic diamond on titanium. Measurements with Rutherford backscattering spectrometry and transmission electron microscopy showed that the diamond coatings deposited from laser plasmas were chemically bonded to Ti substrates in 100-200-Å-thick interfacial layers containing some crystalline precipitates of TiC. Resistance to wear was estimated with a modified sand blaster and in all cases the coating was worn away without any rupture or deterioration of the bonding layer. Such wear was greatly reduced and lifetimes of the coated samples were increased by a factor of better than 300 with only 2.7 μm of amorphic diamond.

  10. Plasmon-organic fiber interactions in diamond-like carbon coated nanostructured gold films

    Science.gov (United States)

    Cielecki, Paweł Piotr; Sobolewska, Elżbieta Karolina; Kostiuočenko, Oksana; Leißner, Till; Tamulevičius, Tomas; Tamulevičius, Sigitas; Rubahn, Horst-Günter; Adam, Jost; Fiutowski, Jacek

    2017-11-01

    Gold is the most commonly used plasmonic material, however soft and prone to mechanical deformations. It has been shown that the durability of gold plasmonic substrates can be improved by applying a protective diamond-like carbon (DLC) coating. In this work, we investigate the influence of such protective layers on plasmonic interactions in organic-plasmonic hybrid systems. We consider systems, consisting of 1-Cyano-quaterphenylene nanofibers on top of gold nano-square plasmonic arrays, coated with protective layers of varying thickness. We numerically investigate the spectral position of surface plasmon polariton resonances and electric field intensity, as a function of protective layer thickness, using the finite-difference time-domain method. To confirm the numerically indicated field enhancement preservation on top of protective layers, we experimentally map the second harmonic response of organic nanofibers. Subsequently, we characterize the plasmonic coupling between organic nanofibers and underlying substrates, considered as one of the main loss channels for photoluminescence from nanofibers, by time-resolved photoluminescence spectroscopy. Our findings reveal that, for the investigated system, plasmonic interactions are preserved for DLC coatings up to 55 nm. This is relevant for the fabrication of new passive and active plasmonic components with increased durability and hence prolonged lifetime.

  11. A study on the basic CVD process technology for TRISO coated particle fuel

    International Nuclear Information System (INIS)

    Choi, D. J.; Cheon, J. H.; Keum, I. S.; Lee, H. S.; Kim, J. G.

    2006-03-01

    Hydrogen energy has many advantages and is suitable as alternative energy of fossil fuel. The study of nuclear hydrogen production has performed at present. For nuclear hydrogen production, it is needed the study of VHTR(Very High Temperature Reactor) and TRISO(TRI-iSOtropic) coated fuel. TRISO coated fuel particle deposited by FBCVD(Fludized Bed CVD) method is composed of three isotropic layers: Inner Pyrolytic Carbon (IPyC), Silicon Carbide (SiC), Outer Pyrolytic Carbon (OPyC) layers. Silicon carbide was chemically vapor deposed on graphite substrate using methyltrichlorosilane (CH 3 SiCl 3 ) as a source in hydrogen atmosphere. The effect of deposition temperature and input gas ratios ( α=Q H2 /Q MTS =P H2 /P MTS ) was investigated in order to find out characteristics of silicon carbide layer. From results of those, SiC-TRISO coating deposition was conducted and achieved. Zirconium carbide layer as an advanced material of silicon carbide layer has studied. In order to find out basic properties and characteristics, studies have conducted using various methods. Zirconium carbide is chemically vapor deposed subliming zirconium tetrachloride(ZrCl 4 ) and using methan(CH 4 ) as a source in hydrogen atmosphere. Many experiments were conducted on graphite substrate about many deposition conditions such as ZrCl 4 heating temperatures and variables of H2 and CH 4 flow rate. but carbon graphite was deposited. For deposition of zirconium carbide, several different methods were approached. so zirconium carbide deposed on ZrO 2 substrate. In this experiments. source subliming type and equipment are no problems. But deposition of zirconium carbide will be continuously studied on graphite substrate approaching views of experimental way and equipment structure

  12. Magnetic and cytotoxic properties of hot-filament chemical vapour deposited diamond

    Energy Technology Data Exchange (ETDEWEB)

    Zanin, Hudson, E-mail: hudsonzanin@gmail.com [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Peterlevitz, Alfredo Carlos; Ceragioli, Helder Jose [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil); Rodrigues, Ana Amelia; Belangero, William Dias [Laboratorio de Biomateriais em Ortopedia, Faculdade de Ciencias Medicas, Universidade Estadual de Campinas, Rua Cinco de Junho 350 CEP 13083970, Campinas, Sao Paulo (Brazil); Baranauskas, Vitor [Faculdade de Engenharia Eletrica e Computacao, Departamento de Semicondutores, Instrumentos e Fotonica, Universidade Estadual de Campinas, UNICAMP, Av. Albert Einstein N.400, CEP 13 083-852 Campinas, Sao Paulo (Brazil)

    2012-12-01

    Microcrystalline (MCD) and nanocrystalline (NCD) magnetic diamond samples were produced by hot-filament chemical vapour deposition (HFCVD) on AISI 316 substrates. Energy Dispersive X-ray Spectroscopy (EDS) measurements indicated the presence of Fe, Cr and Ni in the MCD and NCD samples, and all samples showed similar magnetisation properties. Cell viability tests were realised using Vero cells, a type of fibroblastic cell line. Polystyrene was used as a negative control for toxicity (NCT). The cells were cultured under standard cell culture conditions. The proliferation indicated that these magnetic diamond samples were not cytotoxic. - Highlights: Black-Right-Pointing-Pointer Polycrystalline diamonds doped with Fe, Cr and Ni acquire ferromagnetic properties. Black-Right-Pointing-Pointer CVD diamonds have been prepared with magnetic and semiconductor properties. Black-Right-Pointing-Pointer Micro/nanocrystalline diamonds show good cell viability with fibroblast proliferation.

  13. Operation of microstrip gas chambers manufactured on glass coated with high resistivity diamond-like layers

    CERN Document Server

    Boimska, B; Dominik, Wojciech; Hoch, M; Million, Gilbert; Ropelewski, Leszek; Sauli, Fabio; Sharma, A

    1997-01-01

    We describe recent observations and measurements realized with micro-strip gas chambers (MSGCs) manufactured on boro-silicate glass coated with a thin layer of diamond-like carbon (DLC) having a surface resistivity around 4.10$^{16}\\Omega/\\Box$. The role of the back-pla electrode configuration and potential in the detector performance has been studied. Even for this very high resistivity of the coatings, MSGCs operate differently from those manufactured on bare boro-silicate glass; the charge gain increases with the radiation flux for counting rates above 103 Hz/mm2, reaching a value 60% higher for 105 Hz/mm2. This behavior does not depend on the presence and potential of the back plane electrode; however, both maximum gain and rate capability are influenced by the drift field. From this study, compared with measurements realized previously with other detectors, we deduce that for stable high rate operation of MSGCs the resistivity of the coating should not exceed ~10$^{15}\\Omega/\\Box$.

  14. Analyses of Biofilm on Implant Abutment Surfaces Coating with Diamond-Like Carbon and Biocompatibility.

    Science.gov (United States)

    Huacho, Patricia Milagros Maquera; Nogueira, Marianne N Marques; Basso, Fernanda G; Jafelicci Junior, Miguel; Francisconi, Renata S; Spolidorio, Denise M P

    2017-01-01

    The aim of this study was to evaluate the surface free energy (SFE), wetting and surface properties as well as antimicrobial, adhesion and biocompatibility properties of diamond-like carbon (DLC)-coated surfaces. In addition, the leakage of Escherichia coli through the abutment-dental implant interface was also calculated. SFE was calculated from contact angle values; R a was measured before and after DLC coating. Antimicrobial and adhesion properties against E. coli and cytotoxicity of DLC with human keratinocytes (HaCaT) were evaluated. Further, the ability of DLC-coated surfaces to prevent the migration of E. coli into the external hexagonal implant interface was also evaluated. A sterile technique was used for the semi-quantitative polymerase chain reaction (semi-quantitative PCR). The surfaces showed slight decreases in cell viability (p0.05). It was concluded that DLC was shown to be a biocompatible material with mild cytotoxicity that did not show changes in R a, SFE, bacterial adhesion or antimicrobial properties and did not inhibit the infiltration of E. coli into the abutment-dental implant interface.

  15. Microstructure and mechanical properties of diamond films on titanium-aluminum-vanadium alloy

    Science.gov (United States)

    Catledge, Shane Aaron

    hardness, wear resistance, residual stress, and elastic modulus of the film. The mechanical properties of the diamond coatings were characterized by indentation and wear testing instruments. Finally, we developed a model based on fundamental thermodynamic and optical principles for extracting the time dependence of film thickness and surface roughness using optical pyrometry for the case of an absorbing substrate. This model provides a convenient way to determine film thickness during growth in CVD systems as well as a reliable estimate of surface roughness.

  16. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Influence of bowl shaped substrate holder on growth of polymeric DLC film in a microwave plasma CVD reactor ... Diamond like carbon (DLC); polymeric DLC; microwave plasma CVD. ... These films are polymeric in nature with moderately high hardness, which may be useful as anti-scratch and anti-corrosive coatings.

  17. Frictional and Optical Properties of Diamond-Like-Carbon Coatings on Polycarbonate

    International Nuclear Information System (INIS)

    Lin Zeng; Gao Ding; Ba Dechun; Wang Feng; Liu Chunming

    2013-01-01

    In this work, diamond-like-carbon (DLC) films were deposited onto polycarbonate (PC) substrates by radio-frequency plasma-enhanced chemical vapor deposition (RF PECVD), and silicon films were prepared between DLC and PC substrates by magnetron sputtering deposition so as to improve the adhesion of the DLC films. The deposited films were investigated by means of field-emission scanning electron microscopy (FE-SEM), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Subsequently, the following frictional and optical properties of the films were measured: the friction coefficient by using a ball-on-disk tribometer, the scratch hardness by using a nano-indenter, the optical transmittance by using a UV/visible spectrometer. The effects of incident power upon the frictional and optical properties of the films were investigated. Films deposited at low incident powers showed large optical gaps, which decreased with increasing incident power. The optical properties of DLC films correlated to the sp 2 content of the coatings. High anti-scratch properties were obtained at higher values of incident power. The anti-scratch properties of DLC films correlated to the sp 3 content of the coatings

  18. Fabrication of periodically ordered diamond nanostructures by microsphere lithography

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Štolcová, L.; Proška, J.; Kromka, Alexander

    2014-01-01

    Roč. 251, č. 12 (2014), s. 2587-2592 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 Keywords : CVD growth * diamond * microsphere lithography * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  19. Optical and mechanical properties of diamond like carbon films ...

    Indian Academy of Sciences (India)

    Diamond like carbon (DLC) films were deposited on Si (111) substrates by microwave electron cyclotron resonance (ECR) plasma chemical vapour deposition (CVD) process using plasma of argon and methane gases. During deposition, a d.c. self-bias was applied to the substrates by application of 13.56 MHz rf power.

  20. Alternative waste form development - low-temperature pyrolytic carbon coatings

    International Nuclear Information System (INIS)

    Oma, K.H.; Rusin, J.M.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Although several chemical vapor deposition (CVD) - coated waste forms have been successfully produced, some major disadvantages associated with the high-temperature fluidized-bed CVD coating process exist. To overcome these disadvantages, the Pacific Northwest Laboratory has initiated the development of a pyrolytic carbon CVD coating system to coat large waste-form particles at temperatures ranging from 400 to 500/degree/C. This relatively simple system has been used to coat kilogram quantities of simulated waste-glass marbles. Further development of this system could result in a viable process to coat bulk quantities of both glass and ceramic waste forms. This paper discusses various aspects of the development work, including coating techniques, parametric study, and coater equipment. 10 refs

  1. Effect of Nano-Ni Catalyst on the Growth and Characterization of Diamond Films by HFCVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2010-01-01

    Full Text Available Four different catalysts, nanodiamond seed, nano-Ni, diamond powder, and mixture of nano-Ni/diamond powder, were used to activate Si wafers for diamond film growth by hot-filament CVD (HFCVD. Diamond crystals were shown to grow directly on both large diamond powder and small nanodiamond seed, but a better crystallinity of diamond film was observed on the ultrasonicated nanodiamond seeded Si substrate. On the other hand, nano-Ni nanocatalysts seem to promote the formation of amorphous carbon but suppress transpolyacetylene (t-PA phases at the initial growth of diamond films. The subsequent nucleation and growth of diamond crystals on the amorphous carbon layer leads to generation of the spherical diamond particles and clusters prior to coalescence into continuous diamond films based on the CH3 addition mechanism as characterized by XRD, Raman, ATR/FT-IR, XPS, TEM, SEM, and AFM techniques. Moreover, a 36% reduction in surface roughness of diamond film assisted by nano-Ni catalyst is quite significant.

  2. GENERAL RULES OF SIC FORMATION IN DIAMOND-CONTAINING COMPOSITION AT LOW PRESSURE

    Directory of Open Access Journals (Sweden)

    A. E. Zhuk

    2007-01-01

    Full Text Available Results of experimental investigations of structure-formation process of «diamond-carbide silicon» composite at low pressure which is obtained by liquid silicon impregnation of a porous blank made of diamond crystals with nano-coatings have made it possible to establish the following general rules of the process concerning a sintering reaction in the coating and composite material: vacuum magnetronic spraying of composite cathodes leads to formation of nano-coating which is made of silicon and hydrogen atoms or clusters, and their subsequent treatment with plasma of glow discharge is accompanied by formation of α-SiC at low temperatures in a hard phase; silicon impregnation at 1500 °C with given pyrolytic carbon in the charge may result in β-SiC matrix formation.The formed «diamond-carbide silicon» composite material contains a frame structure of diamond crystals with nano-coating impregnated by silicon carbide and is characterized by high physical and mechanical properties. 

  3. Test of a Diamond Detector Using Unbunched Beam Halo Particles

    CERN Document Server

    Dehning, B; Pernegger, H; Dobos, D; Frais-Kolbl, H; Griesmayer, E

    2010-01-01

    A pCVD diamond detector has been evaluated as a beam loss monitor for future applications in the LHC accelerator. The test monitor was mounted in the SPS BA5 downstream of a LHC collimator during the LHC beam set-up. CVD diamond particle detectors are already in use in the CERN experiments ATLAS, CMS, LHCb and Alice. This is a proven technology with high radiation tolerance and very fast signal read-out. It can be used for single-particle detection, as well as for measuring particle cascades, for timing measurements on the nanosecond scale and for beam protection systems. Despite the read-out being made through 250 m of CK50 cable, the tests have shown a very good signal-to-noise ratio of 6.8, an excellent double-pulse resolution of less than 5 ns and a high dynamic range of 1:350 MIP particles. The efficiency of particle detection is practically 100% for charged particles.

  4. A large area diamond-based beam tagging hodoscope for ion therapy monitoring

    Science.gov (United States)

    Gallin-Martel, M.-L.; Abbassi, L.; Bes, A.; Bosson, G.; Collot, J.; Crozes, T.; Curtoni, S.; Dauvergne, D.; De Nolf, W.; Fontana, M.; Gallin-Martel, L.; Hostachy, J.-Y.; Krimmer, J.; Lacoste, A.; Marcatili, S.; Morse, J.; Motte, J.-F.; Muraz, J.-F.; Rarbi, F. E.; Rossetto, O.; Salomé, M.; Testa, É.; Vuiart, R.; Yamouni, M.

    2018-01-01

    The MoniDiam project is part of the French national collaboration CLaRyS (Contrôle en Ligne de l'hAdronthérapie par RaYonnements Secondaires) for on-line monitoring of hadron therapy. It relies on the imaging of nuclear reaction products that is related to the ion range. The goal here is to provide large area beam detectors with a high detection efficiency for carbon or proton beams giving time and position measurement at 100 MHz count rates (beam tagging hodoscope). High radiation hardness and intrinsic electronic properties make diamonds reliable and very fast detectors with a good signal to noise ratio. Commercial Chemical Vapor Deposited (CVD) poly-crystalline, heteroepitaxial and monocrystalline diamonds were studied. Their applicability as a particle detector was investigated using α and β radioactive sources, 95 MeV/u carbon ion beams at GANIL and 8.5 keV X-ray photon bunches from ESRF. This facility offers the unique capability of providing a focused ( 1 μm) beam in bunches of 100 ps duration, with an almost uniform energy deposition in the irradiated detector volume, therefore mimicking the interaction of single ions. A signal rise time resolution ranging from 20 to 90 ps rms and an energy resolution of 7 to 9% were measured using diamonds with aluminum disk shaped surface metallization. This enabled us to conclude that polycrystalline CVD diamond detectors are good candidates for our beam tagging hodoscope development. Recently, double-side stripped metallized diamonds were tested using the XBIC (X Rays Beam Induced Current) set-up of the ID21 beamline at ESRF which permits us to evaluate the capability of diamond to be used as position sensitive detector. The final detector will consist in a mosaic arrangement of double-side stripped diamond sensors read out by a dedicated fast-integrated electronics of several hundreds of channels.

  5. Electron field emission for ultrananocrystalline diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Krauss, A. R.; Auciello, O.; Ding, M. Q.; Gruen, D. M.; Huang, Y.; Zhirnov, V. V.; Givargizov, E. I.; Breskin, A.; Chechen, R.; Shefer, E. (and others)

    2001-03-01

    Ultrananocrystalline diamond (UNCD) films 0.1--2.4 {mu}m thick were conformally deposited on sharp single Si microtip emitters, using microwave CH{sub 4}--Ar plasma-enhanced chemical vapor deposition in combination with a dielectrophoretic seeding process. Field-emission studies exhibited stable, extremely high (60--100 {mu}A/tip) emission current, with little variation in threshold fields as a function of film thickness or Si tip radius. The electron emission properties of high aspect ratio Si microtips, coated with diamond using the hot filament chemical vapor deposition (HFCVD) process were found to be very different from those of the UNCD-coated tips. For the HFCVD process, there is a strong dependence of the emission threshold on both the diamond coating thickness and Si tip radius. Quantum photoyield measurements of the UNCD films revealed that these films have an enhanced density of states within the bulk diamond band gap that is correlated with a reduction in the threshold field for electron emission. In addition, scanning tunneling microscopy studies indicate that the emission sites from UNCD films are related to minima or inflection points in the surface topography, and not to surface asperities. These data, in conjunction with tight binding pseudopotential calculations, indicate that grain boundaries play a critical role in the electron emission properties of UNCD films, such that these boundaries: (a) provide a conducting path from the substrate to the diamond--vacuum interface, (b) produce a geometric enhancement in the local electric field via internal structures, rather than surface topography, and (c) produce an enhancement in the local density of states within the bulk diamond band gap.

  6. Tribological investigation of diamond-like carbon coated micro-dimpled surface under bovine serum and osteoarthritis oriented synovial fluid

    International Nuclear Information System (INIS)

    Ghosh, Subir; Roy, Taposh; Pingguan-Murphy, Belinda; Choudhury, Dipankar; Bin Mamat, Azuddin; Masjuki, H H

    2015-01-01

    Osteoarthritis-oriented synovial fluid (OASF), i.e., that typical of a patient with osteoarthritis, has different physical and biological characteristics than bovine serum (BS), a lubricant widely used in biotribological investigations. Micro-dimpled and diamond-like carbon- (DLC) coated surfaces are key emerging interfaces for orthopedic implants. In this study, tribological performances of dimpled surfaces, with and without DLC coating, have been investigated under both BS and OASF. The friction tests were performed utilizing a pin on a disk tribometer, whereas contact pressure, speed, and temperature were simulated to a ‘medium walking gait’ of hip joint conditions. The mechanical properties of the specimen and the physical properties of the lubricant were characterized before the friction test. Raman analysis was conducted to identify the coating condition both before and after the test. The DLC-coated dimpled surface showed maximum hardness and residual stress. A DLC-coated dimpled surface under an OASF lubricated condition yielded a lower friction coefficient and wear compared to those of plain and dimpled specimens. The higher graphitization of coated materials with increasing load was confirmed by Raman spectroscopy. (paper)

  7. Tribological investigation of diamond-like carbon coated micro-dimpled surface under bovine serum and osteoarthritis oriented synovial fluid

    Science.gov (United States)

    Ghosh, Subir; Choudhury, Dipankar; Roy, Taposh; Mamat, Azuddin Bin; Masjuki, H. H.; Pingguan-Murphy, Belinda

    2015-06-01

    Osteoarthritis-oriented synovial fluid (OASF), i.e., that typical of a patient with osteoarthritis, has different physical and biological characteristics than bovine serum (BS), a lubricant widely used in biotribological investigations. Micro-dimpled and diamond-like carbon- (DLC) coated surfaces are key emerging interfaces for orthopedic implants. In this study, tribological performances of dimpled surfaces, with and without DLC coating, have been investigated under both BS and OASF. The friction tests were performed utilizing a pin on a disk tribometer, whereas contact pressure, speed, and temperature were simulated to a ‘medium walking gait’ of hip joint conditions. The mechanical properties of the specimen and the physical properties of the lubricant were characterized before the friction test. Raman analysis was conducted to identify the coating condition both before and after the test. The DLC-coated dimpled surface showed maximum hardness and residual stress. A DLC-coated dimpled surface under an OASF lubricated condition yielded a lower friction coefficient and wear compared to those of plain and dimpled specimens. The higher graphitization of coated materials with increasing load was confirmed by Raman spectroscopy.

  8. The formation of tritium permeation barriers by CVD

    International Nuclear Information System (INIS)

    Forcey, K.S.; Perujo, A.; Reiter, F.; Lolli-Ceroni, P.L.

    1993-01-01

    The effectiveness as permeation barriers of the following CVD coatings have been investigated: TiC (1 to 2 μm in thickness); a bi-layer of TiN on TiC (3 μm total thickness) and CVD Al 2 O 3 on a TiN/TiC bi-layer. The substrate materials were TZM (a Mo alloy) and 316L stainless steel in the form of discs of diameter 48 mm and thickness 0.1 or 1 mm. Permeation measurements were performed in the temperature range 515-742 K using deuterium at pressures in the range 1-50 kPa. CVD layers were shown to form reasonably effective permeation barriers. At a temperature of 673 K TiC is around 6000 times less permeable to deuterium than 316L stainless steel. (orig.)

  9. Diamond Growth in the Subduction Factory

    Science.gov (United States)

    Bureau, H.; Frost, D. J.; Bolfan-Casanova, N.; Leroy, C.; Estève, I.

    2014-12-01

    Natural diamonds are fabulous probes of the deep Earth Interior. They are the evidence of the deep storage of volatile elements, carbon at first, but also hydrogen and chlorine trapped as hydrous fluids in inclusions. The study of diamond growth processes in the lithosphere and mantle helps for our understanding of volatile elements cycling between deep reservoirs. We know now that inclusion-bearing diamonds similar to diamonds found in nature (i.e. polycrystalline, fibrous and coated diamonds) can grow in hydrous fluids or melts (Bureau et al., GCA 77, 202-214, 2012). Therefore, we propose that the best environment to promote such diamonds is the subduction factory, where highly hydrous fluids or melts are present. When oceanic plates are subducted in the lithosphere, they carry an oceanic crust soaked with seawater. While the slabs are traveling en route to the mantle, dehydration processes generate saline fluids highly concentrated in NaCl. In the present study we have experimentally shown that diamonds can grow from the saline fluids (up to 30 g/l NaCl in water) generated in subducted slabs. We have performed multi-anvil press experiments at 6-7 GPa and from 1300 to 1400°C during 6:00 hours to 30:00 hours. We observed large areas of new diamond grown in epitaxy on pure diamond seeds in salty hydrous carbonated melts, forming coated gems. The new rims are containing multi-component primary inclusions. Detailed characterizations of the diamonds and their inclusions have been performed and will be presented. These experimental results suggest that multi-component salty fluids of supercritical nature migrate with the slabs, down to the deep mantle. Such fluids may insure the first stage of the deep Earth's volatiles cycling (C, H, halogen elements) en route to the transition zone and the lower mantle. We suggest that the subduction factory may also be a diamond factory.

  10. Radiation damage in the diamond based beam condition monitors of the CMS experiment at the Large Hadron Collider (LHC) at CERN

    Energy Technology Data Exchange (ETDEWEB)

    Guthoff, Moritz, E-mail: moritz.guthoff@cern.ch [CERN, 1211 Genève 23 (Switzerland); Institut für Experimentelle Kernphysik, Karlsruhe Institute of Technology, Campus Süd, P.O. Box 6980, 76128 Karlsruhe (Germany); Afanaciev, Konstantin [DESY, Platanenallee 6, 15738 Zeuthen (Germany); NC PHEP BSU, Minsk (Belarus); Dabrowski, Anne [CERN, 1211 Genève 23 (Switzerland); Boer, Wim de [Institut für Experimentelle Kernphysik, Karlsruhe Institute of Technology, Campus Süd, P.O. Box 6980, 76128 Karlsruhe (Germany); Lange, Wolfgang [DESY, Platanenallee 6, 15738 Zeuthen (Germany); Lohmann, Wolfgang [DESY, Platanenallee 6, 15738 Zeuthen (Germany); Brandenburgische Technische Universität, Postfach 101344, 03013 Cottbus (Germany); Stickland, David [Princeton University, Princeton, NJ 08544-0708 (United States)

    2013-12-01

    The Beam Condition Monitor (BCM) of the CMS detector at the LHC is a protection device similar to the LHC Beam Loss Monitor system. While the electronics used is the same, poly-crystalline Chemical Vapor Deposition (pCVD) diamonds are used instead of ionization chambers as the BCM sensor material. The main purpose of the system is the protection of the silicon Pixel and Strip tracking detectors by inducing a beam dump, if the beam losses are too high in the CMS detector. By comparing the detector current with the instantaneous luminosity, the BCM detector efficiency can be monitored. The number of radiation-induced defects in the diamond, reduces the charge collection distance, and hence lowers the signal. The number of these induced defects can be simulated using the FLUKA Monte Carlo simulation. The cross-section for creating defects increases with decreasing energies of the impinging particles. This explains, why diamond sensors mounted close to heavy calorimeters experience more radiation damage, because of the high number of low energy neutrons in these regions. The signal decrease was stronger than expected from the number of simulated defects. Here polarization from trapped charge carriers in the defects is a likely candidate for explaining the difference, as suggested by Transient Current Technique (TCT) measurements. A single-crystalline (sCVD) diamond sensor shows a faster relative signal decrease than a pCVD sensor mounted at the same location. This is expected, since the relative increase in the number of defects is larger in sCVD than in pCVD sensors. -- Highlights: •The BCM system and its diamond detectors at the CMS experiment of the LHC are presented. •Detectors show a decreased signal strength with increasing integrated luminosity. •CCD measurements using constant HV and alternating HV to prevent polarization are compared. •TCT measurements show a decreasing signal when polarization builds up. •Polarization effects are a likely

  11. Radiation damage in the diamond based beam condition monitors of the CMS experiment at the Large Hadron Collider (LHC) at CERN

    International Nuclear Information System (INIS)

    Guthoff, Moritz; Afanaciev, Konstantin; Dabrowski, Anne; Boer, Wim de; Lange, Wolfgang; Lohmann, Wolfgang; Stickland, David

    2013-01-01

    The Beam Condition Monitor (BCM) of the CMS detector at the LHC is a protection device similar to the LHC Beam Loss Monitor system. While the electronics used is the same, poly-crystalline Chemical Vapor Deposition (pCVD) diamonds are used instead of ionization chambers as the BCM sensor material. The main purpose of the system is the protection of the silicon Pixel and Strip tracking detectors by inducing a beam dump, if the beam losses are too high in the CMS detector. By comparing the detector current with the instantaneous luminosity, the BCM detector efficiency can be monitored. The number of radiation-induced defects in the diamond, reduces the charge collection distance, and hence lowers the signal. The number of these induced defects can be simulated using the FLUKA Monte Carlo simulation. The cross-section for creating defects increases with decreasing energies of the impinging particles. This explains, why diamond sensors mounted close to heavy calorimeters experience more radiation damage, because of the high number of low energy neutrons in these regions. The signal decrease was stronger than expected from the number of simulated defects. Here polarization from trapped charge carriers in the defects is a likely candidate for explaining the difference, as suggested by Transient Current Technique (TCT) measurements. A single-crystalline (sCVD) diamond sensor shows a faster relative signal decrease than a pCVD sensor mounted at the same location. This is expected, since the relative increase in the number of defects is larger in sCVD than in pCVD sensors. -- Highlights: •The BCM system and its diamond detectors at the CMS experiment of the LHC are presented. •Detectors show a decreased signal strength with increasing integrated luminosity. •CCD measurements using constant HV and alternating HV to prevent polarization are compared. •TCT measurements show a decreasing signal when polarization builds up. •Polarization effects are a likely

  12. Crack formation mechanisms during micro and macro indentation of diamond-like carbon coatings on elastic-plastic substrates

    DEFF Research Database (Denmark)

    Thomsen, N.B.; Fischer-Cripps, A.C.; Swain, M.V.

    1998-01-01

    of cracking and the fracture mechanisms taking place. In the study various diamond-like carbon (DLC) coatings deposited onto stainless steel and tool steel were investigated. Results primarily for one DLC system will be presented here. (C) 1998 Published by Elsevier Science S.A. All rights reserved.......In the present study crack formation is investigated on both micro and macro scale using spherical indenter tips. in particular, systems consisting of elastic coatings that are well adhered to elastic-plastic substrates are studied. Depth sensing indentation is used on the micro scale and Rockwell...... indentation on the macro scale. The predominant driving force for coating failure and crack formation during indentation is plastic deformation of the underlying substrate. The aim is to relate the mechanisms creating both delamination and cohesive cracking on both scales with fracture mechanical models...

  13. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Diamond like carbon coatings deposited by microwave plasma CVD: XPS and ellipsometric studies ... Diamond-like carbon (DLC) films were deposited by microwave assisted chemical vapour deposition system using d.c. bias voltage ranging from –100 V to –300 V. These films were characterized by X-ray photoelectron ...

  14. Characterization of laboratory and industrial CrN/CrCN/diamond-like carbon coatings

    Energy Technology Data Exchange (ETDEWEB)

    Silva, F.J.G., E-mail: francisco.silva@eu.ipp.pt [Departamento de Engenharia Mecânica do Instituto Superior de Engenharia do Porto do Instituto Politécnico do Porto, Rua Dr. António Bernardino de Almeida, 431, 4200-072 Porto (Portugal); Martinho, R.P. [Departamento de Engenharia da Escola Superior de Estudos Industriais e de Gestão do Instituto Politécnico do Porto, Rua D. Sancho I, 981, 4480-876 Vila do Conde (Portugal); Baptista, A.P.M. [Departamento de Engenharia Mecânica da Faculdade de Engenharia da Universidade do Porto, Rua Dr. Roberto Frias, s/n, 4200-465 Porto (Portugal)

    2014-01-01

    This work reports on laboratorial and experimental wear behaviour studies about a multi-layered film deposited by PVD (Physical Vapour Deposition) unbalanced magnetron sputtering. The film consists of three different layers: CrN in the bottom, CrCN as intermediate layer and DLC (diamond-like carbon) on the top. Film characterization was done using techniques such as Scanning Electron Microscopy, Energy Dispersive X-ray Spectroscopy, Atomic Force Microscopy and X-ray diffraction. Scratch-tests, nanoindentation analysis and ball-cratering wear tests were used in order to measure the adhesion critical load, hardness and wear coefficient, respectively. Experimental tests were developed letting one to realise the suitability of this film for mould cavities used on injection moulding machines that produce automotive parts in polypropylene reinforced with 30% (wt.) glass fibres, because this composite material performs severe abrasion on injection moulding which brings important challenges to surface wear resistance. Experimental tests revealed that, after 135,000 injection cycles, multi-layer coating improved significantly the performance previously revealed by uncoated samples. The good results achieved by this film can be partially assigned to DLC top layer due to its low friction coefficient. This paper discusses these results, comparing them with some other PVD coatings already tested in the same conditions. - Highlights: • This coating presents a very good adhesion to the P20 steel substrate. • Surface wear performance is largely improved by the use of this coating. • Coating wear resistance is about 58.2 times higher than the uncoated substrate. • This film presents high suitability for application in mould cavities.

  15. In vitro and in vivo investigations into the biocompatibility of diamond-like carbon (DLC) coatings for orthopedic applications.

    Science.gov (United States)

    Allen, M; Myer, B; Rushton, N

    2001-05-01

    Diamond-like carbon (DLC) shows great promise as a durable, wear- and corrosion-resistant coating for biomedical implants. The effects of DLC coatings on the musculoskeletal system have not been investigated in detail. In this study, DLC coatings were deposited on polystyrene 24-well tissue culture plates by fast-atom bombardment from a hexane precursor. Two osteoblast-like cell lines were cultured on uncoated and DLC-coated plates for periods of up to 72 h. The effects of DLC coatings on cellular metabolism were investigated by measuring the production of three osteoblast-specific marker proteins: alkaline phosphatase, osteocalcin, and type I collagen. There was no evidence that the presence of the DLC coating had any adverse effect on any of the parameters measured in this study. In a second series of experiments, DLC-coated cobalt-chromium cylinders were implanted in intramuscular locations in rats and in transcortical sites in sheep. Histologic analysis of specimens retrieved 90 days after surgery showed that the DLC-coated specimens were well tolerated in both sites. These data indicate that DLC coatings are biocompatible in vitro and in vivo, and further investigations into their long-term biological and tribological performance are now warranted. Copyright 2001 John Wiley & Sons, Inc.

  16. Impact of the difference in power frequency on diamond-like carbon thin film coating over 3-dimensional objects

    Energy Technology Data Exchange (ETDEWEB)

    Nakaya, Masaki, E-mail: m-nakaya@kirin.co.jp [Packaging Technology Development Center, Technology Development Department, Kirin Brewery Co., Ltd., 1-17-1 Namamugi, Tsurumi-ku, Yokohama, Kanagawa 230-8682 (Japan); Shimizu, Mari [Packaging Technology Development Center, Technology Development Department, Kirin Brewery Co., Ltd., 1-17-1 Namamugi, Tsurumi-ku, Yokohama, Kanagawa 230-8682 (Japan); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2014-08-01

    With a type of capacitatively coupled plasma enhanced chemical vapor deposition (PECVD) technique, where two specially designed electrodes face to each other, the inner surface of hollow 3-dimensional objects such as poly(ethylene terephthalate) (PET) bottles can be coated with diamond-like carbon (DLC) thin film. DLC-coated PET bottles obtained with this technique have an enhanced gas barrier property, and therefore are applicable to industrial use such as for the extension of the shelf-life of contents sensitive to gas permeation. In this paper, the impact of power frequency ranging from 2.5 to 13.56 MHz was studied in order to research the behavior of plasma inside PET bottles and resultant properties. Different power frequency turned out to be influential on gas barrier property, the overall and distribution of tint, and adhesion between DLC and PET substrate. In addition, positron annihilation turned out to be powerful tool for the comparison of different coating conditions because it clarifies the homogeneity of DLC thin films through providing information on overall structure and thickness of them. These findings can be used for the optimization not only in the beverage PET bottle application, but also in other capacitatively coupled PECVD devices. - Highlights: • We demonstrated an effective methodology for the homogeneity of thin films. • We described the influence of power frequency on plasma and resultant thin film. • Diamond-like carbon coated on poly(ethylene terephthalate) bottles was used. • Different frequency provided homogenous thin films based on the above methodology. • For the industrial performance of the bottles, optimization was found at 6 MHz.

  17. Impact of the difference in power frequency on diamond-like carbon thin film coating over 3-dimensional objects

    International Nuclear Information System (INIS)

    Nakaya, Masaki; Shimizu, Mari; Uedono, Akira

    2014-01-01

    With a type of capacitatively coupled plasma enhanced chemical vapor deposition (PECVD) technique, where two specially designed electrodes face to each other, the inner surface of hollow 3-dimensional objects such as poly(ethylene terephthalate) (PET) bottles can be coated with diamond-like carbon (DLC) thin film. DLC-coated PET bottles obtained with this technique have an enhanced gas barrier property, and therefore are applicable to industrial use such as for the extension of the shelf-life of contents sensitive to gas permeation. In this paper, the impact of power frequency ranging from 2.5 to 13.56 MHz was studied in order to research the behavior of plasma inside PET bottles and resultant properties. Different power frequency turned out to be influential on gas barrier property, the overall and distribution of tint, and adhesion between DLC and PET substrate. In addition, positron annihilation turned out to be powerful tool for the comparison of different coating conditions because it clarifies the homogeneity of DLC thin films through providing information on overall structure and thickness of them. These findings can be used for the optimization not only in the beverage PET bottle application, but also in other capacitatively coupled PECVD devices. - Highlights: • We demonstrated an effective methodology for the homogeneity of thin films. • We described the influence of power frequency on plasma and resultant thin film. • Diamond-like carbon coated on poly(ethylene terephthalate) bottles was used. • Different frequency provided homogenous thin films based on the above methodology. • For the industrial performance of the bottles, optimization was found at 6 MHz

  18. Coated particle waste form development

    International Nuclear Information System (INIS)

    Oma, K.H.; Buckwalter, C.Q.; Chick, L.A.

    1981-12-01

    Coated particle waste forms have been developed as part of the multibarrier concept at Pacific Northwest Laboratory under the Alternative Waste Forms Program for the Department of Energy. Primary efforts were to coat simulated nuclear waste glass marbles and ceramic pellets with low-temperature pyrolytic carbon (LT-PyC) coatings via the process of chemical vapor deposition (CVD). Fluidized bed (FB) coaters, screw agitated coaters (SAC), and rotating tube coaters were used. Coating temperatures were reduced by using catalysts and plasma activation. In general, the LT-PyC coatings did not provide the expected high leach resistance as previously measured for carbon alone. The coatings were friable and often spalled off the substrate. A totally different concept, thermal spray coating, was investigated at PNL as an alternative to CVD coating. Flame spray, wire gun, and plasma gun systems were evaluated using glass, ceramic, and metallic coating materials. Metal plasma spray coatings (Al, Sn, Zn, Pb) provided a two to three orders-of-magnitude increase in chemical durability. Because the aluminum coatings were porous, the superior leach resistance must be due to either a chemical interaction or to a pH buffer effect. Because they are complex, coated waste form processes rank low in process feasibility. Of all the possible coated particle processes, plasma sprayed marbles have the best rating. Carbon coating of pellets by CVD ranked ninth when compared with ten other processes. The plasma-spray-coated marble process ranked sixth out of eleven processes

  19. AlTiN layer effect on mechanical properties of Ti-doped diamond-like carbon composite coatings

    International Nuclear Information System (INIS)

    Pang Xiaolu; Yang Huisheng; Gao Kewei; Wang Yanbin; Volinsky, Alex A.

    2011-01-01

    Ti/Ti-doped diamond-like carbon (DLC) and Ti/AlTiN/Ti-DLC composite coatings were deposited by magnetron sputtering on W18Cr4V high speed steel substrates. The effect of the AlTiN support layer on the properties of these composite coatings was investigated through microstructure and mechanical properties characterization, including hardness, elastic modulus, coefficient of friction and wear properties measured by scanning electron microscopy, Raman spectroscopy, scratch and ball-on-disk friction tests. Ti and AlTiN interlayers have a columnar structure with 50-80 nm grains. The hardness and elastic modulus of Ti/Ti-DLC and Ti/AlTiN/Ti-DLC coatings is 25.9 ± 0.4, 222.2 ± 6.3 GPa and 19.3 ± 1, 205.6 ± 6.7 GPa, respectively. Adhesion of Ti-DLC, Ti/AlTiN/Ti-DLC and AlTiN/Ti-DLC coatings expressed as the critical lateral force is 26.5 N, 38.2 N, and 47.8 N, respectively. Substrate coefficient of friction without coatings is 0.44, and it is 0.1 for Ti/Ti-DLC and Ti/AlTiN/Ti-DLC coatings. Wear resistance of Ti/AlTiN/Ti-DLC composite coatings is much higher than Ti/Ti-DLC coatings based on the wear track width of 169.8 and 73.2 μm, respectively, for the same experimental conditions.

  20. New oxide-composite coatings for difficult metal-cutting tasks

    International Nuclear Information System (INIS)

    Westphal, H.; Berg, H. van den; Sottke, V.; Tabersky, R.

    2001-01-01

    The changes in today's metal working technology are driven by increasing cutting speeds, heavy/hard machining and an enormous amount by changes in work piece materials. These applications are asking for more tailor made cutting tool solutions. Together with the well established multi component coating technology a new approach of composite coatings is giving solutions for the tough demands of the cutting tool market. In this paper is presented composite coatings of AI 2 O 3 /ZrO-2/TiO x made by CVD. The coating is like high performance oxide ceramics for cutting applications. The coating is used in combination with MT CVD coatings and different carbide substrates. The CVD coating has optimum stress for cutting applications, low friction and very high thermal isolation. The outstanding performance of this coating is demonstrated in different applications. (author)