WorldWideScience

Sample records for cuins2 films deposited

  1. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    Science.gov (United States)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  2. CuInS2 thin films obtained through the annealing of chemically deposited In2S3-CuS thin films

    International Nuclear Information System (INIS)

    Pena, Y.; Lugo, S.; Calixto-Rodriguez, M.; Vazquez, A.; Gomez, I.; Elizondo, P.

    2011-01-01

    In this work, we report the formation of CuInS 2 thin films on glass substrates by heating chemically deposited multilayers of copper sulfide (CuS) and indium sulfide (In 2 S 3 ) at 300 and 350 deg. C in nitrogen atmosphere at 10 Torr. CIS thin films were prepared by varying the CuS layer thickness in the multilayers with indium sulfide. The XRD analysis showed that the crystallographic structure of the CuInS 2 (JCPDS 27-0159) is present on the deposited films. From the optical analysis it was estimated the band gap value for the CIS film (1.49 eV). The electrical conductivity varies from 3 x 10 -8 to 3 Ω -1 cm -1 depending on the thickness of the CuS film. CIS films showed p-type conductivity.

  3. Characterization of CuInS2 thin films prepared by chemical bath deposition and their implementation in a solar cell

    International Nuclear Information System (INIS)

    Lugo, S.; López, I.; Peña, Y.; Calixto, M.; Hernández, T.; Messina, S.

    2014-01-01

    CuInS 2 thin films were formed by the sequential deposition of In 2 S 3 –CuS layers on glass substrates, by chemical bath deposition technique, and heating these multilayer 1 h at 350 °C and 400 mPa. The morphology and thickness of the CuInS 2 thin films were analysed by scanning electron microscopy, showing particles with elongated shape and length about 40 nm, and thickness of 267 and 348 nm for samples from 15 and 24 h of deposition time in the chemical bath of In 2 S 3 , respectively. The energy band gap values of the films were around 1.4 eV, whereas the electrical conductivity showed values from 64.91 to 4.11 × 10 −3 Ω −1 cm −1 for the samples of 15 and 24 h of In 2 S 3 deposition bath, respectively. The obtained CuInS 2 films showed appropriate values for their application as an absorbing layer in photovoltaic structures of the type: glass/SnO 2 :F/CdS/Sb 2 S 3 /CuInS 2 /PbS/C/Ag. The whole structure was obtained through chemical bath deposition technique. The solar cell corresponding to 15 h of In 2 S 3 deposition duration bath showed energy-conversion efficiency (η) of 0.53% with open circuit voltage (V oc ) of 530 mV, short circuit current density (J sc ) of 2.43 mA cm −2 , and fill factor (FF) of 0.41. In the case of the structure with 24 h of deposition of In 2 S 3 bath, η = 0.43% was measured with the following parameters: V oc = 330 mV, J sc = 4.78 mA cm −2 and FF = 0.27. - Highlights: • CuInS 2 films were formed by chemical bath deposition followed by a heat treatment. • Prepared CuInS 2 thin films can work as an effective absorbing layer in a solar cell. • A complete solar cell structure was made by a chemical bath deposition method

  4. Structure, morphology and optical properties of CuInS2 thin films prepared by modulated flux deposition

    International Nuclear Information System (INIS)

    Guillen, C.; Herrero, J.; Gutierrez, M.T.; Briones, F.

    2005-01-01

    The structure, morphology and optical properties of copper indium sulfide thin films prepared by a novel modulated flux deposition procedure have been investigated for layers from 200 to 400 nm thickness. These polycrystalline CuInS 2 films grown onto glass substrates showed CuAu-like structure, similar to epitaxial CuInS 2 films grown onto monocrystalline substrates, and direct band gap values Eg=1.52-1.55 eV, optimum for single-junction photovoltaic applications. The increase in the layer thickness leads to growth of the average crystallite size and increases slightly the surface roughness and the absorption coefficient

  5. Energy transfer in aggregated CuInS2/ZnS core-shell quantum dots deposited as solid films

    International Nuclear Information System (INIS)

    Gardelis, S; Georgiadou, D; Travlos, A; Nassiopoulou, A G; Fakis, M; Droseros, N

    2017-01-01

    We report on the morphology and optical properties of CuInS 2 /ZnS core-shell quantum dots in solid films by means of AFM, SEM, HRTEM, steady state and time-resolved photoluminescence (PL) spectroscopy. The amount of aggregation of the CuInS 2 /ZnS QDs was controlled by changing the preparation conditions of the films. A red-shift of the PL spectrum of CuInS 2 /ZnS core-shell quantum dots, deposited as solid films on silicon substrates, is observed upon increasing the amount of aggregation. The presence of larger aggregates was found to lead to a larger PL red-shift. Besides, as the degree of aggregation increased, the PL decay became slower. We attribute the observed PL red-shift to energy transfer from the smaller to the larger dots within the aggregates, with the emission being realized via a long decay recombination mechanism (100–200 ns), the origin of which is discussed. (paper)

  6. Characterization of sprayed CuInS2 films by XRD and Raman spectroscopy measurements

    International Nuclear Information System (INIS)

    Lee, Dong-Yeup; Kim, JunHo

    2010-01-01

    We studied CuInS 2 (CIS) film growth using two deposition methods, which were high electrostatic field assisted ultrasonic spray (HEFAUS) deposition and sulfurization of Cu-In metallic film. The sprayed-films were grown with chalcopyrite ordering and Cu-Au ordering mixed. In order to obtain higher quality CIS films, post-sulfurization was carried out for sprayed-films. The post-sulfurization induced improvement of crystallinity and enhancement of chalcopyrite ordering. However, it was observed that Cu-Au ordering still coexisted in the CIS film after post-sulfurization. With the same sulfurization condition, sulfurization was done to transform Cu-In metallic film into CIS film. The sulfurized metallic film was turned out to be formed as CIS film with higher crystallinity and better chalcopyrite ordering than sulfurized sprayed-films. All fabricated films were characterized by X-ray diffraction, Raman scattering, scanning electron microscope and energy dispersive X-ray analysis measurements.

  7. Structural and optical properties of Zn doped CuInS 2 thin films

    Indian Academy of Sciences (India)

    Copper indium sulphide (CIS) films were deposited by spray pyrolysis onto glass ... The effects of Zn (0–5%)molecular weight compared with CuInS2 Source and ... candidates for use as doped acceptors to fabricate CuInS2-based solar cells.

  8. Effects of Preparation Conditions on the CuInS2 Films Prepared by One-Step Electrodeposition Method

    Directory of Open Access Journals (Sweden)

    Rongfeng Guan

    2015-01-01

    Full Text Available CuInS2 thin films were prepared onto indium tin oxide (ITO substrates by sulfurization of electrodeposited CuxInySz precursor films under S atmosphere. The influences of deposition potential, Cu2+/In3+ ratio, sulfurization temperature, and sulfur content on the CuInS2 thin films were investigated. Phases and structures were characterized by powder X-ray diffraction and Raman spectroscopy; surface morphology was characterized by Scanning Electron Microscopy; optical and electrical properties were characterized by UV-Vis absorption and Mott-Schottky curves, respectively. As a result, the optimal well-crystallized CuInS2 films preparation parameters were determined to be deposition potential of −0.8 V, Cu2+/In3+ ratio of 1.4, sulfur content of 1 g, and the sulfurization temperature of 550°C for 1 h; CuInS2 thin films prepared by one-step electrodeposition present the p-type semiconductor, with thickness about 4-5 μm and their optical band gaps in the range of 1.53~1.55 eV.

  9. Investigation of growth and characterization of nanostructured CuIn5S8 thin films produced by glancing angle deposition

    International Nuclear Information System (INIS)

    Sinaoui, A.; Chaffar-Akkari, F.; Gallas, B.; Demaille, D.; Kanzari, M.

    2015-01-01

    Ternary chalcogenide of copper and indium (CuIn 5 S 8 ) thin films were grown by thermal evaporation method using GLancing Angle Deposition (GLAD) technique. The samples were prepared under different incident angles (α = 0°, 40°, 60° and 85° measured from the normal to the substrate surface) with a substrate rotation of 2 rpm. X-ray diffraction, scanning electron microscopy, and ultraviolet–visible-infrared spectra are employed to characterize the microstructure and optical properties of the CuIn 5 S 8 thin films deposited by this technique. Under the GLAD conditions, we demonstrate that with substrate rotation, the columns were grown vertically due to the shadowing symmetry. The optical constants of the deposited films were determined from the analysis of transmission and reflection data. The results show that the refractive index and the thickness were decreased as α rises from 0° to 85° while the porosity and the Urbach energy were increased with increasing of the incident angle. The minimum refractive index is found to be 2.03 for the helical CuIn 5 S 8 film deposited at an angle of 85° and the Urbach energy was found to increase from 0.29 to 0.5 eV as α rises from 0° to 85°. Such changes of the optical behaviors are correlated with changes of the microstructure, especially a porous architecture which is favored for high incident angle. These properties exhibit potential for use in applications such as photonic crystals, graded index optical filters, and birefrigent omnidirectional reflectors. - Highlights: • GLancing angle deposition technique was employed to prepare CuIn 5 S 8 thin films. • CuIn 5 S 8 films exhibit a spinel structure with a preferred orientation along 311. • With substrate rotation, the columns were grown vertically due to shadowing symmetry. • The refractive index decreases with increasing glancing angle deposition. • Variations of the optical behaviors were correlated to the highly porous structure

  10. Synthesis Characterization and Decomposition Studies of tris[N-N-dibenzyidithocarbaso)Indium (III) Chemical Spray Deposition of Polycrystalline CuInS2 on Copper Films

    Science.gov (United States)

    Hehemann, David G.; Lau, J. Eva; Harris, Jerry D.; Hoops, Michael D.; Duffy, Norman V.

    2005-01-01

    This paper presents the results of the synthesis characterization and decomposition studies of tris[N-N-dibenzyidithocarbaso)Indium (III) with chemical spray deposition of polycrystalline CuInS2 on Copper Films.

  11. Influence of Sn incorporation on the properties of CuInS2 thin films grown by vacuum evaporation method

    International Nuclear Information System (INIS)

    Zribi, M.; Rabeh, M. Ben; Brini, R.; Kanzari, M.; Rezig, B.

    2006-01-01

    Structural, morphological and optical properties of Sn-doped CuInS 2 thin films grown by double source thermal evaporation method were studied. Firstly, the films were annealed in vacuum after evaporation from 250 to 500 deg. C for Sn deposition time equal to 3 min. Secondly, the films deposited for several Sn evaporation times were annealed in vacuum after evaporation at 500 deg. C. The X-ray diffraction spectra indicated that polycrystalline Sn-doped CuInS 2 films were obtained and no Sn binary or ternary phases are observed for the Sn evaporation times equal to 5 min. Scanning electron microscopy observation revealed the decrease of the surface crystallinity with increasing the Sn evaporation times and the annealing temperatures. The Sn-doped samples after annealing have bandgap energy of 1.42-1.50 eV. Furthermore, we found that the Sn-doped CuInS 2 thin films exhibit N-type conductivity after annealing

  12. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    Science.gov (United States)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  13. Growth and properties of the CuInS2 thin films produced by glancing angle deposition

    International Nuclear Information System (INIS)

    Akkari, F. Chaffar; Kanzari, M.; Rezig, B.

    2008-01-01

    We use the glancing angle deposition technique (GLAD) to grow CuInS 2 thin films by a vacuum thermal method onto glass substrates. During deposition, the substrate temperature was maintained at 200 deg. C. Due to shadowing effect the oblique angle deposition technique can produce nanorods tilted toward the incident deposition flux. The evaporated atoms arrive at the growing interface at a fixed angle θ measured from the substrate normal. The substrate is rotated with rotational speed ω fixed at 0.033 rev s -1 . We show that the use of this growth technique leads to an improvement in the optical properties of the films. Indeed high absorption coefficients (10 5 -3.10 5 cm -1 ) in the visible range and near-IR spectral range are reached. In the case of the absence of the substrate rotation, scanning electron microscopy pictures show that the structure of the resulting film consists of nanocolumns that are progressively inclined towards the evaporation source as the incident angle was increased. If a rapid azimuthal rotation accompanies the substrate tilt, the resulting nanostructure is composed of an array of pillars normal to the substrate. The surface morphology show an improvement without presence of secondary phases for higher incident angles (θ > 60 deg.)

  14. Photoluminescence of polycrystalline CuIn 0.5 Ga 0.5 Te 2 thin films grown by flash evaporation

    KAUST Repository

    Yandjah, L.; Bechiri, L.; Benabdeslem, M.; Benslim, N.; Amara, A.; Portier, X.; Bououdina, M.; Ziani, Ahmed

    2018-01-01

    Polycrystalline CuIn0.5Ga0.5Te2 films were deposited by flash evaporation from ingot prepared by reacting, in stoichiometric proportions, high purity Cu, In, Ga and Te elements in vacuum sealed quartz . The as-obtained films were characterized by X

  15. Formation of a ZnS Zn S,O bilayer buffer on CuInS2 thin film solar cell absorbers by chemical bath deposition

    OpenAIRE

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; S ez Araoz, R.; Allsop, N.; Lauermann, I.; Schock, H. W.; Lux Steiner, M.C

    2006-01-01

    The application of Zn compounds as buffer layers was recently extended to wide gap CuInS2 CIS based thin film solar cells. Using a new chemical deposition route for the buffer preparation aiming at the deposition of a single layer, nominal ZnS buffer without the need for any toxic reactants such as, e.g. hydrazine, has helped to achieve a similar efficiency as respective CdS buffered reference devices. In order to shed light on the differences of other Zn compound buffers deposited in con...

  16. Microwave-assisted synthesis and photovoltaic measurements of CuInS2 nanoparticles prepared by using metal–organic precursors

    International Nuclear Information System (INIS)

    Hosseinpour-Mashkani, S. Mostafa; Mohandes, Fatemeh; Salavati-Niasari, Masoud; Venkateswara-Rao, K.

    2012-01-01

    Highlights: ► CuInS 2 nanoparticles were prepared using complexes via a microwave-assisted method. ► The effect of preparation parameters on the morphology of CuInS 2 was investigated. ► The as-deposited CdS/CuInS 2 films were used for the photovoltaic measurements. -- Abstract: In this work, CuInS 2 (CIS) nanoparticles have been synthesized with the aid of (1,8-diamino-3,6-dioxaoctan)copper(II) sulfate ([Cu(DADO)]SO 4 ) and bis(propylenediamine)copper(II) sulfate ([Cu(pn) 2 ]SO 4 ) complexes as copper precursor in the presence of microwave irradiation. Besides, L-cystine, InCl 3 , and sodium dodecyl sulfate (SDS) were applied as sulfur source, indium precursor, and capping agent, respectively. To investigate the effect of preparation parameters like microwave power and irradiation time on the morphology and particle size of CuInS 2 , the experiment was carried out at different conditions. The as-synthesized CuInS 2 nanoparticles were characterized by XRD, FT-IR, PL, SEM, TEM, and EDS. The XRD results showed that pure tetragonal CuInS 2 could be only obtained after annealing at 400 °C for 2 h. The SEM images indicated that with decreasing the microwave power and irradiation time, particle size of CuInS 2 nanoparticles decreased. To fabricate a solar cell, CdS film was directly deposited on top of the CIS film prepared by Doctor's blade method through chemical bath deposition. The as-deposited CdS/CuInS 2 films were used for the photovoltaic measurements.

  17. Comparison of Cu(In, Ga)Se{sub 2} thin films deposited on different preferred oriented Mo back contact by RF sputtering from a quaternary target

    Energy Technology Data Exchange (ETDEWEB)

    Tian, Jing [Sichuan University, College of Materials Science and Engineering, Chengdu (China); Solar Energy Research Institute, Yunnan Normal University, Education Ministry Key Laboratory of Renewable Energy Advanced Materials and Manufacturing Technology, Kunming (China); Peng, Lianqin; Chen, Jinwei; Wang, Gang; Wang, Xueqin; Kang, Hong; Wang, Ruilin [Sichuan University, College of Materials Science and Engineering, Chengdu (China)

    2014-09-15

    The Cu(In, Ga)Se{sub 2} (CIGS) thin films were deposited on bare glass and DC sputtered preferential oriented Mo-coated glass by RF sputtering from a single quaternary target. The structural and morphological properties of the films were characterized by X-ray diffraction (XRD), Raman spectroscope, energy dispersive X-ray spectrometer (EDS) and atomic force microscope (AFM). Preferred orientation of the Mo back contact was tuned between (110) and (211) plane by controlling the thickness. All the deposited CIGS thin films show (112) preferred oriented chalcopyrite structures. The films prepared on Mo-coated glass show higher quality crystallinity, better stoichiometry composition and more smooth surface morphology. Especially, the film on (211) oriented Mo-coated glass with the best integrated performance is expected to be a candidate absorber for high-efficiency CIGS solar cell device. (orig.)

  18. Post-growth annealing treatment effects on properties of Na-doped CuInS2 thin films

    International Nuclear Information System (INIS)

    Zribi, M.; Kanzari, M.; Rezig, B.

    2008-01-01

    Structural and optical properties of Na-doped CuInS 2 thin films grown by double source thermal evaporation method were studied. The films were annealed from 250 to 500 deg. C in a vacuum after evaporation. X-ray diffraction pattern indicated that there are traces of Cu and In 6 S 7 , which disappeared on annealing above 350 deg. C. Good quality CuInS 2 :Na 0.3% films were obtained on annealing at 500 deg. C. Furthermore, we found that the absorption coefficient of Na-doped CuInS 2 thin films reached 1.5 x 10 5 cm -1 . The change in band gap of the doped samples annealed in the temperatures from 250 to 500 deg. C was in the range 0.038-0.105 eV

  19. Epitaxial growth of chalcopyrite CuInS2 films on GaAs (001) substrates by evaporation method with elemental sources

    International Nuclear Information System (INIS)

    Nozomu, Tsuboi; Satoshi, Kobayash; Nozomu, Tsuboi; Takashi, Tamogami

    2010-01-01

    Full text : Ternary chalcopyrite semiconductor CuInS 2 is one of the potential candidates for absorber layers in high-efficiency thin film solar cells due to its direct bandgap Eg of 1.5 eV, which matches with solar spectrum. However, CuInS 2 solar cells face the problem of lower solar conversion efficiency compared with Cu(InGa)Se 2 solar cells. Investigation of fundamental properties of CuInS 2 films is necessary to understand key issues for solar cell performance. Although in bulk CuInS 2 is known to crystallize into chalcopyrite (CH) structure, in thin film other structures such as Cu-Au (CA) and sphalerite (SP) structures may coexist. It was reported epitaxial growth of slightly Cu-rich CuInS 2 films with c-axis orientated CA only and/or with a mixture of a- and c-axes orientated CH structures on GaP (001) at substrate temperature of 500 degrees using the conventional evaporation method with three elemental sources. Successful growth of epitaxial CH structured CuInS 2 were observed for films grown on GaP at 570 degrees with slightly Cu-rich composition. In this paper, CuInS 2 films with various [Cu]/[In] ratios are grown on GaAs(001) substrates, and the composition range in terms of the [Cu]/[In] ratio where epitaxial films with CH structure grow and the structural qualities of the films are discussed in comparison with those on GaP substrates. Films with various ratios of [Cu]/[In]=0.8 ≤1.9 are grown at 500 degrees and 570 degrees using the evaporation system described in our previous reports. Regardless of the substrate temperature, noticeable X-ray diffraction (XRD) peaks of CH structured CuInS 2 phase are observed in slightly Cu-rich films. However, reflection high energy electron diffraction (RHEED) patterns of the slightly Cu-rich films grown at 570 degrees exhibit noticeable spots not only due to the CH structure but also due to the CA structure. The amount of the CA structure is considered to be small because of the absence of the XRD peaks of the CA

  20. Challenges in TEM sample preparation of solvothermally grown CuInS2 films.

    Science.gov (United States)

    Frank, Anna; Changizi, Rasa; Scheu, Christina

    2018-06-01

    Transmission electron microscopy (TEM) is a widely used tool to characterize materials. The required samples need to be electron transparent which should be achieved without changing the microstructure. This work describes different TEM sample preparation techniques of nanostructured CuInS 2 thin films on fluorine-doped tin oxide substrates, synthesized solvothermally using l-cysteine as sulfur source. Focused ion beam lamellae, conventional cross section samples and scratch samples have been prepared and investigated. It was possible to prepare appropriate samples with each technique, however, each technique brings with it certain advantages and disadvantages. FIB preparation of solvothermally synthesized CuInS 2 suffers from two main drawbacks. First, the whole CuInS 2 layer displays a strongly increased Cu content caused by Cu migration and preferential removal of In. Further, electron diffraction shows the formation of an additional CuS phase after Ga + bombardment. Second, diffraction analysis is complicated by a strong contribution of crystalline Pt introduced during the FIB preparation and penetrating into the porous film surface. The conventional cross sectional CuInS 2 sample also shows a Cu signal enhancement which is caused by contribution of the brass tube material used for embedding. Additionally, Cu particles have been observed inside the CuInS 2 which have been sputtered on the film during preparation. Only the scratch samples allow an almost artefact-free and reliable elemental quantification using energy-dispersive X-ray spectroscopy. However, scratch samples suffer from the drawback that it is not possible to determine the layer thickness, which is possible for both cross sectional preparation techniques. Consequently, it is concluded that the type of sample preparation should be chosen dependent on the required information. A full characterization can only be achieved when the different techniques are combined. Copyright © 2018 Elsevier Ltd. All

  1. Enhanced photoelectrocatalytic degradation of 2,4-dichlorophenoxyacetic acid by CuInS2 nanoparticles deposition onto TiO2 nanotube arrays

    International Nuclear Information System (INIS)

    Liu Ronghua; Liu Yutang; Liu Chengbin; Luo Shenglian; Teng Yarong; Yang Lixia; Yang Renbin; Cai Qingyun

    2011-01-01

    Research highlights: → The photocatalytic application of CuInS 2 with a direct band gap of about 1.5 eV and a high absorption coefficient remains unknown. → We describe an impulse electrodeposition approach to deposit CuInS 2 nanoparticles in uniform size of about 20 nm onto the top surface of the highly oriented TiO 2 NT arrays while minimizing the clogging of the tube entrances. → The novel photocatalyst exhibits a highly visible-light photocatalytic degradation activity for the target organic pollutant. → Moreover, the stability of the modified TiO 2 NT is good. → Therefore, CuInS 2 nanoparticles modified TiO 2 NT photocatalysts have potential utility in practical purification of organic wastewater. - Abstract: Surface modification of TiO 2 nanotube (NT) arrays with CuInS 2 nanoparticles (NPs) for photocatalytic degradation of 2,4-dichlorophenoxyacetic acid (2,4-D) was reported. A pulse electrodeposition technique was used to prepare the CuInS 2 NPs, and the resulted CuInS 2 NPs, with a uniform size of about 20 nm, were found to deposit on the top surface of the highly oriented TiO 2 NT while without clogging the tube entrances. Compared with the unmodified TiO 2 NT, the CuInS 2 NPs modified TiO 2 NT (CuInS 2 -TiO 2 NT) showed significantly enhanced photocatalytic activity towards 2,4-D under visible light. After 160 min irradiation, the removal rate of 2,4-D is 100% by using CuInS 2 -TiO 2 NT, much higher than 65.2% by using the unmodified TiO 2 NT in photoelectrocatalytic process. The increased photodegradation efficiency mainly results from the improved photocurrent density as results of enhanced visible-light absorption and decreased hole-electron recombination due to the presence of narrow-band-gap p-type semiconductor CuInS 2 .

  2. Growth and properties of CuInS2 thin films

    International Nuclear Information System (INIS)

    Agarwal, M.K.; Patel, P.D.; Chaki, Sunil H.; Lakshminarayana, D.

    1998-01-01

    Single phase copper indium disulphide (CuInS 2 ) thin films of thickness between 60 nm and 650 nm with the chalcopyrite structure are obtained on NaCl and glass substrates by flash evaporation. The films were found to be n-type semiconducting. The influence of the substrate temperature on the crystallinity, conductivity, activation energy and optical band gap was studied. An improvement in the film properties could be achieved up to a temperature of 523 K at a molybdenum source temperature of 1873 K. (author)

  3. Synthesis, characterization and decomposition studies of tris(N,N-dibenzyldithiocarbamato)indium(III): chemical spray deposition of polycrystalline CuInS2 on copper films

    International Nuclear Information System (INIS)

    Hehemann, David G.; Lau, J. Eva; Harris, Jerry D.; Hoops, Michael D.; Duffy, Norman V.; Fanwick, Philip E.; Khan, Osman; Jin, Michael H.-C.; Hepp, Aloysius F.

    2005-01-01

    Tris(bis(phenylmethyl)carbamodithioato-S,S'), commonly referred to as tris(N,N-dibenzyldithiocarbamato)indium(III), In(S 2 CNBz 2 ) 3 , was synthesized and characterized by single crystal X-ray crystallography. The compound crystallizes in the triclinic space group P1-bar with two molecules per unit cell. The material was further characterized using a novel analytical system employing the combined powers of thermogravimetric analysis, gas chromatography/mass spectrometry, and Fourier transform infrared (FT-IR) spectroscopy to investigate its potential use as a precursor for the chemical vapor deposition (CVD) of thin film materials for photovoltaic applications. Upon heating, the material thermally decomposes to release CS 2 and benzyl moieties in to the gas phase, resulting in bulk In 2 S 3 . Preliminary spray CVD experiments indicate that In(S 2 CNBz 2 ) 3 decomposed on a Cu substrate reacts to produce stoichiometric CuInS 2 films

  4. Synthesis, Characterization and Decomposition Studies of Tris(N,N-dibenzyldithiocarbamato) Indium(III): Chemical Spray Deposition of Polycrystalline CuInS2 on Copper Films

    Science.gov (United States)

    Hehemann, David G.; Lau, J. Eva; Harris, Jerry D.; Hoops, Michael D.; Duffy, Norman V.; Fanwick, Philip E.; Khan, Osman; Jin, Michael H.-C.; Hepp, Aloysius F.

    2005-01-01

    Tris(bis(phenylmethyl)carbamodithioato-S,S ), commonly referred to as tris(N,Ndibenzyldithiocarbamato) indium(III), In(S2CNBz2)3, was synthesized and characterized by single crystal X-ray crystallography. The compound crystallizes in the triclinic space group P1 bar with two molecules per unit cell. The material was further characterized using a novel analytical system employing the combined powers of thermogravimetric analysis, gas chromatography/mass spectrometry and Fourier-Transform infrared spectroscopy to investigate its potential use as a precursor for the chemical vapor deposition (CVD) of thin film materials for photovoltaic applications. Upon heating, the material thermally decomposes to release CS2 and benzyl moieties in to the gas phase, resulting in bulk In2S3. Preliminary spray CVD experiments indicate that In(S2CNBz2)3 decomposed on a Cu substrate reacts to produce stoichiometric CuInS2 films.

  5. Deep-level transient spectroscopy of TiO2/CuInS2 heterojunctions

    NARCIS (Netherlands)

    Nanu, M.; Boulch, F.; Schoonman, J.; Goossens, A.

    2005-01-01

    Deep-level transient spectroscopy (DLTS) has been used to measure the concentration and energy position of deep electronic states in CuInS2. Flat TiO2?CuInS2 heterojunctions as well as TiO2-CuInS2 nanocomposites have been investigated. Subband-gap electronic states in CuInS2 films are mostly due to

  6. Procesamiento químico de interfases semiconductoras tipo CuInS2 / Buffer para células solares de lámina delgada

    Directory of Open Access Journals (Sweden)

    Herrero, J.

    2004-04-01

    Full Text Available Some results are presented about the preparation of CuInS2 / buffer interfaces by chemical bath deposition. This type of interface has an interest for solar energy conversion by thin- film solar cells. It is shown that the deposition of buffer films with ZnSe composition onto CuInS2 is due to a combined electroless and chemical reaction process. Characterization of the CuInS2 / ZnSe structure is carried out with X-ray diffraction and microscope techniques (AFM, SEM. Solar cells of CuInS2 / ZnSe / ZnO type are characterized as a function of buffer layer deposition process.Se presentan resultados sobre la preparación mediante depósito químico de interfases CuInS2 / buffer. Estas interfases son de interés en la fabricación de células solares de lámina delgada. Se lleva a cabo el crecimiento de películas buffer de ZnSe en un baño químico, mediante a partir de reaccionesón electroless y química. La interfase CuInS2 / ZnSe resultante se caracteriza por medio de técnicas microscópicas (AFM, SEM. Se presentan resultados de células solares del tipo CuInS2 / ZnSe / ZnO, en función del tipo de depósito de la lámina buffer.

  7. An investigation on silar Cu(In1-xAlx)Se2 thin films

    International Nuclear Information System (INIS)

    Dhanam, M.; Kavitha, B.; Velumani, S.

    2010-01-01

    Cu(In 1-x Al x )Se 2 [CIAS] thin films were prepared for the first time by successive ionic layer adsorption and reaction [SILAR] method with two different dipping cycles. The thickness of the films was measured by gravimetric technique. The structural, morphological, compositional, optical transition and electrical investigation of SILAR CIAS thin films with respect to two different dipping cycles have been discussed in this paper.

  8. Some Characteristics of r.f. Sputtered CuInS2 Thin Films

    International Nuclear Information System (INIS)

    Samaan, A.N.Y.; Al-Saffar, I.S.; Wasim, S.M.; Hill, A.E.; Armour, D.G.; Tomlinson, R.D.

    1983-01-01

    Electrical data from sputtered and annealed p-type CuInS 2 thin films have been obtained over a range of temperatures. An analysis of hole mobility vs. temperature data indicates that the charge carriers are predominantly scattered by neutral and ionized impurities and by acoustic-mode vibrations

  9. Formation of a ZnS/Zn(S,O) bilayer buffer on CuInS2 thin film solar cell absorbers by chemical bath deposition

    Science.gov (United States)

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; Sáez-Araoz, R.; Allsop, N.; Lauermann, I.; Schock, H.-W.; Lux-Steiner, M. C.

    2006-06-01

    The application of Zn compounds as buffer layers was recently extended to wide-gap CuInS2 (CIS) based thin film solar cells. Using an alternative chemical deposition route for the buffer preparation aiming at the deposition of a single-layer, nominal ZnS buffer without the need for any toxic reactants such as hydrazine has helped us to achieve a similar efficiency as respective CdS-buffered reference devices. In order to shed light on the differences of other Zn-compound buffers deposited in conventional chemical baths [chemical bath deposition (CBD)] compared to the buffer layers deposited by this alternative CBD process, the composition of the deposited buffers was investigated by x-ray excited Auger electron and x-ray photoelectron spectroscopy to potentially clarify their superiority in terms of device performance. We have found that in the early stages of this alternative CBD process a thin ZnS layer is formed on the CIS, whereas in the second half of the CBD the growth rate is greatly increased and Zn(S,O) with a ZnS/(ZnS+ZnO) ratio of ~80% is deposited. Thus, a ZnS/Zn(S,O) bilayer buffer is deposited on the CIS thin film solar cell absorbers by the alternative chemical deposition route used in this investigation. No major changes of these findings after a postannealing of the buffer/CIS sample series and recharacterization could be identified.

  10. Intermixing at the heterointerface between ZnS Zn S,O bilayer buffer and CuInS2 thin film solar cell absorber

    OpenAIRE

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; S ez Araoz, R.; Lehmann, S.; Grimm, A.; Lauermann, I.; Loreck, Ch.; Sokoll, St.; Schock, H. W.; Fischer, Ch. H.; Lux Steiner, M.C.; Jung, Ch

    2006-01-01

    The application of Zn compounds as buffer layers was recently extended to wide gap CuInS2 CIS based thin film solar cells. Using a new chemical deposition route for the buffer preparation aiming at the deposition of a single layer, nominal ZnS buffer without the need for any toxic reactants such as, e.g. hydrazine has helped to achieve a similar efficiency as respective CdS buffered reference devices. After identifying the deposited Zn compound, as ZnS Zn S,O bi layer buffer in former in...

  11. Electronic structure of semiconductor thin films (chalcopyrites) as absorbermaterials for thin film solar cells

    International Nuclear Information System (INIS)

    Lehmann, Carsten

    2007-01-01

    The objective of this work was to determine for the first time the band structure of CuInS 2 . For this purpose a new GSMBE process with TBDS as sulphur precursor was established to prevent the use of elemental sulphur in an UHV system. Additionally to the deposited films a cleave surface was prepared. The samples were characterized in situ by XPS/UPS and LEED. XRD and SEM were used for further ex situ investigations. The band structure was determined by ARUPS using synchrotron light. CuInS(001) and CuInS 2 (112) were deposited on Si and GaAs. The deposition of CuInS 2 on GaAs showed a strong dependence on the existing surface reconstruction. A 2 x 1 reconstruction of GaAs(001) yielded CuInS 2 (001) films featuring terraces. A deposition on 2 x 2 reconstructed GaAs(111)A surfaces led to a facetted CuInS 2 surface. On sulphur-passivated non-reconstructed GaAs(111)B a deposition of chalcopyrite ordered CuInS 2 free of facets was possible. On the surface of Cu-rich CuInS 2 films CuS crystallites formed. This yields ARUPS spectra showing the electronic stucture of CuInS 2 superimposed by non-dispergative states of the polycrystalline CuS segregations. The effective hole masses were derived from the k vertical stroke vertical stroke measurements. Finally the results of this work showed that the use of a (111) substrate leads to domain formation of the deposited CuInS 2 (112) films. Thus ARUPS spectra of such films show a superposition of the band structures along different directions. (orig.)

  12. Effects of the substrate temperature on the properties of CuIn5S8 thin films

    International Nuclear Information System (INIS)

    Gannouni, M.; Kanzari, M.

    2011-01-01

    Structural, optical and electrical properties of CuIn 5 S 8 thin films grown by thermal evaporation have been studied relating the effects of substrate heating conditions of these properties. The CuIn 5 S 8 thin films were carried out at substrate temperatures in the temperature range 100-300 deg. C. The effects of heated substrate on their physico-chemical properties were investigated using X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDX), optical transmission and hot probe method. X-ray diffraction revealed that the films are strong preferred orientation along the (3 1 1) plane upon substrate temperature 200 deg. C and amorphous for the substrate temperatures below 200 deg. C. No secondary phases are observed for all the films. The composition is greatly affected by heated substrate. From the optical transmission and reflection, an important absorption coefficient exceeds 10 5 cm -1 at 800 nm was found. As increasing the substrate temperature, the optical energy band gap decreases from 1.70 eV for the unheated films to 1.25 eV for the deposited films at 300 deg. C. It was found that CuIn 5 S 8 thin film is an n-type semiconductor at 250 deg. C.

  13. Electrodeposited CuInS2 Using Dodecylbenzene Sulphonic Acid As a Suspending Agent for Thin Film Solar Cell

    Directory of Open Access Journals (Sweden)

    Shaker Ebrahim

    2012-01-01

    Full Text Available CulnS2 thin films were electrochemically deposited onto fluoride-doped tin oxide (FTO substrate in presence of dodecylbenzene sulphonic acid to adjust pH of the solution and as a suspending agent for the sulfur. Cyclic voltammetry and chronoamperometry were carried out to determine the optimum pH. The composition, crystallinity, and optical properties of the compounds synthesized were studied by energy dispersive X-ray (EDX, X-ray diffraction, and UV-Visible spectra. It was found that the increasing of pH shifts the electrodeposited voltage toward more negative and lowers the deposition current. It was concluded that CuInS2 with atomic stoichiometric ratio was prepared at pH equal to 1.5 and 150 ml of 0.1 M sodium thiosulphate, 5 ml of 0.1 M indium chloride, and 5 ml of 0.1 M cupper chloride. The energy gaps were calculated to be 1.95 and 2.2 eV for CuInS2 prepared at 1.5 and 2.5 of pH, respectively. It was found that sc, oc, and are 1.02×10−4 A/cm2, 0.52 V, and 1.3×10−2%, respectively, for FTO/CuInS2/ZnO/FTO heterojunction solar cell.

  14. Preparation of CulnS2 Thin Films on the Glass Substrate by DC Sputtering for Solar Cell Component

    International Nuclear Information System (INIS)

    Bambang Siswanto; Wirjoadi; Darsono

    2007-01-01

    The CuInS 2 alloys were deposited on glass substrate using plasma DC sputtering technique. A CuInS 2 alloy target was made from Cu, In, Se powder with impurity of 99.998%. The deposition process was done with the following process parameter variations: deposition time and substrate temperature were the range of 15 to 45 min and 150 to 300 ℃, the gas pressure was kept at 1.4x10 -1 Torr. The purpose of the research is to obtain the solar cell component of CuInS 2 thin films. The electrical and optical properties measurement has been done by four-point probe and UV-Vis. Crystal structure was analyzed using X-ray diffraction (XRD). The result shows that minimum resistance of CuInS 2 thin films is 35.7 kΩ and optical transmittance is 14.7 %. The crystal structure of CuInS 2 is oriented at (112) plane and by Touc-plot method was obtained that the band gap energy of thin films is 1.45 eV. It could be concluded that the CuInS 2 thin film can be used as a solar cell component. (author)

  15. Electrodeposition of Cu-In alloys for preparing CuInS sub 2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Herrero, J; Ortega, J [Inst. de Energias Renovables (CIEMAT), Madrid (Spain)

    1990-01-01

    Copper-indium alloys were prepared by electroplating from citric acid (C{sub 6}H{sub 8}O{sub 7}.H{sub 2}O) baths onto Ti substrate. Formation of the alloys was carried out by direct codeposition of the elements and by sequential electrodeposition of copper and indium. Studies of the alloy formation by electrochemical measurements and X-ray diffraction were performed. The presence of Cu{sub 7}In{sub 4} in direct deposit as well as in sequentially electrodeposited material was observed during the alloy formation. The as-deposited layers were heated in H{sub 2}S. X-ray diffraction showed the annealed layers to be CuInS{sub 2} with the chalcopyrite structure, where the CuIn{sub 5}S{sub 8} phase was included during the annealing process. Photoelectrochemical characterization of the samples allowed us to determine the photoconductivity which is related with the Cu/In ratio in the samples. The energy gap for CuInS{sub 2} photoelectrodes in polysulphide solution was 1.57 Ev. (orig.).

  16. Electrochemical deposition of thin nano-structured layers of CuInS2 for photovoltaic cells

    International Nuclear Information System (INIS)

    Cayzac, R.; Boulc'h, F.; Knauth, P.

    2006-01-01

    In this work, it has been shown that the electrochemical deposition seems to be a promising synthesis technique because the thickness of the layers and their morphology are well adapted to the photovoltaic application. The example of CuInS 2 has been taken. (O.M.)

  17. Effects of water vapor introduction during Cu(In1-xGax)Se2 deposition on thin film properties and solar cell performance

    International Nuclear Information System (INIS)

    Ishizuka, S.; Sakurai, K.; Yamada, A.; Matsubara, K.; Shibata, H.; Kojima, T.; Niki, S.; Yonemura, M.; Nakamura, S.; Nakanishi, H.

    2006-01-01

    The effects of water vapor introduction during the growth of Cu(In 1-x Ga x )Se 2 , specifically CuInSe 2 (CISe), Cu(In,Ga)Se 2 (CIGSe), and CuGaSe 2 (CGSe) thin films were studied. We have developed thus far a novel technique to improve CIGSe (x∝0.5) cell performance by means of water vapor introduction during CIGSe deposition. In this study, we have examined the effectiveness of water vapor introduction for other x-compositions (CISe and CGSe). Variations in the electrical properties observed in CIGSe (x∝0.5), that is, increasing hole density and conductivity with water vapor introduction, were also observed in CISe and CGSe. Water vapor introduction affected solar cell performance as well; open circuit voltages, short circuit current densities, and efficiencies were improved. The improvements in cell performance are thought to be related to annihilation of donor defects arising from Se-vacancies by incorporation of oxygen from the water vapor. In addition to this, the sodium content in the CIGSe layers was found to depend on the partial pressure of water vapor during deposition. This result suggests that the improvement mechanism is also related with the so-called 'Na-effects'. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  18. Photovoltaic performance of bithiazole-bridged dyes-sensitized solar cells employing semiconducting quantum dot CuInS2 as barrier layer material.

    Science.gov (United States)

    Guo, Fuling; He, Jinxiang; Li, Jing; Wu, Wenjun; Hang, Yandi; Hua, Jianli

    2013-10-15

    In this work, the quantum dot CuInS2 layer was deposited on TiO2 film using successive ionic layer absorption and reaction (SILAR) method, and then two bithiazole-bridged dyes (BTF and BTB) were sensitized on the CuInS2/TiO2 films to form dye/CuInS2/TiO2 photoanodes for DSSCs. It was found that the quantum dots CuInS2 as an energy barrier layer not only could effectively improve open-circuit voltage (Voc) of solar cell, but also increase short-circuit photocurrent (Jsc) compared to the large decrease in Jsc of ZnO as energy barrier layer. The electrochemical impedance spectroscopy (EIS) measurement showed that the CuInS2 formed a barrier layer to suppress the recombination from injection electron to the electrolyte and improve open-circuit voltage. Finally, the open-circuit voltage increased about 22 and 27mV for BTF and BTB-/CuInS2/TiO2-based cells, the overall conversion efficiencies also reached to 7.20% and 6.74%, respectively. Copyright © 2013 Elsevier Inc. All rights reserved.

  19. Ternary Precursors for Depositing I-III-VI2 Thin Films for Solar Cells via Spray CVD

    Science.gov (United States)

    Banger, K. K.; Hollingsworth, J. A.; Jin, M. H.-C.; Harris, J. D.; Duraj, S. A.; Smith, M.; Scheiman, D.; Bohannan, E. W.; Switzer, J. A.; Buhro, W. E.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors (SSP's) can be used in either a hot or cold-wall spray chemical vapour deposition (CVD) reactor, for depositing CuInS2, CuGaS2, and CuGaInS2 at reduced temperatures (400 to 450 C), which display good electrical and optical properties suitable for photovoltaic (PV) devices. X-ray diffraction studies, energy dispersive spectroscopy (EDS), and scanning electron microscopy (SEM) confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  20. Bulk heterojunction formation between indium tin oxide nanorods and CuInS2 nanoparticles for inorganic thin film solar cell applications.

    Science.gov (United States)

    Cho, Jin Woo; Park, Se Jin; Kim, Jaehoon; Kim, Woong; Park, Hoo Keun; Do, Young Rag; Min, Byoung Koun

    2012-02-01

    In this study, we developed a novel inorganic thin film solar cell configuration in which bulk heterojunction was formed between indium tin oxide (ITO) nanorods and CuInS(2) (CIS). Specifically, ITO nanorods were first synthesized by the radio frequency magnetron sputtering deposition method followed by deposition of a dense TiO(2) layer and CdS buffer layer using atomic layer deposition and chemical bath deposition method, respectively. The spatial region between the nanorods was then filled with CIS nanoparticle ink, which was presynthesized using the colloidal synthetic method. We observed that complete gap filling was achieved to form bulk heterojunction between the inorganic phases. As a proof-of-concept, solar cell devices were fabricated by depositing an Au electrode on top of the CIS layer, which exhibited the best photovoltaic response with a V(oc), J(sc), FF, and efficiency of 0.287 V, 9.63 mA/cm(2), 0.364, and 1.01%, respectively.

  1. Photoluminescence of epitactical and polycrystalline CuInS2 layers for thin-film solar cells

    International Nuclear Information System (INIS)

    Eberhardt, J.

    2007-01-01

    The present thesis deals with one- and polycrystalline CuInS 2 absorber layers for thin-film solar cells and especially with their optical and structural characterization. By means of detailed temperature- and power-dependent photoluminescence measurements in epitactical and polycrystalline absorber layers different radiative transitions could be analyzed and identified. The spectra were dominated by broad luminescence bands of deep perturbing levels. The implantation of hydrogen at low energies led to a passivation of these perturbing levels. On the base of the optical studies on epitactical and polycrystalline absorber layers a new improved defect model for CuInS 2 could be developed. The model contains two donor and two acceptor levels with following ionization energies: D-1=46 meV, D-2=87 meV, A-1=70 meV, and A-2=119 meV

  2. Elaboration et caracterisation de couches minces de CuInS2 deposees par la pyrolyse par pulverisation ultrasonique a base de transducteur

    Science.gov (United States)

    Petuenju, Eric Nguwuo

    The present thesis study is part of the work of The Laboratory of New Materials for Energy and Electrochemistry systems (LaNoMat) that search new techniques to elaborate new materials for photovoltaic solar applications. This aims contribute to the development of the exploitation of solar energy into electrical energy by the maximum of the population throughout the world. This work deals with the determination of CuInS2 thin film deposition parameters by ultrasonic spray pyrolysis method for applications in the technology of three dimensional (3D) solar cells. The structure of the band gap of CuInS2 (a semiconductor material with a direct bandgap of 1.55 eV) makes it an excellent candidate for the role of the absorber in thin film technology for solar photovoltaic applications. 3D solar photovoltaic technology requires the production of a p-n junction with n and p-type semiconductors to make networks. The production and growth of such networks depends on the creation of thin films which have the characteristics of an ultrathin nanocomposite or extremely thin absorber (typically a few tens of nanometers) or which act as a quantum dot. To allow the emergence of 3D photovoltaic technology, it is important to develop methods for the growth of thin layers of materials such as CuInS 2, which are potentially interesting for this purpose. But the development of methods for thin film deposition, for the reasons of competition and accessibility, must be considered as an important factor in the context of the development of three-dimensional photovoltaic solar cells at low cost (production costs: of the order of 0,5 a 0,3$US/Watt-peak) (Beard et al., 2014). To do this it is necessary to use materials manufacturing technology readily available and inexpensive, and allowing to have materials on large surface, such as pyrolysis which allows to reduce costs by a factor of 100 compared to the crystallogenesis. Pyrolysis is defined as a process for decomposing one or more compounds

  3. Depth Profile of Impurity Phase in Wide-Bandgap Cu(In1-x ,Ga x )Se2 Film Fabricated by Three-Stage Process

    Science.gov (United States)

    Wang, Shenghao; Nazuka, Takehiro; Hagiya, Hideki; Takabayashi, Yutaro; Ishizuka, Shogo; Shibata, Hajime; Niki, Shigeru; Islam, Muhammad M.; Akimoto, Katsuhiro; Sakurai, Takeaki

    2018-02-01

    For copper indium gallium selenide [Cu(In1-x ,Ga x )Se2, CIGS]-based solar cells, defect states or impurity phase always form due to both the multinary compositions of CIGS film and the difficulty of controlling the growth process, especially for high Ga concentration. To further improve device performance, it is important to understand such formation of impurity phase or defect states during fabrication. In the work presented herein, the formation mechanism of impurity phase Cu2-δ Se and its depth profile in CIGS film with high Ga content, in particular CuGaSe2 (i.e., CGS), were investigated by applying different growth conditions (i.e., normal three-stage process and two-cycle three-stage process). The results suggest that impurity phase Cu2-δ Se is distributed nonuniformly in the film because of lack of Ga diffusion. The formed Cu2-δ Se can be removed by etching the as-deposited CGS film with bromine-methanol solution, resulting in improved device performance.

  4. Photoluminescence of polycrystalline CuIn 0.5 Ga 0.5 Te 2 thin films grown by flash evaporation

    KAUST Repository

    Yandjah, L.

    2018-04-03

    Polycrystalline CuIn0.5Ga0.5Te2 films were deposited by flash evaporation from ingot prepared by reacting, in stoichiometric proportions, high purity Cu, In, Ga and Te elements in vacuum sealed quartz . The as-obtained films were characterized by X – ray diffraction (XRD), transmission electron microscopy (TEM) combined with energy dispersive spectroscopy (EDS). XRD and TEM results showed that the layer has a chalcopyrite-type structure, predominantly oriented along (112) planes, with lattice parameters a = 0.61 nm and c = 1.22 nm. The optical properties in the near - infrared and visible range 600 - 2400 nm have been studied. The analysis of absorption coefficient yielded an energy gap value of 1.27 eV. Photoluminescence analysis of as-grown sample shows two main emission peaks located at 0.87 and 1.19 eV at 4 K.

  5. Determination and analysis of dispersive optical constants of CuIn3S5 thin films

    International Nuclear Information System (INIS)

    Khemiri, N.; Sinaoui, A.; Kanzari, M.

    2011-01-01

    CuIn 3 S 5 thin films were prepared from powder by thermal evaporation under vacuum (10 -6 mbar) onto glass substrates. The glass substrates were heated from 30 to 200 o C. The films were characterized for their optical properties using optical measurement techniques (transmittance and reflectance). We have determined the energy and nature of the optical transitions of films. The optical constants of the deposited films were determined in the spectral range 300-1800 nm from the analysis of transmission and reflection data. The Swanepoel envelope method was employed on the interference fringes of transmittance patterns for the determination of variation of refractive index with wavelength. Wemple-Di Domenico single oscillator model was applied to determine the optical constants such as oscillator energy E 0 and dispersion energy E d of the films deposited at different substrate temperatures. The electric free carrier susceptibility and the ratio of the carrier concentration to the effective mass were estimated according to the model of Spitzer and Fan.

  6. Role of oxygen in enhancing N-type conductivity of CuInS2 thin films

    International Nuclear Information System (INIS)

    Rabeh, M. Ben; Kanzari, M.; Rezig, B.

    2007-01-01

    Post-growth treatments in air atmosphere were performed on CuInS 2 films prepared by the single-source thermal evaporation method. Their effect on the structural, optical and electrical properties of the films was studied by means of X-ray diffraction (XRD), scanning electron microscopy (SEM), optical reflection and transmission and resistance measurements. The films were annealed from 100 to 350 deg. C in air. The stability of the observed N-type conductivity after annealing depends strongly on the annealing temperature. Indeed it is shown that for annealing temperatures above 200 deg. C the N-type conductivity is stable. The resistance of the N-CuInS 2 thin films correlates well with the corresponding annealing temperature. The samples after annealing have direct bandgap energies of 1.45-1.50 eV

  7. Control of gallium incorporation in sol–gel derived CuIn(1−x)GaxS2 thin films for photovoltaic applications

    International Nuclear Information System (INIS)

    Bourlier, Yoan; Cristini Robbe, Odile; Lethien, Christophe

    2015-01-01

    Highlights: • CuIn (1−x) Ga x S 2 thin films were prepared by sol–gel process. • Evolution of lattice parameters is characteristic of a solid solution. • Optical band gap was found to be linearly dependent on the gallium rate. - Abstract: In this paper, we report the elaboration of Cu(In,Ga)S 2 chalcopyrite thin films via a sol–gel process. To reach this aim, solutions containing copper, indium and gallium complexes were prepared. These solutions were thereafter spin-coated onto the soda lime glass substrates and calcined, leading to metallic oxides thin films. Expected chalcopyrite films were finally obtained by sulfurization of oxides layers using a sulfur atmosphere at 500 °C. The rate of gallium incorporation was studied both at the solutions synthesis step and at the thin films sulfurization process. Elemental and X-ray diffraction (XRD) analyses have shown the efficiency of monoethanolamine used as a complexing agent for the preparation of CuIn (1−x) Ga x S 2 thin layers. Moreover, the replacement of diethanolamine by monoethanolamine has permitted the substitution of indium by isovalent gallium from x = 0 to x = 0.4 and prevented the precipitation of copper derivatives. XRD analyses of sulfurized thin films CuIn (1−x) Ga x S 2, clearly indicated that the increasing rate of gallium induced a shift of XRD peaks, revealing an evolution of the lattice parameter in the chalcopyrite structure. These results were confirmed by Raman analyses. Moreover, the optical band gap was also found to be linearly dependent upon the gallium rate incorporated within the thin films: it varies from 1.47 eV for x = 0 to 1.63 eV for x = 0.4

  8. Preparation of CuIn(S,Se){sub 2} films by PLD of precursor layers and post-annealing and their application to solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Kawabe, Toshiyuki; Maeda, Tsuyoshi; Wada, Takahiro [Department of Materials Chemistry, Ryukoku University, Seta, Otsu (Japan)

    2017-06-15

    Cu-In-S precursor films were deposited at various substrate temperatures by pulsed laser deposition (PLD). CuIn(S,Se){sub 2} films were prepared by post-annealing the Cu-In-S precursor films in H{sub 2}S and Se atmosphere. CuIn(S,Se){sub 2} solar cells with a device structure of Au/ITO/i-ZnO/CdS/CuIn(S,Se){sub 2}/Mo/soda-lime (SLG) glass were fabricated and characterized. Higher conversion efficiency was obtained for the CuIn(S,Se){sub 2} solar cell with the precursor film deposited at room temperature. The phase and microstructure of the Cu-In-S precursor and the annealed CuIn(S,Se){sub 2} films were examined by X-ray diffraction (XRD) and scanning electron microscopy (SEM). We found that the quality of the CuIn(S,Se){sub 2} films was strongly affected by the deposition temperature of Cu-In-S precursor films. We discuss the grain growth and sintering in CuIn(S,Se){sub 2} films on the basis of the results of XRD and SEM. The highest conversion efficiency of 6.38% (V{sub oc}= 521 mV, J{sub sc}= 22.6 mA cm{sup -2}, FF = 0.541) was obtained for the CuIn(S,Se){sub 2} solar cell with the precursor film deposited at room temperature and post-annealed at 620 C. The solar cell was analyzed by secondary ion mass spectroscopy (SIMS) and transmission electron microscopy (TEM). (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. The effect of Se/Te ratio on transient absorption behavior and nonlinear absorption properties of CuIn0.7Ga0.3(Se1-xTex)2 (0 ≤ x ≤ 1) amorphous semiconductor thin films

    Science.gov (United States)

    Karatay, Ahmet; Küçüköz, Betül; Çankaya, Güven; Ates, Aytunc; Elmali, Ayhan

    2017-11-01

    The characterization of the CuInSe2 (CIS), CuInGaSe (CIGS) and CuGaSe2 (CGS) based semiconductor thin films are very important role for solar cell and various nonlinear optical applications. In this paper, the amorphous CuIn0.7Ga0.3(Se1-xTex)2 semiconductor thin films (0 ≤ x ≤ 1) were prepared with 60 nm thicknesses by using vacuum evaporation technique. The nonlinear absorption properties and ultrafast transient characteristics were investigated by using open aperture Z-scan and ultrafast pump-probe techniques. The energy bandgap values were calculated by using linear absorption spectra. The bandgap values are found to be varying from 0.67 eV to 1.25 eV for CuIn0.7Ga0.3Te2, CuIn0.7Ga0.3Se1.6Te0.4, CuIn0.7Ga0.3Se0.4Te1.6 and CuIn0.7Ga0.3Se2 thin films. The energy bandgap values decrease with increasing telluride (Te) doping ratio in mixed CuIn0.7Ga0.3(Se1-xTex)2 films. This affects nonlinear characteristics and ultrafast dynamics of amorphous thin films. Ultrafast pump-probe experiments indicated that decreasing of bandgap values with increasing the Te amount switches from the excited state absorption signals to ultrafast bleaching signals. Open aperture Z-scan experiments show that nonlinear absorption properties enhance with decreasing bandgaps values for 65 ps pulse duration at 1064 nm. Highest nonlinear absorption coefficient was found for CuIn0.7Ga0.3Te2 thin film due to having the smallest energy bandgap.

  10. Substrate temperature optimization for Cu(In, Ga)Se{sub 2} solar cells on flexible stainless steels

    Energy Technology Data Exchange (ETDEWEB)

    Liang, X.; Zhu, H.; Chen, J., E-mail: chenjingwei@126.com; Zhou, D.; Zhang, C.; Guo, Y.; Niu, X.; Li, Z.; Mai, Y., E-mail: yaohuamai@hbu.edu.cn

    2016-04-15

    Graphical abstract: - Highlights: • CIGS thin films are deposited on flexible SS substrates at different substrate temperatures. • CIGS thin films deposited at different T{sub S2} show different Ga/(Ga + In) ratio profiles. • All CIGS thin films show (112) and (220/204) preferred orientations with a shift to higher angles. • Conversion efficiency of 11.3% is obtained for CIGS solar cells deposited at 500 °C. - Abstract: Cu(In, Ga)Se{sub 2} (CIGS) thin films are deposited on flexible stainless steel (SS) substrates using the so called 3-stage co-evaporation process at different substrate temperatures ranging from 440 °C to 640 °C during the 2nd stage and the 3rd stage (T{sub S2}). The effects of T{sub S2} on the properties of CIGS thin films are systematically investigated. It is found by secondary ion mass spectrometry measurement that CIGS thin films deposited at different T{sub S2} show different Ga/(Ga + In) ratio (GGI) profiles along the growth direction. High T{sub S2} facilitates the grain growth and leads to larger grain size. However, high T{sub S2} worsens the spectral response of CIGS solar cells in the long wavelength range, which is partly attributed to the too much iron atom diffusion from the SS substrates into the CIGS thin films. All CIGS thin films show (112) preferred orientations with a shift to higher angle due to variation of compositions. A shoulder-like two-peak structure of (112) and (220/204) peaks appears for CIGS thin films deposited at lower T{sub S2}. Conversion efficiency of 11.3% is obtained for CIGS thin film solar cells deposited at the T{sub S2} of 500 °C.

  11. Characterization of CuIn1-xAlxS2 thin films prepared by thermal evaporation

    International Nuclear Information System (INIS)

    Smaili, F.; Kanzari, M.; Rezig, B.

    2008-01-01

    Ingots containing single crystals of the quaternary alloys CuIn 1-x Al x S 2 (CIAS) were grown by a horizontal Bridgman method for compositions with x = 0, 0.2 and x = 0.4. (CIAS) thin films were prepared by thermal evaporation technique on to glass substrates. Structural and optical properties of the films were studied in function of the Al content. Band gap, and absorption coefficients were determined from the analysis of the optical spectra (transmittance and reflectance as a function of wavelength) recorded by a spectrophotometer. The samples have direct bandgap energies of 1.95 eV (x = 0), 2.06 eV (x = 0,2) and 2.1 eV (x = 0,4). These optical results were correlated with the structural analysis by X-Ray diffraction

  12. In-situ studies of the recrystallization process of CuInS2 thin films by energy dispersive X-ray diffraction

    International Nuclear Information System (INIS)

    Thomas, D.; Mainz, R.; Rodriguez-Alvarez, H.; Marsen, B.; Abou-Ras, D.; Klaus, M.; Genzel, Ch.; Schock, H.-W.

    2011-01-01

    Recrystallization processes during the sulfurization of CuInS 2 (CIS) thin films have been studied in-situ using energy dispersive X-ray diffraction (EDXRD) with synchrotron radiation. In order to observe the recrystallization isolated from other reactions occurring during film growth, Cu-poor, small grained CIS layers covered with CuS on top were heated in a vacuum chamber equipped with windows for synchrotron radiation in order to analyze the grain growth mechanism within the CIS layer. In-situ monitoring of the grain size based on diffraction line profile analysis of the CIS-112 reflection was utilized to interrupt the recrystallization process at different points. Ex-situ studies by electron backscatter diffraction (EBSD) and energy dispersive X-ray spectroscopy (EDX) performed on samples of intermediate recrystallization states reveal that during the heat treatment Cu and In interdiffuse inside the layer indicating the importance of the mobility of these two elements during CuInS 2 grain growth.

  13. Uniform deposition of ternary chalcogenide nanoparticles onto mesoporous TiO{sub 2} film using liquid carbon dioxide-based coating

    Energy Technology Data Exchange (ETDEWEB)

    Nursanto, Eduardus Budi [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Park, Se Jin [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Jeon, Hyo Sang; Hwang, Yun Jeong [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Kim, Jaehoon, E-mail: jaehoonkim@skku.edu [School of Mechanical Engineering, Sungkyunkwan University, 2066, Seobu-Ro, Jangan-Gu, Suwon, GyeongGi-Do 440–746 (Korea, Republic of); SKKU Advanced Institute of Nano Technology (SAINT), 2066, Seobu-Ro, Jangan-Gu, Suwon, GyeongGi-Do 440–746 (Korea, Republic of); Min, Byoung Koun, E-mail: bkmin@kist.re.kr [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Green School, Korea University, 145,Anam-ro, Seongbuk-gu, Seoul 136–713 (Korea, Republic of)

    2014-08-28

    We report the simultaneous deposition of two different metal precursors dissolved in liquid carbon dioxide (l-CO{sub 2}), aiming to the synthesis of ternary chalcopyrite (e.g. CuInS{sub 2}) nanoparticles on a mesoporous TiO{sub 2} film. The l-CO{sub 2}-based deposition of Cu and In precursors and subsequent reaction with a dilute H{sub 2}S gas resulted in Cu{sub x}In{sub y}S{sub z} nanoparticles uniformly deposited across the entire thickness of a mesoporous TiO{sub 2} film. Further heat treatment (air annealing and sulfurization) led to the formation of more stoichiometric CuInS{sub 2} nanoparticles. The formation of CuInS{sub 2} on TiO{sub 2} was confirmed by scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction, and Raman spectroscopy. The crystal growth of CuInS{sub 2} was also found to be controllable by adjusting the number of coating cycles of the l-CO{sub 2}-based deposition. - Highlights: • Simultaneous deposition of two different metal precursors dissolved in l-CO{sub 2}. • Uniform deposition of CuInS{sub 2} nanoparticles across mesoporous TiO{sub 2} film. • Highly crystalline CuInS{sub 2} formed on mesoporous TiO{sub 2} film. • Nearly stoichiometric ratio of Cu:In:S was obtained.

  14. Chemical bath deposition of thin semiconductor films for use as buffer layers in CuInS2 thin film solar cells

    International Nuclear Information System (INIS)

    Kaufmann, C.A.

    2002-01-01

    A CulnS 2 thin film solar cell is a multilayered semiconductor device. The solar cells discussed have a layer sequence Mo/CulnS 2 /buffer/i-ZnO/ZnO:Ga, where a heterojunction establishes between the p-type absorber and the n-type front contact. Conventionally the buffer consists of CdS, deposited by chemical bath deposition (CBD). Apart from providing process oriented benefits the buffer layer functions as a tool for engineering the energy band line-up at the heterojunction interface. Motivated through environmental concern and EU legislation it is felt necessary to substitute this potentially toxic layer by an alternative, Cd-free component. This thesis investigates the suitability of various Zn- and In-compounds, in particular In(OH,O) x S y , as alternative buffer layer materials using CBD. Initial experiments were carried out depositing Zn-based compounds from aqueous solutions. Characterization of the layers, the solution and the processed solar cells was performed. This thesis focuses on the investigation of the CBD process chemistry for the deposition of In-compound thin films. A careful study of the morphology and composition of the deposited thin films was conducted using electron microscopy (SEM, HREM), elastic recoil detection analysis, X-ray photoelectron spectroscopy and optical transmission spectroscopy. This allowed conclusions concerning the nucleation and film growth mechanism from the chemical bath. Connections between bath chemistry, different growth phases, layer morphology and solar cell performance were sought and an improved deposition process was developed. As a result, Cd-free CulnS 2 thin film solar cells with efficiencies of up to 10.6%) (total area) could be produced. Overall the substitution of CdS is shown to be possible by different alternative compounds, such as Zn(OH,O) x S y or In(OH,O) x S y . In the case of In(OH,O) x S y , an understanding of the CBD process and the effect of different growth phases on the resulting solar cell

  15. Physical vapor deposition and analysis of copper indium aluminum diselenide thin films for high band gap solar cells

    Science.gov (United States)

    Haimbodi, Moses Warotua

    CuInSe2 films and related alloys have been used to fabricate the highest efficiency thin film solar cells. Alloying CuInSe2 with CuAlSe2 provides a way to engineer the band gap of the resulting films from 1 to 2.7 eV, thereby providing a pathway for improving device performance. In this work, thin films of CuIn1-xAlxSe 2 obtained by multi-source PVD were characterized and investigated for their potential use as high band gap solar cells. The band gap of the films was varied by controlling the [Al]/[Al + In] ratio. Deposition of these films with varying [Cu]/[Al + In] ratios and thickness (1--4 mum) was carried out at substrate temperatures from 350--530°C. CuIn1-xAlxSe2 based solar cells have been fabricated using the structure glass/Mo/CuIn1-xAl xSe2/CdS/ZnO/grid. The effect of varying the band gap on device performance will be discussed. The highest efficiency obtained in this work is 11% using a film with Eg ≈ 1.3 eV. For high Al content, x > 0.3, device-performance decreases mainly due to poor FF similar to that observed in CuIn1-xGaxSe2 devices and is attributed to poor minority carrier collection. For CuIn1-xAlxSe2 films with x = 1, data is analyzed and presented with respect to [Cu]/[Al] and Se to total metal flux ratio, RSe/RM. Phase analysis shows that the resulting films contain different phases that depend on these parameters. Several of these films also contain concentrations of oxygen varying from 12 to 60 at. % as the [Cu]/[Al] ratio decreases. For RSe/R M > 10, a new structure we label as CuxAlySe z was observed. The oxygen content in all of the films obtained under RSe/RM > 10 vary between 1--3 at. %. Based on the Cu-Se, Al-Se, Cu-Al binary and the Cu2Se-Al2Se 3 pseudo-binary phase diagrams, a phenomenological film growth model is presented showing that the film growth kinetics are controlled by the delivery of Se.

  16. Spray-Pyrolyzed Three-Dimensional CuInS2 Solar Cells on Nanocrystalline-Titania Electrodes with Chemical-Bath-Deposited Inx(OH)ySz Buffer Layers

    Science.gov (United States)

    Nguyen, Duy-Cuong; Mikami, Yuki; Tsujimoto, Kazuki; Ryo, Toshihiro; Ito, Seigo

    2012-10-01

    Three-dimensional (3D) compound solar cells with the structure of plates> have been fabricated by spray pyrolysis deposition of CuInS2 and chemical-bath deposition of Inx(OH)ySz for the light absorber and buffer layer, respectively. The effect of deposition and annealing conditions of Inx(OH)ySz on the photovoltaic properties of 3D CuInS2 solar cells was investigated. Inx(OH)ySz annealed in air ambient showed a better cell performance than those annealed in nitrogen ambient and without annealing. The improvement of the performance of cells with Inx(OH)ySz buffer layers annealed in air ambient is due to the increase in oxide concentration in the buffer layers [confirmed by X-ray photoelectron spectroscopy (XPS) measurement]. Among cells with Inx(OH)ySz buffer layers deposited for 1, 1.5, 1.75, and 2 h, that with Inx(OH)ySz deposited for 1.75 h showed the best cell performance. The best cell performance was observed for Inx(OH)ySz deposited for 1.75 h with annealing at 300 °C for 30 min in air ambient, and cell parameters were 22 mA cm-2 short-circuit photocurrent density, 0.41 V open-circuit voltage, 0.35 fill factor, and 3.2% conversion efficiency.

  17. Deposition of CuIn(Se,S)2 thin films by sulfurization of selenized Cu/In alloys

    International Nuclear Information System (INIS)

    Sheppard, C.J.; Alberts, V.; Bekker, W.J.

    2004-01-01

    The relatively small band gap values (close to 1eV) of CuInSe 2 thin films limits the conversion efficiencies of completed CuInSe 2 /CdS/ZnO solar cell devices. In the case of traditional two-stage growth techniques, limited success has been achieved to increase the band gap by substituting indium with gallium. In this study, sputtered copper-indium alloys were exposed to a H 2 Se/Ar atmosphere under defined conditions in order to produce partially reacted CuInSe 2 structures. These films were subsequently exposed to a H 2 S/Ar atmosphere to produce monophasic CuIn(Se, S) 2 quaternary alloys. The homogeneous incorporation of S into CuInSe 2 led to a systematic shift in the lattice parameters and band gap of the ab- sorber films. From these studies optimum selenization/sulfurization conditions were determined for the deposition of homogeneous CuIn(Se,S) 2 thin films with an optimum band gap values between 1.15 and 1.2 eV. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Capped CuInS2 quantum dots for H2 evolution from water under visible light illumination

    International Nuclear Information System (INIS)

    Li, Tzung-Luen; Cai, Cheng-Da; Yeh, Te-Fu; Teng, Hsisheng

    2013-01-01

    Highlights: ► Dispersed CuInS 2 quantum dots showed remarkable photosynthetic activity using visible light. ► Photogenerated electrons in CuInS 2 were effective in H 2 production from aqueous solution. ► The bifunctional capping reagent effectively transported photogenerated electrons for reaction. ► Ru-loaded CuInS 2 quantum dots showed a quantum efficiency of 4.7% in H 2 evolution. ► Attaching CuInS 2 to TiO 2 with CdS passivation achieved a quantum efficiency of 41%. - Abstract: This study demonstrates H 2 evolution from water decomposition catalyzed by capped CuInS 2 quantum dots (QDs) that are highly dispersed in a polysulfide aqueous solution. The CuInS 2 QDs, which are obtained from solvothermal synthesis, have a size of 4.3 nm and a band gap of 1.97 eV. For photosynthetic H 2 evolution in the aqueous solution, the QDs are capped with a multidentate ligand (3-mercaptopropionic acid), which has a thiol end for attaching the QDs and a hydrophilic carboxylic end for dispersion in water. The capped QDs exhibit low activity in catalyzing H 2 evolution under visible illumination. After photodepositing 0.5 wt.% Ru, the capped QDs are active in producing H 2 with illumination. This demonstrates that the photogenerated electrons travel through the capping reagent to generate deposited Ru, which subsequently serves as an electron trap for H 2 evolution. A heterostructure formed by attaching the capped QDs on TiO 2 nanoparticles, followed by coating CdS with photodeposition, exhibits a high quantum efficiency of 41% for H 2 evolution from the polysulfide solution. These results demonstrate the potential for photosynthesis and phototherapy in biologic in vivo or microfluidic systems based on this capped QD material.

  19. Heteroepitaxial growth of CuInS2 thin films on sapphire by radio frequency reactive sputtering

    International Nuclear Information System (INIS)

    He, Y.B.; Kriegseis, W.; Meyer, B.K.; Polity, A.; Serafin, M.

    2003-01-01

    Direct heteroepitaxial growth of uniform stoichiometric CuInS 2 (CIS) thin films on sapphire (0001) substrates has been achieved by radio frequency reactive sputtering. X-ray ω-2θ scans reveal that the sputtered layers grow in a (112) orientation with a chalcopyrite structure. A rocking curve full width at half maximum of about 0.05 deg. (180 arc sec) for the (112) peak demonstrates a nearly perfect out-of-plane arrangement of CIS (112) parallel sapphire (0001). X-ray diffraction Phi scans further illustrate an excellent in-plane ordering of CIS [1-bar10] parallel sapphire (101-bar0). The sputtered thin CIS epilayers had a smooth surface with a typical root-mean-square roughness of about 3.3 nm as evaluated by atomic force microscopy. The epitaxial growth of tetragonal CIS on hexagonal sapphire provides evidence that heteroepitaxial growth may be realized between structures of different symmetry, such as films of cubic or tetragonal structures on hexagonal substrates or vice versa

  20. Development of CIGS2 thin film solar cells

    International Nuclear Information System (INIS)

    Dhere, Neelkanth G.; Gade, Vivek S.; Kadam, Ankur A.; Jahagirdar, Anant H.; Kulkarni, Sachin S.; Bet, Sachin M.

    2005-01-01

    Research and development of CuIn 1-x Ga x Se 2-y S y (CIGSS) thin-film solar cells on ultralightweight flexible metallic foil substrates is being carried out at FSEC PV Materials Lab for space applications. Earlier, the substrate size was limited to 3 cm x 2.5 cm. Large-area sputtering systems and scrubber for hydrogen selenide and sulfide have been designed and constructed for preparation of CIGSS thin-films on large (15 cm x 10 cm) substrates. A selenization/sulfurization furnace donated by Shell (formerly Siemens) Solar has also been refurbished and upgraded. The sputtering target assembly design was modified for proper clamping of targets and effective cooling. A new design of the magnetic assembly for large-area magnetron sputtering sources was implemented so as to achieve uniform deposition on large area. Lightweight stainless steel foil and ultralightweight titanium foil substrates were utilized to increase the specific power of solar cells. Sol-gel derived SiO 2 layers were coated on titanium foil by dip coating method. Deposition parameters for the preparation of molybdenum back contact layers were optimized so as to minimize the residual stress as well as reaction with H 2 S. Presently large (15 cm x 10 cm) CuIn 1-x Ga x S 2 (CIGS2) thin film solar cells are being prepared on Mo-coated titanium and stainless steel foil by sulfurization of CuGa/In metallic precursors in diluted Ar:H 2 S(4%). Heterojunction partner CdS layers are deposited by chemical bath deposition. The regeneration sequence of ZnO/ZnO:Al targets was optimized for obtaining consistently good-quality, transparent and conducting ZnO/ZnO:Al bilayer by RF magnetron-sputter deposition. Excellent facilities at FSEC PV Materials Lab are one of its kinds and could serve as a nucleus of a small pilot plant for CIGSS thin film solar cell fabrication

  1. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  2. Synthesis and properties of chemical bath deposited ZnS multilayer films

    International Nuclear Information System (INIS)

    Kamoun Allouche, N.; Ben Nasr, T.; Turki Kamoun, N.; Guasch, C.

    2010-01-01

    Zinc sulphide multilayer films are prepared by chemical bath deposition from different host solutions. X-ray diffraction and scanning electron microscopy are used to characterize the structural properties of the films. The surface composition of the films is studied by Auger electrons spectroscopy, and optical properties are studied by spectrophotometric measurements. X-ray diffraction patterns reveal distinct single crystalline phase with preferential orientation along the (1 1 1) plane of the zinc blende structure for the ZnS multilayer. The spacing between (1 1 1) planes of ZnS is well matched to the spacing between (1 1 2) planes of the chalcopyrite CuInS 2 . After heat treatment all films show a near stoichiometric surface composition as indicated in their AES data. UV-vis measurements show that ZnS multilayer films prepared from the zinc sulphate solution have more than 70% transmission in the wavelengths above 350 nm and an optical band gap of about 3.76 eV.

  3. ZnSe passivation layer for the efficiency enhancement of CuInS2 quantum dots sensitized solar cells

    International Nuclear Information System (INIS)

    Peng, Zhuoyin; Liu, Yueli; Zhao, Yinghan; Chen, Keqiang; Cheng, Yuqing; Kovalev, Valery; Chen, Wen

    2014-01-01

    Highlights: • ZnSe is employed as passivation layer in CuInS 2 quantum dots sensitized solar cells. • Slight red-shift has been occurred in UV–vis absorption spectra with ZnSe coating. • CuInS 2 based solar cells coated by ZnSe have better efficiency than that of ZnS. • Higher rate of charge transport can be produced after coating with ZnSe. -- Abstract: The effect of ZnSe passivation layer is investigated in the CuInS 2 quantum dot sensitized solar cells, which is used to improve the photovoltaic performance. The CuInS 2 quantum dot sensitized TiO 2 photo-anodes are prepared by assembly linking technique, and then deposited by the ZnSe passivation layer using the successive ionic layer absorption and reaction technique. The optical absorption edge and photoluminescence peak have slightly red-shifted after the passivation layer coating. Under solar light illumination, the ZnSe passivation layer based CuInS 2 quantum dot sensitized solar cells have the higher photovoltaic efficiency of 0.95% and incident photon conversion efficiency response than that of pure CuInS 2 based solar cells and ZnS passivation layer based solar cells, as the electron injection rate becomes faster after coating with ZnSe passivation layer

  4. Triangle islands and cavities on the surface of evaporated Cu(In, Ga)Se2 absorber layer

    International Nuclear Information System (INIS)

    Han Anjun; Zhang Yi; Liu Wei; Li Boyan; Sun Yun

    2012-01-01

    Highlights: ► Lots of uncommon triangle islands and cavities are found on (1 1 2) planes terminated by Se atoms of evaporated Cu(In, Ga)Se 2 thin films. ► Se ad-dimer as a nucleus, Cu atom diffusion from Cu(In, Ga)Se 2 grains brings the epitaxial triangle island. ► The triangle islands grow with a two-dimensional layered mode. ► The triangle cavities are formed due to the insufficient coalescence of triangle islands. ► The performance of solar cell without triangle islands is improved. - Abstract: Cu(In, Ga)Se 2 (CIGS) thin films are co-evaporated at a constant substrate temperature of 500 °C on the Mo/soda lime glass substrates. The structural properties and chemical composition of the CIGS films are studied by an X-ray diffractometer (XRD) and an X-ray fluorescent spectrometer (XRF), respectively. A scanning electron microscope (SEM) is used to study the surface morphology. Lots of uncommon triangle islands and cavities are found on some planes of the CIGS thin films. We investigate the formation mechanism of these triangle islands. It is found that the planes with the triangle islands are (1 1 2) planes terminated by Se atoms. Se ad-dimer as a nucleus, Cu diffusion from CIGS grains brings the epitaxial triangle islands which grow with a two-dimensional layered mode. The film with Cu/(Ga + In) = 0.94–0.98 is one key of the formation of these islands. The triangle cavities are formed due to the insufficient coalescence of triangle islands. The growth of triangle islands brings a compact surface with large layered grains and many jagged edges, but no triangle cavity. Finally, we compare the performance of solar cell with triangle islands and layered gains. It is found that the performance of solar cell with large layered gains is improved.

  5. Characteristics of CuInSe2 thin films grown by the selenization method

    International Nuclear Information System (INIS)

    Kim, Sang Deok; Kim, Hyeong Joon; Adurodija, Frederick Ojo; Yoon, Kyeong Hoon; Song, Jin Soo

    1999-01-01

    CuInSe 2 thin films were formed from a selenization of co-sputtered Cu-In alloy layers which consisted of only two phases, CuIn 2 and Cu 11 In 9 . A linear dependence of the Cu-In alloy film composition on the Cu/In sputtering power was found. The metallic layers were selenized in vacuum or at 1 atm. A small number of Cu-Se and In-Se compounds was observed during the early stage of selenization, and single-phase CuInSe 2 was more easily formed in vacuum than at atmospheric pressure. Therefore, CuInSe 2 films selenized in vacuum showed larger grain sizes, smoother surfaces, and denser microstructures than those selenized at 1 atm

  6. Antimony assisted low-temperature processing of CuIn1-xGaxSe2-ySy solar cells

    International Nuclear Information System (INIS)

    Yuan Min; Mitzi, David B.; Gunawan, Oki; Kellock, Andrew J.; Chey, S. Jay; Deline, Vaughn R.

    2010-01-01

    Application of the Sb-doping method to low-temperature (≤ 400 o C) processing of CuIn 1-x Ga x Se 2-y S y (CIGS) solar cells is explored, using a hydrazine-based approach to deposit the absorber films. Power conversion efficiencies of 10.5% and 8.4% have been achieved for CIGS devices (0.45 cm 2 device area) processed at 400 o C and 360 o C, respectively, with an Sb-incorporation level at 1.2 mol % (relative to the moles of CIGS). Significant Sb-induced grain size enhancement was confirmed for these low processing temperatures using cross-sectional scanning electron microscopy, and an average 2-3% absolute efficiency improvement was achieved in Sb-doped samples compared to their Sb-free sister samples. With Sb inclusion, the CIGS film grain growth temperature is lowered to well below 450 o C, a range compatible with flexible polymer substrate materials such as polyimide. This method opens up access to opportunities in low-temperature processing of CIGS solar cells, an area that is being actively pursued using both traditional vacuum-based as well as other solution-based deposition techniques.

  7. Effect of Cu/In molar ratio on the microstructural and optical properties of microcrystalline CuInS2 prepared by solvothermal route

    International Nuclear Information System (INIS)

    Das, Kajari; Panda, Subhendu K.; Gorai, Soma; Mishra, Pratima; Chaudhuri, Subhadra

    2008-01-01

    Synthesis and characterization of CuInS 2 powder sample prepared by a simple and convenient solvothermal method is reported. The influence of the variation of Cu/In molar ratio from 0.69 to 1.25 on the particle morphology, crystal structure and optical properties of CuInS 2 samples was studied. The X-ray diffraction studies indicated that the samples were polycrystalline in nature. SEM images of the samples revealed that the copper-rich products were uniform microspheres with smooth surfaces, whereas microspheres formed by network of interconnected flakes were obtained for indium-rich products. The optical band gaps (E g ) of the products decreased from 1.60 to 1.43 eV with variation of Cu/In molar ratio. The variation of the Urbach tail width with Cu/In molar ratio indicated that the density of the defects is much higher for the indium-rich CuInS 2 , which was clearly revealed from Raman measurements

  8. Fabrication and Characterization of Thin Film Solar Cell Made from CuIn0.75Ga0.25S2 Wurtzite Nanoparticles

    Directory of Open Access Journals (Sweden)

    Fengyan Zhang

    2013-01-01

    Full Text Available CuIn0.75Ga0.25S2 (CIGS thin film solar cells have been successfully fabricated using CIGS Wurtzite phase nanoparticles for the first time. The structure of the cell is Glass/Mo/CIGS/CdS/ZnO/ZnO:Al/Ag. The light absorption layer is made from CIGS Wurtzite phase nanoparticles that are formed from single-source precursors through a microwave irradiation. The Wurtzite phase nanoparticles were converted to Chalcopyrite phase film through a single-step annealing process in the presence of argon and sulfur at 450°C. The solar cell made from Wurtzite phase nanoparticles showed 1.6% efficiency and 0.42 fill factor.

  9. Synthesis of porous CuInS2 crystals

    International Nuclear Information System (INIS)

    Akaki, Yoji; Matsubara, Takanori; Ohno, Yuki; Momiki, Takanori; Ide, Kazuki

    2009-01-01

    CuInS 2 crystals were grown from starting materials CuCl 2 .2H 2 O, InCl 3 .4H 2 O and thiourea with ethylene glycol solution, that were placed into a flask, heated, and refluxed for 1 hour. The diffraction peaks only from CuInS 2 phase appear for all the samples. The morphology of CuInS 2 crystal was porous, and the porous crystals exist in two kinds. One kind was flower-like crystals which complexly lack the flakes, another one was sphere-like crystals existed with a number of the poles. The sizes of sphere-like porous crystals were approximately 1.0 μm. The specific surface area of the samples grown at 180 C and 600 rpm estimated approximately 30 m 2 /g. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Characteristics of CuInSe sub 2 thin films grown by the selenization method

    CERN Document Server

    Kim, S D; Adurodija, F O; Yoon, K H; Song, J S

    1999-01-01

    CuInSe sub 2 thin films were formed from a selenization of co-sputtered Cu-In alloy layers which consisted of only two phases, CuIn sub 2 and Cu sub 1 sub 1 In sub 9. A linear dependence of the Cu-In alloy film composition on the Cu/In sputtering power was found. The metallic layers were selenized in vacuum or at 1 atm. A small number of Cu-Se and In-Se compounds was observed during the early stage of selenization, and single-phase CuInSe sub 2 was more easily formed in vacuum than at atmospheric pressure. Therefore, CuInSe sub 2 films selenized in vacuum showed larger grain sizes, smoother surfaces, and denser microstructures than those selenized at 1 atm.

  11. A Novel Method for Preparation of Zn-Doped CuInS2 Solar Cells and Their Photovoltaic Performance

    Directory of Open Access Journals (Sweden)

    Cheng-Hsiung Peng

    2013-01-01

    Full Text Available In this study, a novel method was proposed to synthesize high quality Zn-doped CuInS2 nanocrystals under high frequency magnetic field at ambient conditions. The magnetic Zn-doping gave superparamagnetic heating of the resulting nanocrystals via magnetic induction, causing an accelerating growth rate of the doped CuInS2 under ambient conditions faster than conventional autoclave synthesis. Shape evolution of the Zn-doped CuInS2 nanocrystals from initially spherical to pyramidal, to cubic, and finally to a bar geometry was detected as a function of time of exposure to magnetic induction. These colloidal solvents with different shaped nanocrystals were further used as “nanoink” to fabricate a simple thin film solar device; the best efficiency we obtained of these crystals was 1.01% with a 1.012 μm thickness absorber layer (bar geometry. The efficiency could be promoted to 1.44% after the absorber was thickened to 2.132 μm.

  12. Zinc sulfide thin films deposited by RF reactive sputtering for photovoltaic applications

    International Nuclear Information System (INIS)

    Shao Lexi; Chang, K.-H.; Hwang, H.-L.

    2003-01-01

    Zinc sulfide (ZnS) thin films with nano-scale grains of about 50 nm were deposited on glass substrates at a substrate temperature of 200 deg. C via RF reactive sputtering by using zinc plate target and hydrogen sulfide gas. The structure, compositions, electrical and optical characteristics of the deposited films were investigated for the photovoltaic device applications. All films showed a near stoichiometric composition as indicated in their AES data. Distinct single crystalline phase with preferential orientation along the (0 0 0 1) plane of wurtzite or the (1 1 1) plane of zinc blende (ZB) was revealed in their X-ray diffraction (XRD) patterns, and the spacing of the planes are well matched to those of (1 1 2) plane of the chalcopyrite CuInS 2 (CIS). UV-Vis measurement showed that the films had more than 65% transmittance in the wavelength larger than 350 nm, and the fundamental absorption edge shifted to shorter wavelength with the increase of sulfur incorporated in the films, which corresponds to an increase in the energy band gap ranging from 3.59 to 3.72 eV. It was found that ZnS films are suitable for use as the buffer layer of the CIS solar cells, and it is the viable alternative for replacing CdS in the photovoltaic cell structure

  13. Hybrid density functional theory study of Cu(In1−xGaxSe2 band structure for solar cell application

    Directory of Open Access Journals (Sweden)

    Xu-Dong Chen

    2014-08-01

    Full Text Available Cu(In1−xGaxSe2 (CIGS alloy based thin film photovoltaic solar cells have attracted more and more attention due to its large optical absorption coefficient, long term stability, low cost and high efficiency. However, the previous theoretical investigation of this material with first principle calculation cannot fulfill the requirement of experimental development, especially the accurate description of band structure and density of states. In this work, we use first principle calculation based on hybrid density functional theory to investigate the feature of CIGS, with B3LYP applied in the CuIn1−xGaxSe2 stimulation of the band structure and density of states. We report the simulation of the lattice parameter, band gap and chemical composition. The band gaps of CuGaSe2, CuIn0.25Ga0.75Se2, CuIn0.5Ga0.5Se2, CuIn0.75Ga0.25Se2 and CuInSe2 are obtained as 1.568 eV, 1.445 eV, 1.416 eV, 1.275 eV and 1.205 eV according to our calculation, which agree well with the available experimental values. The band structure of CIGS is also in accordance with the current theory.

  14. Self-organized antireflection CuIn(S,Se)_2 nano-protrusions on flexible substrates by ion erosion based on CuInS_2 nanocrystal precursor inks

    International Nuclear Information System (INIS)

    Yen, Yu-Ting; Wang, Yi-Chung; Chen, Chia-Wei; Tsai, Hung-Wei; Chen, Yu-Ze; Hu, Fan; Chueh, Yu-Lun

    2015-01-01

    Highlights: • CuIn(S,Se)_2 nano-protrusions were demonstrated on 36-cm"2 flexible substrates. • Nano-protrusions were created by ion erosion on selenized CuInS_2 nanocrystal precursor inks. • Tilt orientations and remarkable anti-reflectance characteristics of nano-protrusions can be precisely controlled. - Abstract: In this work, an approach to achieve surface nano-protrusions on a chalcopyrite CuIn(S,Se)_2 thin film was demonstrated. Home-made CuInS_2 nanocrystals with average diameter of 20 nm were prepared and characterized. By applying ion erosion process on the CuIn(S,Se)_2 film, large-area self-aligned nano-protrusions can be formed. Interestingly, the process can be applied on flexible substrate where the CuIn(S,Se)_2 film remains intact with no visible cracking after several bending tests. In addition, reflectance spectra reveal the extraordinary anti-reflectance characteristics of nano-protrusions on the CuIn(S,Se)_2 film with the incident light from 350 to 2000 nm. A 36-cm"2 CuIn(S,Se)_2 film with nano-protrusions on flexible molybdenum foil substrate has been demonstrated, which demonstrated the feasibility of developing low cost with a high optical absorption CuIn(S,Se)_2 flexible thin film.

  15. Electrical and photovoltaic characteristics of CuInSe2 thin films processed by nontoxic Cu–In precursor solutions

    International Nuclear Information System (INIS)

    Choi, Ik Jin; Jang, Jin Woo; Lee, Seung Min; Yeon, Deuk Ho; Jo, Yeon Hwa; Lee, Myung Ho; Cho, Yong Soo; Yun, Jae Ho; Yoon, Kyung Hoon

    2013-01-01

    Nontoxic Cu–In solution-processed CuInSe 2 absorber thin films and resultant photovoltaic cells have been investigated. Acetate-based Cu–In precursors having different Cu/In ratios of 0.8–1.2 were deposited by spin-coating and then selenized in Se atmosphere up to 550 °C. Single tetragonal CuInSe 2 phase was dominantly obtained regardless of Cu/In ratios, with the segregation of Cu 2−x Se secondary phase only in the case of Cu-rich films as evidenced by Raman spectra. The films with the 1.1 ratio demonstrated a larger grain size of ∼1.06 µm with an increased carrier concentration of ∼1.7 × 10 18 cm −3 and a decreased band gap of ∼1.02 eV, compared to the values obtained for Cu-deficient absorber films. The resultant best cell efficiency was ∼3.1% for the absorber having the 1.1 ratio, suggesting a potential of this simple spin-coating method as an alternative to typical vacuum processes. (paper)

  16. Sputtered molybdenum thin films and the application in CIGS solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, D.; Zhu, H., E-mail: hongbing1982@hotmail.com; Liang, X.; Zhang, C.; Li, Z.; Xu, Y.; Chen, J.; Zhang, L.; Mai, Y., E-mail: yaohuamai@hbu.edu.cn

    2016-01-30

    Graphical abstract: - Highlights: • Mo thin films are prepared by magnetron sputtering. • The dynamic deposition rate increases with the increasing discharge power. • The surface structure of Mo films varies with discharge power and working pressure. • High efficiency CIGS thin film solar cell of 15.2% has been obtained. - Abstract: Molybdenum (Mo) thin films are prepared by magnetron sputtering with different discharge powers and working pressures for the application in Cu(In, Ga)Se{sub 2} (CIGS) thin film solar cells as back electrodes. Properties of these Mo thin films are systematically investigated. It is found that the dynamic deposition rate increases with the increasing discharge power while decreases with the increasing working pressure. The highest dynamic deposition rate of 15.1 nm m/min is achieved for the Mo thin film deposited at the discharge power of 1200 W and at the working pressure of 0.15 Pa. The achieved lowest resistivity of 3.7 × 10{sup −5} Ω cm is attributed to the large grains in the compact thin film. The discharge power and working pressure have great influence on the sputtered Mo thin films. High efficiency of 12.5% was achieved for the Cu(In, Ga)Se{sub 2} (CIGS) thin film solar cells with Mo electrodes prepared at 1200 W and low working pressures. By further optimizing material and device properties, the conversion efficiency has reached to 15.2%.

  17. Effect of selenization time on the structural and morphological properties of Cu(In,Ga)Se2 thin films absorber layers using two step growth process

    Science.gov (United States)

    Korir, Peter C.; Dejene, Francis B.

    2018-04-01

    In this work two step growth process was used to prepare Cu(In, Ga)Se2 thin film for solar cell applications. The first step involves deposition of Cu-In-Ga precursor films followed by the selenization process under vacuum using elemental selenium vapor to form Cu(In,Ga)Se2 film. The growth process was done at a fixed temperature of 515 °C for 45, 60 and 90 min to control film thickness and gallium incorporation into the absorber layer film. The X-ray diffraction (XRD) pattern confirms single-phase Cu(In,Ga)Se2 film for all the three samples and no secondary phases were observed. A shift in the diffraction peaks to higher 2θ (2 theta) values is observed for the thin films compared to that of pure CuInSe2. The surface morphology of the resulting film grown for 60 min was characterized by the presence of uniform large grain size particles, which are typical for device quality material. Photoluminescence spectra show the shifting of emission peaks to higher energies for longer duration of selenization attributed to the incorporation of more gallium into the CuInSe2 crystal structure. Electron probe microanalysis (EPMA) revealed a uniform distribution of the elements through the surface of the film. The elemental ratio of Cu/(In + Ga) and Se/Cu + In + Ga strongly depends on the selenization time. The Cu/In + Ga ratio for the 60 min film is 0.88 which is in the range of the values (0.75-0.98) for best solar cell device performances.

  18. Thermal decomposition studies of CuInS2

    Institute of Scientific and Technical Information of China (English)

    Sunil H. CHAKI

    2008-01-01

    Single crystals of copper indium disulphide (CuInS2) have been successfully grown by the chemical vapour transport (CVT) technique using iodine as the transporting agent. Thermogravimetric analysis (TGA) and differential thermal analysis (DTA) were carried out for the CVT grown CuInS2 single crystals. It was revealed that the crystals are thermally stable between the ambient temperature (300 K) and 845 K and that the decomposi-tion occurs sequentially in three steps. The kinetic para-meters, e.g., activation energy, order of reaction, and frequency factor were evaluated using non-mechanistic equations for thermal decomposition.

  19. Hydrazine-Free Solution-Deposited CuIn(S,Se)2 Solar Cells by Spray Deposition of Metal Chalcogenides.

    Science.gov (United States)

    Arnou, Panagiota; van Hest, Maikel F A M; Cooper, Carl S; Malkov, Andrei V; Walls, John M; Bowers, Jake W

    2016-05-18

    Solution processing of semiconductors, such as CuInSe2 and its alloys (CIGS), can significantly reduce the manufacturing costs of thin film solar cells. Despite the recent success of solution deposition approaches for CIGS, toxic reagents such as hydrazine are usually involved, which introduce health and safety concerns. Here, we present a simple and safer methodology for the preparation of high-quality CuIn(S, Se)2 absorbers from metal sulfide solutions in a diamine/dithiol mixture. The solutions are sprayed in air, using a chromatography atomizer, followed by a postdeposition selenization step. Two different selenization methods are explored resulting in power conversion efficiencies of up to 8%.

  20. A Comprehensive Study of One-Step Selenization Process for Cu(In1-x Ga x )Se2 Thin Film Solar Cells.

    Science.gov (United States)

    Chen, Shih-Chen; Wang, Sheng-Wen; Kuo, Shou-Yi; Juang, Jenh-Yih; Lee, Po-Tsung; Luo, Chih Wei; Wu, Kaung-Hsiung; Kuo, Hao-Chung

    2017-12-01

    In this work, aiming at developing a rapid and environmental-friendly process for fabricating CuIn 1-x Ga x Se 2 (CIGS) solar cells, we demonstrated the one-step selenization process by using selenium vapor as the atmospheric gas instead of the commonly used H 2 Se gas. The photoluminescence (PL) characteristics indicate that there exists an optimal location with superior crystalline quality in the CIGS thin films obtained by one-step selenization. The energy dispersive spectroscopy (EDS) reveals that the Ga lateral distribution in the one-step selenized CIGS thin film is intimately correlated to the blue-shifted PL spectra. The surface morphologies examined by scanning electron microscope (SEM) further suggested that voids and binary phase commonly existing in CIGS films could be successfully eliminated by the present one-step selenization process. The agglomeration phenomenon attributable to the formation of MoSe 2 layer was also observed. Due to the significant microstructural improvement, the current-voltage (J-V) characteristics and external quantum efficiency (EQE) of the devices made of the present CIGS films have exhibited the remarkable carrier transportation characteristics and photon utilization at the optimal location, resulting in a high conversion efficiency of 11.28%. Correlations between the defect states and device performance of the one-step selenized CIGS thin film were convincingly delineated by femtosecond pump-probe spectroscopy.

  1. Ultraviolet emission from low resistance Cu2SnS3/SnO2 and CuInS2/Sn:In2O3 nanowires

    Directory of Open Access Journals (Sweden)

    E. Karageorgou

    2014-11-01

    Full Text Available SnO2 and Sn:In2O3 nanowires were grown on Si(001, and p-n junctions were fabricated in contact with p-type Cu2S which exhibited rectifying current–voltage characteristics. Core-shell Cu2SnS3/SnO2 and CuInS2/Sn:In2O3 nanowires were obtained by depositing copper and post-growth processing under H2S between 100 and 500 °C. These consist mainly of tetragonal rutile SnO2 and cubic bixbyite In2O3. We observe photoluminescence at 3.65 eV corresponding to band edge emission from SnO2 quantum dots in the Cu2SnS3/SnO2 nanowires due to electrostatic confinement. The Cu2SnS3/SnO2 nanowires assemblies had resistances of 100 Ω similar to CuInS2/In2O3 nanowires which exhibited photoluminescence at 3.0 eV.

  2. New crystal structures in hexagonal CuInS2 nanocrystals

    Science.gov (United States)

    Shen, Xiao; Hernández-Pagan, Emil A.; Zhou, Wu; Puzyrev, Yevgeniy S.; Idrobo, Juan C.; MacDonald, Janet E.; Pennycook, Stephen J.; Pantelides, Sokrates T.

    2013-03-01

    CuInS2 is one of the best candidate materials for solar energy harvesting. Its nanocrystals with a hexagonal lattice structure that is different from the bulk chalcopyrite phase have been synthesized by many groups. The structure of these CuInS2 nanocrystals has been previously identified as the wurtzite structure in which the copper and indium atoms randomly occupy the cation sites. Using first-principles total energy and electronic structure calculations based on density functional theory, UV-vis absorption spectroscopy, X-ray diffraction, and atomic resolution Z-contrast images obtained in an aberration-corrected scanning transmission electron microscope, we show that CuInS2 nanocrystals do not form random wurtzite structure. Instead, the CuInS2 nanocrystals consist of several wurtzite- related crystal structures with ordered cation sublattices, some of which are reported for the first time here. This work is supported by the NSF TN-SCORE (JEM), by NSF (WZ), by ORNL's Shared Research Equipment User Program (JCI) sponsored by DOE BES, by DOE BES Materials Sciences and Engineering Division (SJP, STP), and used resources of the National Energy Research Scientific Computing Center, supported by the DOE Office of Science under Contract No. DE-AC02-05CH11231.

  3. Raman scattering in orthorhombic CuInS2 nanocrystals

    International Nuclear Information System (INIS)

    Dzhagan, V.M.; Valakh, M.Ya.; Litvinchuk, A.P.; Kruszynska, M.; Kolny-Olesiak, J.; Himcinschi, C.; Zahn, D.R.T.

    2014-01-01

    We report the results of non-resonant and resonant Raman scattering in orthorhombic nanocrystalline CuInS 2 semiconductor, supported by density functional first principle lattice dynamics calculations. A larger number of dominant phonon modes in comparison with standard tetragonal CuInS 2 phases is shown to be associated with peculiarities of cation sublattice ordering and is the ''fingerprint'' of the corresponding structural polymorph. Good overall agreement is found between theoretical and experimental phonon mode frequencies. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. The measurement of conductivity of copper indium disulphide thin films against temperature and thickness

    International Nuclear Information System (INIS)

    Yussof Wahab; Roslinda Zainal; Samsudi Sakrani

    1996-01-01

    Ternary semiconductor copper indium disulphide (CuInS sub 2) thin films have been prepared by thermal evaporation. Three stacked layers of film starting with copper, indium and finally sulphur was deposited on glass substrate in the thickness ratio of 1: 1: I0. The films were then annealed in carbon block by method known as encapsulated sulphurization at 350 degree C for 4 hours. The XRD analysis for four samples of thickness of 449.5, 586, 612 and 654 nm showed that stoichiometric CuInS sub 2, were formed at this annealing condition. The electrical conductivity of CuInS sub 2 thin films were measured against temperature from 150K to 300K. The conductivity values were between 76.6 Sm sup -1 to 631.26 Sm sup -1 and the result showed that it increase exponentially with temperature for the above temperature range. The resulting activation energies were found to be in the range 0.05 to 0.08 eV. This suggested that hopping mechanism predominant to the conducting process. It also found that the conductivity decreased with increasing film thickness

  5. Synthesis of porous CuInS2 crystals using a stirrer

    International Nuclear Information System (INIS)

    Akaki, Yoji; Ohno, Yuki; Momiki, Takanori

    2013-01-01

    Porous CuInS 2 crystals were grown from starting materials CuCl 2 .2H 2 O, InCl 3 .4H 2 O and thiorea with ethylene glycol solution, that were placed into a flask, heated, and refluxed for 1 hour. The diffraction peaks only from CuInS 2 phase appear for all the samples. The morphology of CuInS 2 crystal was porous, and the porous crystals exist in two kinds. One kind was flower-like crystals which complexly lack the flakes, another one was sphere-like crystals existed with a number of the poles. The specific surface area of the samples grown by stirring starting materials with In to Cu ratio of 4.3 for 30 minutes was found approximately to be 55 m 2 /g. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Zinc Sulfide Buffer Layer for CIGS Solar Cells Prepared by Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Rui-Wei You

    2016-11-01

    Full Text Available In this study, ZnS thin films were successfully synthesized by chemical bath deposition (CBD with starting materials of NH2-NH2, SC(NH22, and ZnSO4‧7H2O. ZnS thin films were deposited with different time on glass substrates by CBD at 80oC and pH=9. Based on X-ray diffraction (XRD patterns, it is found that the ZnS thin films exhibit cubic polycrystalline phase. It was found that the optimum deposition time is 90 min for preparing ZnS thin film that is suitable as buffer layer for CuIn1-xGaxSe2 solar cells. The thin film deposited for 90 min has high transmittance up to 80% in the spectra range from 350 nm to 800 nm, and the optical band gap is about 3.59 eV.

  7. Deposition of Au/TiO2 film by pulsed laser

    International Nuclear Information System (INIS)

    Zhao Chongjun; Zhao Quanzhong; Zhao Qitao; Qiu Jianrong; Zhu Congshan

    2006-01-01

    Au nanoparticles, which were photoreduced by a Nd:YAG laser in HAuCl 4 solution containing TiO 2 colloid and accompanied by the TiO 2 particles, were deposited on the substrate surface. The film consisting of Au/TiO 2 particles was characterized by the absorption spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis. The adhesion between the film and substrate was evaluated by using adhesive tape test. It was found that the presence of TiO 2 dramatically enhanced the adhesion strength between the film and the substrate, as well as the deposition rate of film. The mechanism for the deposition of Au/TiO 2 film was also discussed

  8. Preparation and characterization of chalcopyrite compound for thin film solar cells

    Directory of Open Access Journals (Sweden)

    Sh. Ebrahim

    2011-03-01

    Full Text Available CulnS2 thin films were electrodeposited onto indium tin oxide substrate by the electrodeposition technique. Cyclic voltammetry and chronoamperometry were carried out to determine the optimum pH and the amount of sodium thiosulfate for electroplating CuInS2 compound. The composition, crystallinity and optical properties of the compounds synthesized were studied by energy dispersive X-ray (EDX, (SEM, X-ray diffraction and UV–Visible spectra. It was found that the increasing pH shifts the electrodepositions voltage toward more negative and lowers the deposition current. Increasing the amount of sodium thiosulfate also decreases the deposition current but it has no effect on the deposition potential. It was concluded that CuInS2 with atomic stoichiometric ratio was prepared at pH equals 1 and 150 ml of 0.1 M sodium thiosulfate, 5 ml of 0.1 M indium chloride and 5 ml of 0.1 M cupper acetate. The energy gaps were calculated to be 1.6, 1.7 and 1.75 eV for CuInS2 prepared at 1, 1.5 and 2 of pH, respectively. It was indicated that the amount of the sodium thiosulfate has a slight effect on the energy gap.

  9. One, step electrodeposition of Cu(Ga,In)Se2 thin films from aqueous solution

    Science.gov (United States)

    Fahoume, M.; Boudraine, H.; Aggour, M.; Chraïbi, F.; Ennaoui, A.; Delplancke, J. L.

    2005-03-01

    Cu(In,Ga)Se{2} (CIGS) semiconducting thin films films were prepared by electrodeposition from aqueous solution containing CuCl{2}, InCl{3}, GaCl{3} and H{2}SeO{3}. The deposited material was characterized by cyclic voltammetry. The compositional, structural studies were carried out using scanning electron microscopy (SEM), energy dispersive X-ray microanalysis (EDX), X-ray diffraction (XRD) and transmission electron microscopy (TEM). X-ray analysis showed the formation of CuIn{1-x}GaxSe{2} films, in the optimum conditions, with preferred orientation in the (112) direction. We observed a shift of the peaks to higher angles with increasing x, accounting for a decrease of the lattice constants when In atoms are substituted by Ga atoms. Element mapping and scanline (EDX) indicate that the Cu, In, Ga, and Se elements are homogeneously distributed.

  10. Fabrication of CuInS2-sensitized solar cells via an improved SILAR process and its interface electron recombination.

    Science.gov (United States)

    Xu, Xueqing; Wan, Qingcui; Luan, Chunyan; Mei, Fengjiao; Zhao, Qian; An, Ping; Liang, Zhurong; Xu, Gang; Zapien, Juan Antonio

    2013-11-13

    Tetragonal CuInS2 (CIS) has been successfully deposited onto mesoporous TiO2 films by in-sequence growth of InxS and CuyS via a successive ionic layer absorption and reaction (SILAR) process and postdeposition annealing in sulfur ambiance. X-ray diffraction and Raman measurements showed that the obtained tetragonal CIS consisted of a chalcopyrite phase and Cu-Au ordering, which related with the antisite defect states. For a fixed Cu-S deposition cycle, an interface layer of β-In2S3 formed at the TiO2/CIS interface with suitable excess deposition of In-S. In the meantime, the content of the Cu-Au ordering phase decreased to a reasonable level. These facts resulted in the retardance of electron recombination in the cells, which is proposed to be dominated by electron transfer from the conduction band of TiO2 to the unoccupied defect states in CIS via exponentially distributed surface states. As a result, a relatively high efficiency of ~0.92% (V(oc) = 0.35 V, J(sc) = 8.49 mA cm(-2), and FF = 0.31) has been obtained. Last, but not least, with an overloading of the sensitizers, a decrease in the interface area between the sensitized TiO2 and electrolytes resulted in deceleration of hole extraction from CIS to the electrolytes, leading to a decrease in the fill factor of the solar cells. It is indicated that the unoccupied states in CIS with energy levels below EF0 of the TiO2 films play an important role in the interface electron recombination at low potentials and has a great influence on the fill factor of the solar cells.

  11. Green synthesis of CuInS2/ZnS core-shell quantum dots by facile solvothermal route with enhanced optical properties

    Science.gov (United States)

    Jindal, Shikha; Giripunje, Sushama M.; Kondawar, Subhash B.; Koinkar, Pankaj

    2018-03-01

    We report an eco-friendly green synthesis of highly luminescent CuInS2/ZnS core-shell quantum dots (QDs) with average particle size ∼ 3.9 nm via solvothermal process. The present study embodies the intensification of CuInS2/ZnS QDs properties by the shell growth on the CuInS2 QDs. The as-prepared CuInS2 core and CuInS2/ZnS core-shell QDs have been characterized using a range of optical and structural techniques. By adopting a low temperature growth of CuInS2 core and high temperature growth of CuInS2/ZnS core-shell growth, the tuning of absorption and photoluminescence emission spectra were observed. Optical absorption and photoluminescence spectroscopy probe the effect of ZnS passivation on the electronic structure of the CuInS2 dots. In addition, QDs have been scrutinized using ultra violet photoelectron spectroscopy (UPS) to explore their electronic band structure. The band level positions of CuInS2 and CuInS2/ZnS QDs suffices the demand of non-toxic acceptor material for electronic devices. The variation in electronic energy levels of CuInS2 core with the coating of wide band gap ZnS shell influence the removal of trap assisted recombination on the surface of the core. QDs exhibited tunable emission from red to orange region. These studies reveal the feasibility of QDs in photovoltaic and light emitting diodes.

  12. Intermixing at the heterointerface between ZnS /Zn(S,O) bilayer buffer and CuInS2 thin film solar cell absorber

    Science.gov (United States)

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; Sáez-Araoz, R.; Lehmann, S.; Grimm, A.; Lauermann, I.; Loreck, Ch.; Sokoll, St.; Schock, H.-W.; Fischer, Ch.-H.; Lux-Steiner, M. C.; Jung, Ch.

    2006-09-01

    The application of Zn compounds as buffer layers was recently extended to wide-gap CuInS2 (CIS) based thin-film solar cells. Using an alternative chemical deposition route for the buffer preparation aiming at the deposition of a single-layer, nominal ZnS buffer without the need for any toxic reactants such as hydrazine has helped us to achieve a similar efficiency as respective CdS-buffered reference devices. After identifying the deposited Zn compound, as ZnS /Zn(S,O) bilayer buffer in former investigations [M. Bär et al., J. Appl. Phys. 99, 123503 (2006)], this time the focus lies on potential diffusion/intermixing processes at the buffer/absorber interface possibly, clarifying the effect of the heat treatment, which drastically enhances the device performance of respective final solar cells. The interface formation was investigated by x-ray photoelectron and x-ray excited Auger electron spectroscopy. In addition, photoelectron spectroscopy (PES) measurements were also conducted using tunable monochromatized synchrotron radiation in order to gain depth-resolved information. The buffer side of the buffer/absorber heterointerface was investigated by means of the characterization of Zn(S ,O)/ZnS/CIS structures where the ZnS /Zn(S,O) bilayer buffer was deposited successively by different deposition times. In order to make the (in terms of PES information depth) deeply buried absorber side of the buffer/absorber heterointerface accessible for characterization, in these cases the buffer layer was etched away by dilute HClaq. We found indications that while (out-leached) Cu from the absorber layer forms together with the educts in the chemical bath a [Zn(1-Z ),Cu2Z]S-like interlayer between buffer and absorber, Zn is incorporated in the uppermost region of the absorber. Both effects are strongly enhanced by postannealing the Zn(S ,O)/ZnS/CIS samples. However, it was determined that the major fraction of the Cu and Zn can be found quite close to the heterointerface in

  13. Colloidal-chemistry based synthesis of quantized CuInS2/Se2 nanoparticles

    Directory of Open Access Journals (Sweden)

    Abazović Nadica D.

    2012-01-01

    Full Text Available Ternary chalcogenide nanoparticles, CuInS2 and CuInSe2, were synthesized in high- temperature boiling organic non-polar solvent. The X-ray diffraction analysis revealed that both materials have tetragonal (chalcopyrite crystal structure. Morphology of the obtained materials was revealed by using transmission electron microscopy. Agglomerated spherical CuInS2 nanoparticles with broad size distribution in the range from 2 to 20 nm were obtained. In the case of CuInSe2, isolated particles with spherical or prismatic shape in the size range from 10 to 25 nm were obtained, as well as agglomerates consisting of much smaller particles with diameter of about 2-5 nm. The particles with the smallest diameters of both materials exhibit quantum size effect.

  14. Characterization of thin CeO{sub 2} films electrochemically deposited on HOPG

    Energy Technology Data Exchange (ETDEWEB)

    Faisal, Firas [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Toghan, Arafat, E-mail: arafat.toghan@yahoo.com [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Chemistry Department, Faculty of Science, South Valley University, 83523 Qena (Egypt); Khalakhan, Ivan; Vorokhta, Mykhailo; Matolin, Vladimír [Department of Surface and Plasma Science, Charles University in Prague, V Holešovičkách 747/2, 180 00 Prague 8 (Czech Republic); Libuda, Jörg [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Erlangen Catalysis Resource Center, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany)

    2015-09-30

    Graphical abstract: - Highlights: • Preparation of proton exchange membrane fuel cells catalyst using electrochemical thin film deposition. • Electrodeposition thin films of CeO{sub 2} on HOPG substrates. • The samples were characterized by in-situ AFM and ex-situ XPS. • XPS results reveal that the electrochemically deposited cerium oxide films are stoichiometric. • Exposing the films to ambient air, cracking structures are formed. - Abstract: Electrodeposition is widely used for industrial applications to deposit thin films, coatings, and adhesion layers. Herein, CeO{sub 2} thin films were deposited on a highly oriented pyrolytic graphite (HOPG) substrate by cathodic electrodeposition. The influence of the deposition parameters on the yield and on the film morphology is studied and discussed. Morphology and composition of the electrodeposited films were characterized by in-situ atomic force microscopy (AFM), scanning electron microscopy (SEM), Energy Dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). By AFM we show that the thickness of CeO{sub 2} films can be controlled via the Ce{sup 3+} concentration in solution and the deposition time. After exposing the films to ambient air, cracking structures are formed, which were analyzed by AFM in detail. The chemical composition of the deposits was analyzed by XPS indicating the formation of nearly stoichiometric CeO{sub 2}.

  15. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  16. Hybrid solar cells based on CuInS2 and organic buffer-sensitizer layers

    International Nuclear Information System (INIS)

    Bereznev, S.; Koeppe, R.; Konovalov, I.; Kois, J.; Guenes, S.; Opik, A.; Mellikov, E.; Sariciftci, N.S.

    2007-01-01

    Hybrid solar cells on the basis of CuInS 2 (CIS) photoabsorber on Cu-tape (CISCuT) in combination with organic buffer layers of Zn-phthalocyanine (ZnPc), ZnPc:fullerene (ZnPc:C 60 ) composite and conductive polymer buffer layers of poly(3,4-ethylenedioxythiophene) (PEDOT) doped with polystyrenesulfonate (PSS) were prepared using vacuum evaporation and spin-casting techniques. To prepare solar cells with an active area of 2 cm 2 , the appropriate deposition parameters and thickness of ZnPc, ZnPc:C 60 and PEDOT-PSS layers were selected experimentally. For preparation of semitransparent contact-window layers, chromium and gold were evaporated on the surface of ZnPc, ZnPc:C 60 and PEDOT-PSS films. It was found that an intermediate chromium layer improves PV properties of the structures with organic buffer layers. The photosensitivity at small illumination intensities of complete structures with ZnPc and ZnPc:C 60 layers increased more than one order of magnitude in comparison with the structures where the PEDOT-PSS buffer layer was deposited. The presence of C 60 in the composite-buffer layer results in increased photoconductivity. The best structure with composite ZnPc:C 60 buffer layer showed an open-circuit voltage of 560 mV, a short-circuit current density of around 10 mA/cm 2 and a photoconversion efficiency of around 3.3% under the light illumination with an intensity of 100 mW/cm 2 from a tungsten-halogen lamp. The low transmission of the semitransparent chromium-gold window layer is the reason for relatively low current density

  17. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  18. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  19. Plume-induced stress in pulsed-laser deposited CeO2 films

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Budai, J.D.; Pennycook, S.J.; Prouteau, C.

    1999-01-01

    Residual compressive stress due to plume-induced energetic particle bombardment in CeO 2 films deposited by pulsed-laser deposition is reported. For laser ablation film growth in low pressures, stresses as high as 2 GPa were observed as determined by substrate curvature and four-circle x-ray diffraction. The amount of stress in the films could be manipulated by controlling the kinetic energies of the ablated species in the plume through gas-phase collisions with an inert background gas. The film stress decreased to near zero for argon background pressures greater than 50 mTorr. At these higher background pressures, the formation of nanoparticles in the deposited film was observed. copyright 1999 American Institute of Physics

  20. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  1. One-pot synthesis of CuInS2 nanocrystals using different anions to engineer their morphology and crystal phase.

    Science.gov (United States)

    Tang, Aiwei; Hu, Zunlan; Yin, Zhe; Ye, Haihang; Yang, Chunhe; Teng, Feng

    2015-05-21

    A simple one-pot colloidal method has been described to engineer ternary CuInS2 nanocrystals with different crystal phases and morphologies, in which dodecanethiol is chosen as the sulfur source and the capping ligands. By a careful choice of the anions in the metal precursors and manipulation of the reaction conditions including the reactant molar ratios and the reaction temperature, CuInS2 nanocrystals with chalcopyrite, zincblende and wurtzite phases have been successfully synthesized. The type of anion in the metal precursors has been found to be essential for determining the crystal phase and morphology of the as-obtained CuInS2 nanocrystals. In particular, the presence of Cl(-) ions plays an important role in the formation of CuInS2 nanoplates with a wurtzite-zincblende polytypism structure. In addition, the molar ratios of Cu to In precursors have a significant effect on the crystal phase and morphology, and the intermediate Cu2S-CuInS2 heteronanostructures are formed which are critical for the anisotropic growth of CuInS2 nanocrystals. Furthermore, the optical absorption results of the as-obtained CuInS2 nanocrystals exhibit a strong dependence on the crystal phase and size.

  2. Transparent CuInS2/PMMA nanocomposites luminescent in the visible and NIR region

    International Nuclear Information System (INIS)

    Gugula, Krzysztof; Bredol, Michael

    2014-01-01

    Nanocomposites combining functional nanoparticles and transparent polymers allow for stabilization of filler properties over long periods of time while retaining transparency of the polymer matrix. Here we employ CuInS 2 /ZnS quantum dots (QDs), ternary visible- and NIR-emitting semiconductors as wavelength-tunable luminescent fillers. Luminescence in the near infrared (NIR) is of particular interest in medicine which allows deep penetration into human tissue enabling in vivo diagnostics and treatment, while visible emitters may serve as color converters in displays or lighting. To stabilize the optical properties of QDs and prevent agglomeration, polymethyl metacrylate (PMMA) was chosen as a matrix. These novel polymer nanocomposites (PNCs) show good optical properties and stability under ambient conditions, and can be easily deposited over large areas. High-quality QDs and hydrophobic functionalization with long-chain hydrocarbons are a prerequisite for embedding into a PMMA matrix. Transparent PNC films without visible scattering losses were obtained for 1 wt-% QD loading with respect to the polymer. Partial transparency is retained up to 10 wt-% QD loading and vanishes rapidly at higher loading. Luminescence properties increase up to 5 wt-% and then decrease rapidly due to QD agglomeration and reabsorption between adjacent particles. Potential applications include converter materials for medical applications, laser layers, displays and white LEDs. (orig.)

  3. Growth and process identification of CuInS 2 on GaP by chemical vapor deposition

    Science.gov (United States)

    Hwang, H. L.; Sun, C. Y.; Fang, C. S.; Chang, S. D.; Cheng, C. H.; Yang, M. H.; Lin, H. H.; Tuwan-Mu, H.

    1981-10-01

    Experimental techniques for growing CuInS 2 layers on GaP substrates by the metalorganic method have been developed. Hydrogen sulfide gas together with the vapors of CuCl( NCCH3) n and InCl3( NCCH3) both of which were generated by bubbling nitrogen through sources, using a solvent of acetonitride, were used as transport agents. Various characterization techniques such as atomic absorption (AA), neutron activation analysis (NAA), energy dispersive analysis by X-rays (EDAX), Rutherford back-scattering analysis (RBS), and X-ray analyses were used to help understand the fundamental mechanism of the CVD growth.

  4. Hot injection synthesis of Cu(In, Ga)Se2 nanocrystals with tunable bandgap

    Science.gov (United States)

    Latha, M.; Aruna Devi, R.; Velumani, S.

    2018-05-01

    CuIn1-xGaxSe2 nanocrystals (CIGSe NCs) were synthesized with different gallium (Ga) content by the hot injection process at low reaction temperature for the first time. The Ga content [x = Ga(In + Ga)] was varied such as 0, 0.25, 0.50 and 0.75 to study their influences on the structural, morphological, compositional and optical properties of CIGSe NCs. X-ray diffraction (XRD) analysis showed the peak shift towards higher 2θ angle. The lattice parameters a and c were decreased linearly as x value increases which propitiated Vegard's law. Transmission electron microscopy (TEM) analysis revealed a decrease in the particle size from 55 to 22 nm. Ultraviolet-visible-near infrared (UV-vis-NIR) absorption spectra indicated a blue shift towards the lower wavelength and bandgap was tuned from 1.04 to 1.41eV. Apart from this, CIGSe thin films were prepared by doctor blade coating method followed by annealing under Se/Ar atmosphere. The mobility of CIGSe thin film increased whereas resistivity decreased. Moreover, the photoconductivity of CIGSe annealed thin film exhibited almost 2-fold increase under an illumination of light. We realize from these results that the synthesized CIGSe NCs with x = 0.25 is expected to have the important perspective to be efficiently exploited as an absorber layer in cost-effective thin film solar cells.

  5. Proposed suitable electron reflector layer materials for thin-film CuIn1-xGaxSe2 solar cells

    Science.gov (United States)

    Sharbati, Samaneh; Gharibshahian, Iman; Orouji, Ali A.

    2018-01-01

    This paper investigates the electrical properties of electron reflector layer to survey materials as an electron reflector (ER) for chalcopyrite CuInGaSe solar cells. The purpose is optimizing the conduction-band and valence-band offsets at ER layer/CIGS junction that can effectively reduce the electron recombination near the back contact. In this work, an initial device model based on an experimental solar cell is established, then the properties of a solar cell with electron reflector layer are physically analyzed. The electron reflector layer numerically applied to baseline model of thin-film CIGS cell fabricated by ZSW (efficiency = 20.3%). The improvement of efficiency is achievable by electron reflector layer materials with Eg > 1.3 eV and -0.3 AsS4 as well as CuIn1-xGaxSe (x > 0.5) are efficient electron reflector layer materials, so the potential improvement in efficiency obtained relative gain of 5%.

  6. Photoemission Spectroscopy Characterization of Attempts to Deposit MoO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Irfan

    2011-01-01

    Full Text Available Attempts to deposit molybdenum dioxide (MoO2 thin films have been described. Electronic structure of films, deposited by thermal evaporation of MoO2 powder, had been investigated with ultraviolet photoemission and X-ray photoemission spectroscopy (UPS and XPS. The thermally evaporated films were found to be similar to the thermally evaporated MoO3 films at the early deposition stage. XPS analysis of MoO2 powder reveals presence of +5 and +6 oxidation states in Mo 3d core level along with +4 state. The residue of MoO2 powder indicates substantial reduction in higher oxidation states while keeping +4 oxidation state almost intact. Interface formation between chloroaluminum phthalocyanine (AlPc-Cl and the thermally evaporated film was also investigated.

  7. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  8. Aerosol deposition of Ba0.8Sr0.2TiO3 thin films

    Directory of Open Access Journals (Sweden)

    Branković Zorica

    2009-01-01

    Full Text Available In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0 or Si covered by platinum (Pt (1 1 1 /Ti/SiO2/Si. Investigation showed that the best films were obtained at substrate temperature of 85ºC. After deposition films were slowly heated up to 650ºC, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.

  9. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  10. Influence of laser wavelength on the laser induced breakdown spectroscopy measurement of thin CuIn1−xGaxSe2 solar cell films

    International Nuclear Information System (INIS)

    Kim, Chan Kyu; In, Jung Hwan; Lee, Seok Hee; Jeong, Sungho

    2013-01-01

    Laser induced breakdown spectroscopy (LIBS) measurement of thin CuIn x Ga 1−x Se 2 (CIGS) films (1.2–1.9 μm) with varying Ga to In ratios was carried out using the fundamental (1064 nm) and second harmonic (532 nm) wavelength Nd:YAG lasers (τ = 5 ns, spot diameter = 150 μm, top-hat profile) in air. The concentration ratios of Ga to In, x Ga ≡ Ga/(Ga + In), of the CIGS samples ranged from 0.027 to 0.74 for which the band gap varied nearly proportionally to x Ga from 0.96 to 1.42. It was found that the LIBS signal of 1064 nm (1.17 eV) wavelength laser was significantly influenced by x Ga , whereas that of the 532 nm (2.34 eV) laser was consistent for all values of x Ga . The observed dependency of the LIBS signal intensity on the laser wavelength was attributed to the large difference of photon energy of the two wavelengths that changed the absorption of incident laser energy by the film. The 532 nm wavelength was found to be advantageous for multi-shot analysis that enabled depth profile analysis of the thin CIGS films and for improving measurement precision by averaging the multi-shot LIBS spectra. - Highlights: • The ablation characteristics of CIGS solar cell films change drastically with laser wavelength. • The LIBS signal intensity of 1064 nm wavelength laser depends strongly on Ga concentration. • Multi-shot LIBS analysis using a 532 nm laser is more advantageous for accuracy and consistency

  11. Progress in the deposition of MgB2 thin films

    International Nuclear Information System (INIS)

    Xi, X X; Pogrebnyakov, A V; Zeng, X H; Redwing, J M; Xu, S Y; Li, Qi; Liu, Zi-Kui; Lettieri, J; Vaithyanathan, V; Schlom, D G; Christen, H M; Zhai, H Y; Goyal, A

    2004-01-01

    An MgB 2 thin film deposition technology is the first critical step in the development of superconducting electronics utilizing the 39 K superconductor. It turned out to be a challenging task due to the volatility of Mg and phase stability of MgB 2 , the low sticking coefficients of Mg at elevated temperatures, and the reactivity of Mg with oxygen. A brief overview of current deposition techniques is provided here from a thermodynamic perspective, with an emphasis on a very successful technique for high quality in situ epitaxial MgB 2 films, the hybrid physical-chemical vapour deposition. Examples of heterostructures of MgB 2 with other materials are also presented

  12. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  13. Characterization of chemically deposited Ag/sub 2/S thin films

    International Nuclear Information System (INIS)

    Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.

    2001-01-01

    Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)

  14. Chemically deposited Sb2S3 thin films for optical recording

    International Nuclear Information System (INIS)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B; O'Brien, J J; Liu, J

    2010-01-01

    Laser induced changes in the properties of Sb 2 S 3 thin films prepared by chemical bath deposition are described in this paper. Sb 2 S 3 thin films of thickness 550 nm were deposited from a solution containing SbCl 3 and Na 2 S 2 O 3 at 27 0 C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  15. Chalcopyrite CuInSe2 films prepared by reactive sputtering

    International Nuclear Information System (INIS)

    Lommasson, T.C.; Burnett, A.F.; Chou, L.H.; Thornton, J.A.; Kim, M.

    1987-01-01

    Polycrystalline films of CuInSe 2 have been prepared on glass substrates by reactive cosputtering from Cu and In planar magnetron targets using Ar-H 2 Se as a working gas. The film compositions were close to the Cu 2 Se-In 2 Se 3 tie-line of the ternary phase diagram with Cu/In ratios ranging from 0.75 to about 2. This paper reports on measurements of the structural, electronic and optical properties of the films. The coatings on the Cu-rich side of the stoichiometric composition were characterized by a columnar structure with an uneven surface topography

  16. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  17. Laser damage properties of TiO2/Al2O3 thin films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wei Yaowei; Liu Hao; Sheng Ouyang; Liu Zhichao; Chen Songlin; Yang Liming

    2011-01-01

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO 2 /Al 2 O 3 films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the films deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm Φ samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO 2 /Al 2 O 3 films, the LIDTs were 6.73±0.47 J/cm 2 and 6.5±0.46 J/cm 2 at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.

  18. Potential effect of CuInS2/ZnS core-shell quantum dots on P3HT/PEDOT:PSS heterostructure based solar cell

    Science.gov (United States)

    Jindal, Shikha; Giripunje, S. M.

    2018-07-01

    Nanostructured quantum dots (QDs) are quite promising in the solar cell application due to quantum confinement effect. QDs possess multiple exciton generation and large surface area. The environment friendly CuInS2/ZnS core-shell QDs were prepared by solvothermal method. Thus, the 3 nm average sized CuInS2/ZnS QDs were employed in the bulk heterojunction device and the active blend layer consisting of the P3HT and CuInS2/ZnS QDs was investigated. The energy level information of CuInS2/ZnS QDs as an electron acceptor was explored by ultra violet photoelectron spectroscopy. Bulk heterojunction hybrid device of ITO/PEDOT:PSS/P3HT: (CuInS2/ZnS QDs)/ZnO/Ag was designed by spin coating approach and its electrical characterization was investigated by solar simulator. Current density - voltage characteristics shows the enhancement in power conversion efficiency with increasing concentration of CuInS2/ZnS QDs in bulk heterojunction device.

  19. Estudio de la reaccion de sulfurizacion de precursores Cu/In para la formacion de capas delgadas policristalinas de CuInS2 para celulas solares

    OpenAIRE

    Barcones Campo, Beatriz; Álvarez García, Jacobo; Calvo-Barrio, L.; Pérez Rodríguez, Alejandro; Romano Rodríguez, Alberto; Morante i Lleonart, Joan Ramon; Scheer, R.; Klenk, R.; Pietzker, Ch.

    2004-01-01

    En este trabajo se presenta un estudio detallado de los procesos implicados en la sulfurización de capas metálicas de Cu-In para la fabricación de células solares de CuInS2. Con este objeto, se ha desarrollado un experimento de sulfurización parcial de las capas, que han sido sometidas posteriormente a un tratamiento de selenización. El estudio de estas estructuras mediante Espectroscopía Raman y Espectroscopía de Electrones Auger (AES) ha permitido conocer algunos de los detalles de la reacc...

  20. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  1. Undoped TiO2 and nitrogen-doped TiO2 thin films deposited by atomic layer deposition on planar and architectured surfaces for photovoltaic applications

    International Nuclear Information System (INIS)

    Tian, Liang; Soum-Glaude, Adurey; Volpi, Fabien; Salvo, Luc; Berthomé, Grégory; Coindeau, Stéphane; Mantoux, Arnaud; Boichot, Raphaël; Lay, Sabine; Brizé, Virginie; Blanquet, Elisabeth; Giusti, Gaël; Bellet, Daniel

    2015-01-01

    Undoped and nitrogen doped TiO 2 thin films were deposited by atomic layer deposition on planar substrates. Deposition on 3D-architecture substrates made of metallic foams was also investigated to propose architectured photovoltaic stack fabrication. All the films were deposited at 265 °C and nitrogen incorporation was achieved by using titanium isopropoxide, NH 3 and/or N 2 O as precursors. The maximum nitrogen incorporation level obtained in this study was 2.9 at. %, resulting in films exhibiting a resistivity of 115 Ω cm (+/−10 Ω cm) combined with an average total transmittance of 60% in the 400–1000 nm wavelength range. Eventually, TiO 2 thin films were deposited on the 3D metallic foam template

  2. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  3. MAPLE deposition and characterization of SnO2 colloidal nanoparticle thin films

    International Nuclear Information System (INIS)

    Caricato, A P; Martino, M; Romano, F; Tunno, T; Valerini, D; Epifani, M; Rella, R; Taurino, A

    2009-01-01

    In this paper we report on the deposition and characterization of tin oxide (SnO 2 ) nanoparticle thin films. The films were deposited by the matrix-assisted pulsed laser evaporation (MAPLE) technique. SnO 2 colloidal nanoparticles with a trioctylphosphine capping layer were diluted in toluene with a concentration of 0.2 wt% and frozen at liquid nitrogen temperature. The frozen target was irradiated with a KrF (248 nm, τ = 20 ns) excimer laser (6000 pulses at 10 Hz). The nanoparticles were deposited on silica (SiO 2 ) and (1 0 0) Si substrates and submitted to morphological (high resolution scanning electron microscopy (SEM)), structural Fourier transform infrared spectroscopy (FTIR) and optical (UV-Vis transmission) characterizations. SEM and FTIR analyses showed that trioctylphosphine was the main component in the as-deposited films. The trioctylphosphine was removed after an annealing in vacuum at 400 0 C, thus allowing to get uniform SnO 2 nanoparticle films in which the starting nanoparticle dimensions were preserved. The energy gap value, determined by optical characterizations, was 4.2 eV, higher than the bulk SnO 2 energy gap (3.6 eV), due to quantum confinement effects.

  4. Annealing of TiO2 Films Deposited on Si by Irradiating Nitrogen Ion Beams

    International Nuclear Information System (INIS)

    Yokota, Katsuhiro; Yano, Yoshinori; Miyashita, Fumiyoshi

    2006-01-01

    Thin TiO2 films were deposited on Si at a temperature of 600 deg. C by an ion beam assisted deposition (IBAD) method. The TiO2 films were annealed for 30 min in Ar at temperatures below 700 deg. C. The as-deposited TiO2 films had high permittivities such 200 εo and consisted of crystallites that were not preferentially oriented to the c-axis but had an expanded c-axis. On the annealed TiO2 films, permittivities became lower with increasing annealing temperature, and crystallites were oriented preferentially to the (110) plane

  5. Effects of filtered cathodic vacuum arc deposition (FCVAD) conditions on photovoltaic TiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Aramwit, C. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Bootkul, D. [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Supsermpol, B.; Seanphinit, N. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Western Digital Thailand Co. Ltd., Ayutthaya 13160 (Thailand); Ruangkul, W. [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Highlights: • Titanium dioxide films were synthesized using the FCVAD technique. • Various FCVAD conditions were tested. • The TiO{sub 2} films were characterized. • The FCVAD condition effects on the film characteristics were studied. • The O{sub 2} pressure had the most important effect on the film quality. - Abstract: Titanium dioxide (TiO{sub 2}) films for photovoltaic applications were synthesized using filtered cathodic vacuum arc deposition (FCVAD) technique. Various deposition conditions were tested for an optimal film formation. The conditions included the oxygen (O{sub 2}) pressure which was varied from a base pressure 10{sup −5} to 10{sup −4}, 10{sup −3}, 10{sup −2} and 10{sup −1} Torr, sample holder bias varied using 0 or −250 V, deposition time varied from 10, 20 to 30 min, and deposition distance varied from 1 to 3 cm. The deposited films were also annealed and compared with unannealed ones. The films under various conditions were characterized using optical microscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), energy-dispersive X-ray spectroscopy (EDS) and Raman spectroscopy techniques. The film transparency increased and thickness decreased to a nanoscale with increasing of the O{sub 2} pressure. The transparent deposited films contained stoichiometric titanium and oxygen under the medium O{sub 2} pressure. The as-deposited films were TiO{sub 2} containing some rutile but no anatase which needed annealing to form.

  6. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  7. Structural, optical and electrical properties of CuInS{sub 2} thin films prepared by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Terasako, Tomoaki; Uno, Yuji; Inoue, Seiki; Shirakata, Sho [Faculty of Engineering, Ehime University, 3 Bunkyo-cho, Matsuyama 780-8577 (Japan); Kariya, Tetsuya [Faculty of Science, Kochi University, Akebono-cho, Kochi, 780-8072 (Japan)

    2006-09-15

    Polycrystalline CuInS{sub 2} thin films were prepared by chemical spray pyrolisis (CSP) on glass substrate from the ethanol aqueous solution containing CuCl{sub 2}, InCl{sub 3} and thiourea. Structural, electrical and optical properties were systematically studied in terms of substrate temperature, pH and the ion ratio (Cu/In) of the spray solution. Although the In-rich films were composed of CuInS{sub 2} and In{sub 2}S{sub 3}, the In{sub 2}S{sub 3} content in the film decreased with Cu/In ratio. Appearance of Raman peaks at 288 and 298 cm{sup -1} indicated that the films contained CuInS{sub 2} with chalcopyrite and CuAu phases. Typical grain size in the Cu-rich films was 200 nm. Optical gap energies were approximately 0.1-0.2eV smaller than the bandgap energy of the CuInS{sub 2} bulk crystal. Resistivity of the Cu-rich films without In{sub 2}S{sub 3} secondary phase was 0.2-5 {omega}cm. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Cu and Cu2O films with semi-spherical particles grown by electrochemical deposition

    International Nuclear Information System (INIS)

    Zheng, Jin You; Jadhav, Abhijit P.; Song, Guang; Kim, Chang Woo; Kang, Young Soo

    2012-01-01

    Cu and Cu 2 O films can be prepared on indium-doped tin oxide glass substrates by simple electrodeposition in a solution containing 0.1 M Cu(NO 3 ) 2 and 3 M lactic acid at different pH values. At low pH (pH = 1.2), the uniform Cu films were obtained; when pH ≥ 7, the pure Cu 2 O films can be deposited. Especially, at pH = 11, the deposited Cu 2 O films exhibited cubic surface morphology exposing mainly {100} plane; in contrast, the films consisting of semi-spherical particles were obtained when the solution was being stirred for 2 weeks prior to use. The possible growth process and mechanism were comparatively discussed. - Highlights: ► Cu and Cu 2 O films were prepared by facile electrodeposition. ► Electrodeposition was preformed in electrolyte at different pH values. ► Dendritic Cu films were obtained at 1.2 pH with relatively high deposition potential. ► Semi-spherical Cu 2 O films were obtained with solution at 11 pH and stirred for 2 weeks. ► The possible growth mechanism of semi-spherical Cu 2 O films was discussed.

  9. High-throughput combinatorial chemical bath deposition: The case of doping Cu (In, Ga) Se film with antimony

    Science.gov (United States)

    Yan, Zongkai; Zhang, Xiaokun; Li, Guang; Cui, Yuxing; Jiang, Zhaolian; Liu, Wen; Peng, Zhi; Xiang, Yong

    2018-01-01

    The conventional methods for designing and preparing thin film based on wet process remain a challenge due to disadvantages such as time-consuming and ineffective, which hinders the development of novel materials. Herein, we present a high-throughput combinatorial technique for continuous thin film preparation relied on chemical bath deposition (CBD). The method is ideally used to prepare high-throughput combinatorial material library with low decomposition temperatures and high water- or oxygen-sensitivity at relatively high-temperature. To check this system, a Cu(In, Ga)Se (CIGS) thin films library doped with 0-19.04 at.% of antimony (Sb) was taken as an example to evaluate the regulation of varying Sb doping concentration on the grain growth, structure, morphology and electrical properties of CIGS thin film systemically. Combined with the Energy Dispersive Spectrometer (EDS), X-ray Photoelectron Spectroscopy (XPS), automated X-ray Diffraction (XRD) for rapid screening and Localized Electrochemical Impedance Spectroscopy (LEIS), it was confirmed that this combinatorial high-throughput system could be used to identify the composition with the optimal grain orientation growth, microstructure and electrical properties systematically, through accurately monitoring the doping content and material composition. According to the characterization results, a Sb2Se3 quasi-liquid phase promoted CIGS film-growth model has been put forward. In addition to CIGS thin film reported here, the combinatorial CBD also could be applied to the high-throughput screening of other sulfide thin film material systems.

  10. High-rate deposition of photocatalytic TiO2 films by oxygen plasma assist reactive evaporation method

    International Nuclear Information System (INIS)

    Sakai, Tetsuya; Kuniyoshi, Yuji; Aoki, Wataru; Ezoe, Sho; Endo, Tatsuya; Hoshi, Yoichi

    2008-01-01

    High-rate deposition of titanium dioxide (TiO 2 ) film was attempted using oxygen plasma assisted reactive evaporation (OPARE) method. Photocatalytic properties of the film were investigated. During the deposition, the substrate temperature was fixed at 400 deg. C. The film deposition rate can be increased by increasing the supply of titanium atoms to the substrate, although oversupply of the titanium atoms causes oxygen deficiency in the films, which limits the deposition rate. The film structure depends strongly on the supply ratio of oxygen molecules to titanium atoms O 2 /Ti and changes from anatase to rutile structure as the O 2 /Ti supply ratio increased. Consequently, the maximum deposition rates of 77.0 nm min -1 and 145.0 nm min -1 were obtained, respectively, for the anatase and rutile film. Both films deposited at such high rates showed excellent hydrophilicity and organic decomposition performance. Even the film with rutile structure deposited at 145.0 nm min -1 had a contact angle of less than 2.5 deg. by UV irradiation for 5.0 h and an organics-decomposition performance index of 8.9 [μmol l -1 min -1 ] for methylene blue

  11. Low-temperature atomic layer deposition of MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Jurca, Titel; Wang, Binghao; Tan, Jeffrey M.; Lohr, Tracy L.; Marks, Tobin J. [Department of Chemistry and the Materials Research Center, Northwestern University, Evanston, IL (United States); Moody, Michael J.; Henning, Alex; Emery, Jonathan D.; Lauhon, Lincoln J. [Department of Materials Science and Engineering, and the Materials Research Center, Northwestern University, Evanston, IL (United States)

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe{sub 2}){sub 4} with H{sub 2}S for the low-temperature synthesis of MoS{sub 2}. This observation motivated an investigation of Mo(NMe{sub 2}){sub 4} as a volatile precursor for the atomic layer deposition (ALD) of MoS{sub 2} thin films. Herein we report that Mo(NMe{sub 2}){sub 4} enables MoS{sub 2} film growth at record low temperatures - as low as 60 C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Formation of uniform carrot-like Cu31S16-CuInS2 heteronanostructures assisted by citric acid at the oil/aqueous interface.

    Science.gov (United States)

    Li, Yongjie; Tang, Aiwei; Liu, Zhenyang; Peng, Lan; Yuan, Yi; Shi, Xifeng; Yang, Chunhe; Teng, Feng

    2018-01-07

    A simple two-phase strategy was developed to prepare Cu 31 S 16 -CuInS 2 heterostructures (HNS) at the oil/aqueous interface, in which the In(OH) 3 phase was often obtained in the products due to the reaction between indium ions and hydroxyl ions in the aqueous phase. To prevent the formation of the In(OH) 3 phase, citric acid was incorporated into the aqueous phase to assist in the synthesis of uniform carrot-like Cu 31 S 16 -CuInS 2 semiconductor HNS at the oil/aqueous interface for the first time. By manipulating the dosage of citric acid and Cu/In precursor ratios, the morphology of the Cu 31 S 16 -CuInS 2 HNS could be tailored from mushroom to carrot-like, and the presence of citric acid played a critical role in the synthesis of high-quality Cu 31 S 16 -CuInS 2 HNS, which inhibited the formation of the In(OH) 3 phase due to the formation of the indium(iii)-citric acid complex. The formation mechanism was studied by monitoring the morphology and phase evolution of the Cu 31 S 16 -CuInS 2 HNS with reaction time, which revealed that the Cu 31 S 16 seeds were first formed and then the cation-exchange reaction directed the subsequent anisotropic growth of the Cu 31 S 16 -CuInS 2 HNS.

  13. Small GSH-Capped CuInS2 Quantum Dots: MPA-Assisted Aqueous Phase Transfer and Bioimaging Applications.

    Science.gov (United States)

    Zhao, Chuanzhen; Bai, Zelong; Liu, Xiangyou; Zhang, Yijia; Zou, Bingsuo; Zhong, Haizheng

    2015-08-19

    An efficient ligand exchange strategy for aqueous phase transfer of hydrophobic CuInS2/ZnS quantum dots was developed by employing glutathione (GSH) and mercaptopropionic acid (MPA) as the ligands. The whole process takes less than 20 min and can be scaled up to gram amount. The material characterizations show that the final aqueous soluble samples are solely capped with GSH on the surface. Importantly, these GSH-capped CuInS2/ZnS quantum dots have small size (hydrodynamic diameter quantum dots, for instance, CuInSe2 and CdSe/ZnS quantum dots. We further demonstrated that GSH-capped quantum dots could be suitable fluorescence markers to penetrate cell membrane and image the cells. In addition, the GSH-capped CuInS2 quantum dots also have potential use in other fields such as photocatalysis and quantum dots sensitized solar cells.

  14. Morphology and growth behavior of O_2-free chemical bath deposited ZnS thin films

    International Nuclear Information System (INIS)

    Jet Meitzner, K.; Tillotson, Brock M.; Siedschlag, Amanda T.; Moore, Frederick G.; Kevan, Stephen D.; Richmond, Geraldine L.

    2015-01-01

    We investigate the role of reagent concentrations and ambient O_2 on the morphology and growth behavior of ZnS thin films grown with the chemical bath deposition method. We investigate the role of substrate on film morphology, and find significant differences between films deposited on SiO_2 versus Si. The films are also sensitive to dissolved O_2 in the bath, as it causes a layer of SiO_2 to form at the ZnS/Si interface during deposition. Degassing of solutions and an N_2 atmosphere are effective to minimize this oxidation, allowing deposition of ZnS films directly onto Si. Under these conditions, we examine film properties as they relate to reagent bath concentrations. As the reagent concentrations are decreased, both the film roughness and growth rate decrease linearly. We also observe deformation and shifting of X-ray diffraction peaks that increases with decreasing reagent concentrations. The shifts are characteristic of lattice compression (caused by the substitution of oxygen for sulfur), and the deformation is characteristic of distortion of the lattice near crystal grain interfaces (caused by tensile stress from interatomic forces between neighboring crystal grains). At the weakest concentrations, the low roughness suggests a mixed growth mode in which both clusters and individual ZnS nanocrystallites contribute to film growth. With increasing reagent concentrations, the growth mode shifts and becomes dominated by deposition of clusters. - Highlights: • We deposit ZnS thin films by chemical bath deposition in an O_2-free environment. • The O_2-free environment is effective to minimize oxidation of the Si substrate. • The dominant growth mechanism changes with reagent concentrations. • Film morphology and composition change with reagent concentrations. • X-ray diffraction reveals tensile stress between ZnS crystal grains.

  15. Ion assistance effects on electron beam deposited MgF sub 2 films

    CERN Document Server

    Alvisi, M; Della Patria, A; Di Giulio, M; Masetti, E; Perrone, M R; Protopapa, M L; Tepore, A

    2002-01-01

    Thin films of MgF sub 2 have been deposited by the ion-assisted electron-beam evaporation technique in order to find out the ion beam parameters leading to films of high laser damage threshold whose optical properties are stable under uncontrolled atmosphere conditions. It has been found that the ion-assisted electron-beam evaporation technique allows getting films with optical properties (refraction index and extinction coefficient) of high environmental stability by properly choosing the ion-source voltage and current. But, the laser damage fluence at 308 nm was quite dependent on the assisting ion beam parameters. Larger laser damage fluences have been found for the films deposited by using assisting ion beams delivered at lower anode voltage and current values. It has also been found that the films deposited without ion assistance were characterized by the highest laser damage fluence (5.9 J/cm sup 2) and the lowest environmental stability. The scanning electron microscopy analysis of the irradiated areas...

  16. Structure and photoelectrochemistry of silver-copper-indium-diselenide ((AgCu)InSe2) thin film

    Science.gov (United States)

    Zhang, Lin Rui; Li, Tong; Wang, Hao; Pang, Wei; Chen, Yi Chuan; Song, Xue Mei; Zhang, Yong Zhe; Yan, Hui

    2018-02-01

    In this work, silver (Ag) precursors with different thicknesses were sputtered on the surfaces of CuIn alloys, and (AgCu)InSe2 (ACIS) films were formed after selenization at 550 °C under nitrogen condition using a rapid thermal process furnace. The structure and electrical properties of the ACIS films were investigated. The result showed that the distribution of Ag+ ion was more uniform with increasing the thickness of Ag precursor, and the surface of the thin-film became more homogeneous and denser. When Ag/Cu ratio ≥0.249, the small grain particles disappeared. The band gap can be rationally controlled by adjusting Ag content. When (Ag + Cu)/In ratio ≥ 1.15, the surface of the ACIS thin-film mainly exhibited n-type semiconductor. Through the photoelectrochemistry measurement, it was observed that the incorporation of Ag+ ions could improve photocurrent by adjusting the band gap. With the Ag precursor thickness increased, the dark current decreased at the more negative potential.

  17. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    Science.gov (United States)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  18. Photoluminescence in Spray Pyrolysis Deposited β-In2S3 Thin Films

    Science.gov (United States)

    Jayakrishnan, R.

    2018-04-01

    Spray pyrolysis deposited In2S3 thin films exhibit two prominent photoluminescent emissions. One of the emissions is green in color and centered at around ˜ 540 nm and the other is centered at around ˜ 690 nm and is red in color. The intensity of the green emission decreases when the films are subjected to annealing in air or vacuum. The intensity of red emission increases when films are air annealed and decreases when vacuum annealed. Vacuum annealing leads to an increase in work function whereas air annealing leads to a decrease in work function for this thin film system relative to the as deposited films indicating changes in space charge regions. Surface photovoltage analysis using a Kelvin probe leads to the conclusion that inversion of band bending occurs as a result of annealing. Correlating surface contact potential measurements using a Kelvin probe, x-ray photoelectron spectroscopy and photoluminescence, we conclude that the surface passivation plays a critical role in controlling the photoluminescence from the spray pyrolysis deposited for In2S3 thin films.

  19. Plasma enhanced chemical vapor deposition of ZrO2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, Kolandaivelu [Iowa State Univ., Ames, IA (United States)

    1993-12-09

    Amorphous ZrO2 thin films were deposited in an inductively coupled PECVD system using a Zr β-diketonate, Zr(C11H19O2)4, as the precursor. The deposits were air annealed at 900C for 5 min to get pure, single phase, oriented, polycrystalline α-ZrO2. Feasibility of using 2 different types of reactors was investigated. The inductively heated horizontal reactor depositions at 600C had a lower deposition rate and the films were non-uniform in thickness with a columnar structure. The resistively heated vertical reactor depositions at 350C had a higher deposition rate and the films were more uniform in thickness with a fine grained microstructure. The statistical design was demonstrated as an effective technique to analyze the effect of process conditions on the rate of deposition and relative (h00) orientation. The factorial design was used to quantify the two responses in terms of the process variables and their mutual interactions. The statistical design for rate of deposition was found to correlate with the trends observed in classical design.

  20. Fabrication and characterization of In2S3 thin films deposited by thermal evaporation technique

    International Nuclear Information System (INIS)

    Timoumi, A.; Bouzouita, H.; Kanzari, M.; Rezig, B.

    2005-01-01

    Indium sulphide, In 2 S 3 , thin films present an alternative to conventional CdS films as buffer layer for CIS-based thin film solar cells. The objective is to eliminate toxic cadmium for environmental reasons. Indium sulphide is synthesized and deposited by single source vacuum thermal evaporation method on glass substrates. The films are analyzed by X-ray diffraction (XRD) and spectrophotometric measurements. They have a good crystallinity, homogeneity and adhesion. The X-ray diffraction analysis confirmed the initial amorphous nature of the deposited InS film and phase transition into crystalline In 2 S 3 formed upon annealing at free air for 250 deg. C substrate temperature for 2 h. The optical constants of the deposited films were obtained from the analysis of the experimental recorded transmission and reflectance spectral data over the wavelength range of 300-1800 nm. We note that the films annealed at 250 deg. C for 2 h show a good homogeneity with 80% transmission. An analysis of the optical absorption data of the deposited films revealed an optical direct band gap energy in the range of 2.0-2.2 eV

  1. Rapid processing method for solution deposited YBa2Cu3O7-δ thin films

    International Nuclear Information System (INIS)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P.

    2004-01-01

    YBa 2 Cu 3 O 7-δ (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm 2 current density (J c ) YBCO films, from multiple hours to ∼20 s in atmospheric pressure air. High quality, ∼0.2 μm thick YBCO films with J c (77 K) values ≥2 MA/cm 2 at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO 3 . This process has also enabled J c (77 K)=1.1 MA/cm 2 YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable ∼$10/kA m solution deposited YBCO coated conductor wires

  2. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  3. On the structure, morphology, and optical properties of chemical bath deposited Sb2S3 thin films

    International Nuclear Information System (INIS)

    Krishnan, B.; Arato, A.; Cardenas, E.; Roy, T.K. Das; Castillo, G.A.

    2008-01-01

    In the present paper, we have reported the room temperature growth of antimony sulphide (Sb 2 S 3 ) thin films by chemical bath deposition and detailed characterization of these films. The films were deposited from a chemical bath containing SbCl 3 and Na 2 S 2 O 3 at 27 deg. C. We have analysed the structure, morphology, composition and optical properties of as deposited Sb 2 S 3 films as well as those subjected to annealing in nitrogen atmosphere or in air. As-deposited films are amorphous to X-ray diffraction (XRD). However, the diffused rings in the electron diffraction pattern revealed the existence of nanocrystalline grains in these films. XRD analysis showed that upon annealing in nitrogen atmosphere these films transformed into polycrystalline with orthorhombic structure. Also, we have observed that during heating in air, Sb 2 S 3 first converts into orthorhombic form and then further heating results in the formation of Sb 2 O 3 crystallites. Optical bandgap energy of as deposited and annealed films was evaluated from UV-vis absorption spectra. The values obtained were 2.57 and 1.73 eV for the as-deposited and the annealed films respectively

  4. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  5. Influence of solution deposition rate on properties of V_2O_5 thin films deposited by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Abd–Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-01-01

    Vanadium oxide (V_2O_5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl_3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V_2O_5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  6. Potentiodynamical deposition of nanostructured MnO2 film at the assist of electrodeposited SiO2 as template

    International Nuclear Information System (INIS)

    Wu, Lian-Kui; Xia, Jie; Hou, Guang-Ya; Cao, Hua-Zhen; Tang, Yi-Ping; Zheng, Guo-Qu

    2016-01-01

    Highlights: • MnO 2 -SiO 2 composite film is prepared by potentiodynamical deposition. • Hierarchical porous MnO 2 films is obtained after the etching of SiO 2 . • The obtained MnO 2 film electrode exhibit high specific capacitance. - Abstract: We report a novel silica co-electrodeposition route to prepare nanostructured MnO 2 films. Firstly, MnO 2 -SiO 2 composite film was fabricated on a stainless steel substrate by potentiodynamical deposition, i.e. cyclic deposition, and then the SiO 2 template was removed by simple immersion in concentrated alkaline solution, leading to the formation of a porous MnO 2 (po-MnO 2 ) matrix. The structure and morphology of the obtained films were characterized using Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The electrochemical properties of the po-MnO 2 film were evaluated by cyclic voltammetry (CV), galvanostatic charge–discharge (GCD) and electrochemical impedance spectroscopy (EIS). Results showed that this porous MnO 2 derived from the MnO 2 -SiO 2 composite film exhibits good electrochemical performance for potential use as a supercapacitor material.

  7. Preparation of CuIn1-xGaxS2 (x = 0.5) flowers consisting of nanoflakes via a solvothermal method

    International Nuclear Information System (INIS)

    Liang Xiaojuan; Zhong Jiasong; Yang Fan; Hua Wei; Jin Huaidong; Liu Haitao; Sun Juncai; Xiang Weidong

    2011-01-01

    Highlights: → We report for the first time a small biomolecule-assisted route using L-cysteine as sulfur source and complexing agent to synthesis CuIn 0.5 Ga 0.5 S 2 crystals. → The possible mechanisms leading to CuIn 0.5 Ga 0.5 S 2 flowers consisting of nanoflakes were proposed. → In addition, the morphology, structure, and phase composition of the as-prepared CuIn 0.5 Ga 0.5 S 2 products were investigated in detail by XRD, FESEM, EDS, XPS, TEM (HRTEM) and SAED. - Abstract: CuIn 1-x Ga x S 2 (x = 0.5) flowers consisting of nanoflakes were successfully prepared by a biomolecule-assisted solvothermal route at 220 deg. C for 10 h, employing copper chloride, gallium chloride, indium chloride and L-cysteine as precursors. The biomolecule L-cysteine acting as sulfur source was found to play a very important role in the formation of the final product. The diameter of the CuIn 0.5 Ga 0.5 S 2 flowers was 1-2 μm, and the thickness of the flakes was about 15 nm. The obtained products were characterized by X-ray diffraction (XRD), energy dispersion spectroscopy (EDS), X-ray photoelectron spectroscopy (XPS), field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM), selected area electron diffraction spectroscopy (SAED), and UV-vis absorption spectroscopy. The influences of the reaction temperature, reaction time, sulfur source and the molar ratio of Cu-to-L-cysteine (reactants) on the formation of the target compound were investigated. The formation mechanism of the CuIn 0.5 Ga 0.5 S 2 flowers consisting of flakes was discussed.

  8. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Rachel L. Wilson

    2018-03-01

    Full Text Available Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes, at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  9. The impact of twinning on the local texture of chalcopyrite-type thin films

    International Nuclear Information System (INIS)

    Abou-Ras, Daniel; Pantleon, Karen

    2007-01-01

    Twinning in a CuInS 2 layer in a completed thin-film solar cell was analyzed by means of electron backscatter diffraction. This technique revealed the microstructure of the CuInS 2 thin films and local orientation relationships between the grains. At various locations within the layer it was possible to retrace how twinning occurred comparing the local orientations with the theoretically possible changes in orientation by twinning. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) EBSD map of a CuInS 2 cross-section with Σ3 boundaries highlighted by red lines. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  11. Atomic layer deposition and properties of mixed Ta2O5 and ZrO2 films

    Directory of Open Access Journals (Sweden)

    Kaupo Kukli

    2017-02-01

    Full Text Available Thin solid films consisting of ZrO2 and Ta2O5 were grown by atomic layer deposition at 300 °C. Ta2O5 films doped with ZrO2, TaZr2.75O8 ternary phase, or ZrO2 doped with Ta2O5 were grown to thickness and composition depending on the number and ratio of alternating ZrO2 and Ta2O5 deposition cycles. All the films grown exhibited resistive switching characteristics between TiN and Pt electrodes, expressed by repetitive current-voltage loops. The most reliable windows between high and low resistive states were observed in Ta2O5 films mixed with relatively low amounts of ZrO2, providing Zr to Ta cation ratio of 0.2.

  12. Deposition and characterization of CuInSe2 thin films

    International Nuclear Information System (INIS)

    Dhere, N.G.; Ferreira, C.L.; Cruz, L.R.O.; Mattoso, I.G.; Alves, R.M.P.

    1988-01-01

    CuInSe 2 thin films with 1,3 to 1,7 μm of thickness were deposited by the constituent elements (copper, indium and selenium) in glass substrate. The producted films were characterized by scanning microscopy, X-ray diffraction, Auger electron spectroscopy, Hall effect measures and optical absorption. (C.G.C.) [pt

  13. Transmission of reactive pulsed laser deposited VO{sub 2} films in the THz domain

    Energy Technology Data Exchange (ETDEWEB)

    Émond, Nicolas; Hendaoui, Ali; Ibrahim, Akram; Al-Naib, Ibraheem; Ozaki, Tsuneyuki; Chaker, Mohamed, E-mail: chaker@emt.inrs.ca

    2016-08-30

    Highlights: • Synthesis of vanadium dioxide (VO{sub 2}) thin films as a function of oxygen pressure (2–25 mTorr) using Reactive Pulsed Laser Deposition (RPLD). • Characterization of RPLD-grown VO{sub 2} thin films in the THz frequency range. • THz switches and/or sensors require VO{sub 2} films deposited at low oxygen pressure (i.e. low transition temperature, large amplitude contrast of THz transmission, narrow hysteresis width). • THz optical memory applications require VO{sub 2} films deposited at high oxygen pressure (broad hysteresis width). - Abstract: This work reports on the characteristics of the insulator-to-metal transition (IMT) of reactive pulsed laser deposited vanadium dioxide (VO{sub 2}) films in the terahertz (THz) frequency range, namely the transition temperature T{sub IMT}, the amplitude contrast of the THz transmission over the IMT ΔA, the transition sharpness ΔT and the hysteresis width ΔH. XRD analysis shows the sole formation of VO{sub 2} monoclinic structure with an enhancement of (011) preferential orientation when varying the O{sub 2} pressure (P{sub O2}) during the deposition process from 2 to 25 mTorr. THz transmission measurements as a function of temperature reveal that VO{sub 2} films obtained at low P{sub O2} exhibit low T{sub IMT}, large ΔA, and narrow ΔH. Increasing P{sub O2} results in VO{sub 2} films with higher T{sub IMT}, smaller ΔA, broader ΔH and asymmetric hysteresis loop. The good control of the VO{sub 2} IMT features in the THz domain could be further exploited for the development of advanced smart devices, such as ultrafast switches, modulators, memories and sensors.

  14. Thioglycolic acid-capped CuInS2/ZnS quantum dots as fluorescent probe for cobalt ion detection

    International Nuclear Information System (INIS)

    Zi, Lili; Huang, Yu; Yan, Zhengyu; Liao, Shenghua

    2014-01-01

    A novel sensing fluorescent probe based on the fluorescence quenching of the thioglycolic acid-capped CuInS 2 /ZnS quantum dots (CuInS 2 /ZnS/TGA QDs) was established for cobalt ions detection. The fluorescence quenching of CuInS 2 /ZnS/TGA QDs was due to the increasing surface deficiency and the inner-filter effect, which were attributed to the reaction between Co 2+ and sulfur bonds on the surface of QDs. The quenching curve could be fitted by a typical Stern–Volmer-type equation, with a linear relationship between the quenching efficiency and the concentration of cobalt ions in the range of 0.3012–90.36 μmol L −1 . And the detection limit (S/N=3) for Co 2+ was 0.16 μmol L −1 . Therefore, the established probe provided a simple, rapid, cheap and sensitive method for Co 2+ detection. In a word, this method can be used to detect Co 2+ in the environment. -- Highlights: • The CuInS2/ZnS QDs were used for the first time as a fluorescent probe for Co 2+ detection. • The dramatic color change could be observed when Co 2+ was added into the QDs solution. • The quenching of QDs was due to the increasing surface deficiency and the inner-filter effect. • This rapid, cheap and sensitive method was applied to the detection of Co 2+ in simulated water

  15. Composition-dependent photoluminescence properties of CuInS_2/ZnS core/shell quantum dots

    International Nuclear Information System (INIS)

    Hua, Jie; Du, Yuwei; Wei, Qi; Yuan, Xi; Wang, Jin; Zhao, Jialong; Li, Haibo

    2016-01-01

    CuInS_2/ZnS (CIS/ZnS) core/shell quantum dots (QDs) with various Cu/In ratios were synthesized using the hot-injection method, and their photoluminescence (PL) properties were investigated by measuring steady-state and time-resolved PL spectroscopy. The emission peak of the CIS/ZnS QDs were tuned from 680 to 580 nm by decreasing the Cu/In precursor ratio from 1/1 to 1/9. As the Cu/In ratio decreases, the PL lifetimes and PL quantum yields (QYs) of CIS/ZnS core/shell QDs increased firstly and then decreased. Two dominant radiative recombination processes were postulated to analyze composition-dependent PL properties, including the recombination from a quantized conduction band to deep defects state and donor-acceptor pair (DAP) recombination. The decrease of PL efficiency resulted from high density defects and traps, which formed at the interface between CIS core and ZnS shell due to the large off-stoichiometry composition. The PL intensity and peak energy for CIS/ZnS core/shell QDs as a function of temperature were also provided. The thermal quenching further confirmed that the PL emission of CIS/ZnS QDs did not come from the recombination of excitons but from the recombination of many kinds of intrinsic defects inside the QDs as emission centers.

  16. Atomic layer deposition of VO{sub 2} films with Tetrakis-dimethyl-amino vanadium (IV) as vanadium precursor

    Energy Technology Data Exchange (ETDEWEB)

    Lv, Xinrui [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); Yan, Lu; Li, Ying; Song, Lixin [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China)

    2017-02-28

    Highlights: • VO{sub 2} film was easily deposited by ALD using novel vanadium precursor V(NMe{sub 2}){sub 4}. • Deposition and annealing condition were systematically investigated. • Comparable transition properties of VO{sub 2} film on resistance and spectral transmittance were studied. - Abstract: VO{sub 2} thin films have been grown on Si(100) (VO{sub 2}/Si) and fused silica substrates (VO{sub 2}/SiO{sub 2}) by atomic layer deposition (ALD) using tetrakis-dimethyl-amino vanadium (IV) (TDMAV) as a novel vanadium precursor and water as reactant gas. The quartz crystal microbalance (QCM) measurement was performed to study the ALD process of VO{sub 2} thin film deposition, and a constant growth rate of about 0.95 Å/cycle was obtained at the temperature range of 150–200 °C. XRD measurement was performed to study the influence of deposition temperature and post-annealing condition on the crystallization of VO{sub 2} films, which indicated that the films deposited between 150 and 200 °C showed well crystallinity after annealing at 475 °C for 100 min in Ar atmosphere. XPS measurement verified that the vanadium oxidation state was 4+ for both as-deposited film and post-annealed VO{sub 2}/Si film. AFM was applied to study the surface morphology of VO{sub 2}/Si films, which showed a dense polycrystalline film with roughness of about 1 nm. The resistance of VO{sub 2}/Si films deposited between 150 °C and 200 °C as a function of temperature showed similar semiconductor-to-metal transition (SMT) characters with the transition temperature for heating branch (T{sub c,h}) of about 72 °C, a hysteresis width of about 10 °C and the resistance change of two orders of magnitude. The increase of T{sub c,h} compared with the bulk VO{sub 2} (68 °C) may be attributed to the tensile stress along the c-axis in the film. Transmittance measurement of VO{sub 2}/SiO{sub 2} films showed typical thermochromic property with a NIR switching efficiency of above 50% at 2 μm across

  17. Properties of thin films deposited from HMDSO/O2 induced remote plasma: Effect of oxygen fraction

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-Khaled, B.

    2008-01-01

    Thin films deposited from hexamethyle disiloxane (HMDSO)/O 2 mixture excited in a radio-frequency hollow cathode discharge system have been investigated for their structural, optical and corrosive properties as a function of oxygen fraction χo 2 (χo 2 =0, 0.38, 0.61, 0.76 and 0.90). It is found that the effect of oxygen fraction on films properties is related to O 2 dissociation degree (αd) behavior in pure oxygen plasma. αd has been investigated by actinometry optical emission spectroscopy (AOES) combined with double langmuir probe measurements, a maximum of O 2 dissociation degree of 15% has been obtained for 50 sccm flow rate of O 2 (χo 2 =0.61 in HMDSO/O 2 plasma). Fourier transform infrared spectroscopy (FTIR) and optical measurements showed that the behavior of both identified IR group densities and deposition rate as a function of oxygen fraction is similar to that of O 2 dissociation degree. The inorganic nature of the films depends significantly on oxygen fraction, the best inorganic structure of deposited films has been obtained for 62% HMDSO content in the mixture HMDSO/O 2 (χo 2 =0.38). The refractive index for deposited films from pure HMDSO(χo 2 =0) has been found to be higher than that of films deposited from HMDSO/O 2 mixture. In HMDSO/O 2 plasma, it has a behavior similar to that of deposition rate, and it is comparable to that of quartz. The effect of oxygen fraction on the corrosive properties of thin films deposited on steel has been investigated. It is found that the measured corrosion current density in 0.1 M KCI solution decreases with the addition of O 2 to HMDSO plasma, and it is minimum for χo 2 =0.38. (author)

  18. Chemically deposited Sb{sub 2}S{sub 3} thin films for optical recording

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B [Facultad de IngenierIa Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P- 66450 (Mexico); O' Brien, J J; Liu, J, E-mail: bkrishnan@fime.uanl.m [Center for Nanoscience and Department of Chemistry and Biochemistry, University of Missouri-St. Louis, One Univ. Blvd., St. Louis, MO - 63121 (United States)

    2010-02-24

    Laser induced changes in the properties of Sb{sub 2}S{sub 3} thin films prepared by chemical bath deposition are described in this paper. Sb{sub 2}S{sub 3} thin films of thickness 550 nm were deposited from a solution containing SbCl{sub 3} and Na{sub 2}S{sub 2}O{sub 3} at 27 {sup 0}C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  19. Pulsed Laser deposition of Al2O3 thin film on silicon

    International Nuclear Information System (INIS)

    Lamagna, A.; Duhalde, S.; Correra, L.; Nicoletti, S.

    1998-01-01

    Al 2 O 3 thin films were fabricated by pulsed laser deposition (PLD) on Si 3 N 4 /Si, to improve the thermal and electrical isolation of gas sensing devices. The microstructure of the films is analysed as a function of the deposition conditions (laser fluence, oxygen pressure, target-substrate distance and substrate temperature). X-ray analysis shows that only a sharp peak that coincides with the corundum (116) reflection can be observed in all the films. But, when they are annealed at temperatures above 1,200 degree centigrade, a change in the crystalline structure of some films occurs. The stoichiometry and morphology of the films with and without thermal treatment are compared using environmental scanning electron microscopy (SEM) and EDAX analysis. (Author) 14 refs

  20. Synthesis of CuInSe2 thin films from electrodeposited Cu11In9 precursors by two-step annealing

    Directory of Open Access Journals (Sweden)

    TSUNG-WEI CHANG

    2014-02-01

    Full Text Available In this study, copper indium selenide (CIS films were synthesized from electrodeposited Cu-In-Se precursors by two-step annealing. The agglomeration phenomenon of the electrodeposited In layer usually occurred on the Cu surface. A thermal process was adopted to turn Cu-In precursors into uniform Cu11In9 binary compounds. After deposition of the Se layer, annealing was employed to form chalcopyrite CIS. However, synthesis of CIS from Cu11In9 requires sufficient thermal energy. Annealing temperature and time were investigated to grow high quality CIS film. Various electrodeposition conditions were investigated to achieve the proper atomic ratio of CIS. The properties of the CIS films were characterized by scanning electron microscopy (SEM, X-ray Diffraction (XRD, and Raman spectra.

  1. Evidence of significant down-conversion in a Si-based solar cell using CuInS2/ZnS core shell quantum dots

    Science.gov (United States)

    Gardelis, Spiros; Nassiopoulou, Androula G.

    2014-05-01

    We report on the increase of up to 37.5% in conversion efficiency of a Si-based solar cell after deposition of light-emitting Cd-free, CuInS2/ZnS core shell quantum dots on the active area of the cell due to the combined effect of down-conversion and the anti- reflecting property of the dots. We clearly distinguished the effect of down-conversion from anti-reflection and estimated an enhancement of up to 10.5% in the conversion efficiency due to down-conversion.

  2. Evidence of significant down-conversion in a Si-based solar cell using CuInS2/ZnS core shell quantum dots

    International Nuclear Information System (INIS)

    Gardelis, Spiros; Nassiopoulou, Androula G.

    2014-01-01

    We report on the increase of up to 37.5% in conversion efficiency of a Si-based solar cell after deposition of light-emitting Cd-free, CuInS 2 /ZnS core shell quantum dots on the active area of the cell due to the combined effect of down-conversion and the anti- reflecting property of the dots. We clearly distinguished the effect of down-conversion from anti-reflection and estimated an enhancement of up to 10.5% in the conversion efficiency due to down-conversion

  3. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  4. Pulsed-laser-deposited, single-crystalline Cu2O films with low resistivity achieved through manipulating the oxygen pressure

    Science.gov (United States)

    Liu, Xiaohui; Xu, Meng; Zhang, Xijian; Wang, Weiguang; Feng, Xianjin; Song, Aimin

    2018-03-01

    Low-resistivity, single-crystalline Cu2O films were realized on MgO (110) substrates through manipulating the oxygen pressure (PO2) of pulsed-laser deposition. X-ray diffraction and high resolution transmission electron microscopy measurements revealed that the films deposited at PO2 of 0.06 and 0.09 Pa were single phase Cu2O and the 0.09-Pa-deposited film exhibited the best crystallinity with an epitaxial relationship of Cu2O (110)∥MgO (110) with Cu2O (001)∥MgO (001). The pure phase Cu2O films exhibited higher transmittances and larger band gaps with an optical band gap of 2.56 eV obtained for the 0.09 Pa-deposited film. Hall-effect measurements demonstrated that the Cu2O film deposited at 0.09 Pa had the lowest resistivity of 6.67 Ω cm and highest Hall mobility of 23.75 cm2 v-1 s-1.

  5. Chromium carbide thin films deposited by ultra-short pulse laser deposition

    International Nuclear Information System (INIS)

    Teghil, R.; Santagata, A.; De Bonis, A.; Galasso, A.; Villani, P.

    2009-01-01

    Pulsed laser deposition performed by a laser with a pulse duration of 250 fs has been used to deposit films from a Cr 3 C 2 target. Due to the different processes involved in the laser ablation when it is performed by an ultra-short pulse source instead of a conventional short pulse one, it has been possible to obtain in vacuum films containing only one type of carbide, Cr 3 C 2 , as shown by X-ray photoelectron spectroscopy. On the other hand, Cr 3 C 2 is not the only component of the films, since a large amount of amorphous carbon is also present. The films, deposited at room temperature, are amorphous and seem to be formed by the coalescence of a large number of particles with nanometric size. The film composition can be explained in terms of thermal evaporation from particles ejected from the target.

  6. Enhancement of solar hydrogen evolution from water by surface modification with CdS and TiO2 on porous CuInS2 photocathodes prepared by an electrodeposition-sulfurization method.

    Science.gov (United States)

    Zhao, Jiao; Minegishi, Tsutomu; Zhang, Li; Zhong, Miao; Gunawan; Nakabayashi, Mamiko; Ma, Guijun; Hisatomi, Takashi; Katayama, Masao; Ikeda, Shigeru; Shibata, Naoya; Yamada, Taro; Domen, Kazunari

    2014-10-27

    Porous films of p-type CuInS2, prepared by sulfurization of electrodeposited metals, are surface-modified with thin layers of CdS and TiO2. This specific porous electrode evolved H2 from photoelectrochemical water reduction under simulated sunlight. Modification with thin n-type CdS and TiO2 layers significantly increased the cathodic photocurrent and onset potential through the formation of a p-n junction on the surface. The modified photocathodes showed a relatively high efficiency and stable H2 production under the present reaction conditions. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Highly absorbing Cu-In-O thin films for photovoltaic applications

    International Nuclear Information System (INIS)

    Khemiri, N.; Chaffar Akkari, F.; Kanzari, M.; Rezig, B.

    2008-01-01

    We report in this paper on the preparation and characterization of improved quality Cu-In-O films for use as a high-efficiency solar cell absorber. Samples were prepared via sequential thermal vacuum deposition of Cu and In or In and Cu (at 10 -5 mbar) on glass substrates heated at 150 deg. C. After what, the obtained binary systems (Cu/In or In/Cu) were annealed in air at 400 deg. C for 3h. These films were characterized for their structural, electrical and optical properties by using X-ray diffraction (XRD), electrical resistivity and optical (transmittance and reflectance) measurement techniques. The X-ray diffraction (XRD) patterns revealed the presence of CuO and In 2 O 3 phases. The absorption coefficient of Cu-In-O thin films (4.10 5 cm -1 ) is larger than 10 5 cm -1 for the In/Cu case and in the range of 10 4 -10 5 cm -1 for the Cu/In case in the visible spectral range. Direct optical band gaps of 1.40 and 1.52eV were found for the In/Cu and Cu/In cases, respectively. The complex dielectric constants of the Cu-In-O films have been calculated. It was found that the refractive index dispersion data obeyed the Wemple-Di Domenico single oscillator model, from which the dispersion parameters and the high-frequency dielectric constant were determined. The electric free carrier susceptibility and the ratio of the carrier concentration to the effective mass were estimated according to the model of Spitzer and Fan. The electrical measurements show a conversion from a metallic phase to the semiconductor phase by a switching in the electrical resistivity values at an annealing temperature of 275 deg. C. In both cases the samples were highly compensated

  8. Pulsed laser deposition of Tl-Ca-Ba-Cu-O films

    International Nuclear Information System (INIS)

    Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.

    1990-01-01

    Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs

  9. Highly conductive homoepitaxial Si-doped Ga2O3 films on (010) β-Ga2O3 by pulsed laser deposition

    Science.gov (United States)

    Leedy, Kevin D.; Chabak, Kelson D.; Vasilyev, Vladimir; Look, David C.; Boeckl, John J.; Brown, Jeff L.; Tetlak, Stephen E.; Green, Andrew J.; Moser, Neil A.; Crespo, Antonio; Thomson, Darren B.; Fitch, Robert C.; McCandless, Jonathan P.; Jessen, Gregg H.

    2017-07-01

    Si-doped Ga2O3 thin films were fabricated by pulsed laser deposition on semi-insulating (010) β-Ga2O3 and (0001) Al2O3 substrates. Films deposited on β-Ga2O3 showed single crystal, homoepitaxial growth as determined by high resolution transmission electron microscopy and x-ray diffraction. Corresponding films deposited on Al2O3 were mostly single phase, polycrystalline β-Ga2O3 with a preferred (20 1 ¯ ) orientation. An average conductivity of 732 S cm-1 with a mobility of 26.5 cm2 V-1 s-1 and a carrier concentration of 1.74 × 1020 cm-3 was achieved for films deposited at 550 °C on β-Ga2O3 substrates as determined by Hall-Effect measurements. Two orders of magnitude improvement in conductivity were measured using native substrates versus Al2O3. A high activation efficiency was obtained in the as-deposited condition. The high carrier concentration Ga2O3 thin films achieved by pulsed laser deposition enable application as a low resistance ohmic contact layer in β-Ga2O3 devices.

  10. The determination of extinction coefficient of CuInS2, and ZnCuInS3 multinary nanocrystals.

    Science.gov (United States)

    Qin, Lei; Li, Dongze; Zhang, Zhuolei; Wang, Kefei; Ding, Hong; Xie, Renguo; Yang, Wensheng

    2012-10-21

    A pioneering work for determining the extinction coefficient of colloidal semiconductor nanocrystals (NCs) has been cited over 1500 times (W. Yu, W. Guo, X. G. Peng, Chem. Mater., 2003, 15, 2854-2860), indicating the importance of calculating NC concentration for further research and applications. In this study, the size-dependent nature of the molar extinction coefficient of "greener" CuInS(2) and ZnCuInS(3) NCs with emission covering the whole visible to near infrared (NIR) is presented. With the increase of NC size, the resulting quantitative values of the extinction coefficients of ternary CuInS(2) and quaternary ZnCuInS(3) NCs are found to follow a power function with exponents of 2.1 and 2.5, respectively. Obviously, a larger value of extinction coefficient is observed in quaternary NCs for the same size of particles. The difference of the extinction coefficient from both samples is clearly demonstrated due to incorporating ZnS with a much larger extinction coefficient into CuInS(2) NCs.

  11. Deposition of antimony telluride thin film by ECALE

    Institute of Scientific and Technical Information of China (English)

    GAO; Xianhui; YANG; Junyou; ZHU; Wen; HOU; Jie; BAO; Siqian; FAN; Xi'an; DUAN; Xingkai

    2006-01-01

    The process of Sb2Te3 thin film growth on the Pt substrate by electrochemical atomic layer epitaxy (ECALE) was studied. Cyclic voltammetric scanning was performed to analyze the electrochemical behavior of Te and Sb on the Pt substrate. Sb2Te3 film was formed using an automated flow deposition system by alternately depositing Te and Sb atomic layers for 400 circles. The deposited Sb2Te3 films were characterized by XRD, EDX, FTIR and FESEM observation. Sb2Te3 compound structure was confirmed by XRD pattern and agreed well with the results of EDX quantitative analysis and coulometric analysis. FESEM micrographs showed that the deposit was composed of fine nano particles with size of about 20 nm. FESEM image of the cross section showed that the deposited films were very smooth and dense with thickness of about 190 nm. The optical band gap of the deposited Sb2Te3 film was determined as 0.42 eV by FTIR spectroscopy, and it was blue shifted in comparison with that of the bulk Sb2Te3 single crystal due to its nanocrystalline microstructure.

  12. Influence of solution deposition rate on properties of V{sub 2}O{sub 5} thin films deposited by spray pyrolysis technique

    Energy Technology Data Exchange (ETDEWEB)

    Abd–Alghafour, N. M., E-mail: na2013bil@gmail.com [Iraqi Ministry of Education, Anbar (Iraq); Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia,11800 Penang (Malaysia)

    2016-07-19

    Vanadium oxide (V{sub 2}O{sub 5}) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl{sub 3} in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V{sub 2}O{sub 5} film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  13. Field electron emission characteristics of chemical vapour deposition diamond films with controlled sp2 phase concentration

    International Nuclear Information System (INIS)

    Lu, X.; Yang, Q.; Xiao, C.; Hirose, A.

    2008-01-01

    Diamond films were synthesized in a microwave plasma-enhanced chemical vapour deposition reactor. The microstructure and surface morphology of deposited films were characterized by Raman spectroscope and scanning electron microscope. The sp 2 phase concentration in diamond films was varied and its effect on the field electron emission (FEE) properties was investigated. Diamond films deposited under higher methane concentration exhibit better FEE property including lower turn-on electric field and larger emission current. The predominating factor modifying the FEE property is presumed to be the increase of sp 2 phase concentration. The influence of bias voltage on the FEE property of diamond films is not monotonic. Postgrowth acid treatment reduces the sp 2 phase content in diamond films without changing diamond grain sizes. The corresponding FEE property was degraded

  14. Mechanical and shape memory properties of ferromagnetic Ni2MnGa sputter-deposited films

    Science.gov (United States)

    Ohtsuka, M.; Matsumoto, M.; Itagaki, K.

    2003-10-01

    The ternary intermetallic compound Ni2MnGa is an intelligent material, which has a shape memory effect and a ferromagnetic property. Use of shape memory alloy films for an actuator of micro machines is very attractive because of its large recovery force. The data of mechanical and shape memory properties of the films are required to use for the actuator. The purpose of this study is to investigate the effects of fabrication conditions and to clarify the relationships between these properties and fabrication conditions of the Ni{2}MnGa films. The Ni{2}MnGa films were deposited with a radio-frequency magnetron sputtering apparatus using a Ni{50}Mn{25}Ga{25} or Ni{52}Mn{24}Ga{24} target. After deposition, the films were annealed at 873sim 1173 K. The asdeposited films were crystalline and had columnar grains. After the heat treatment, the grains widened and the grain boundary became indistinct with increasing heat treatment temperature. MnO and Ni{3} (Mn, Ga) precipitations were observed in the heat-treated films. The mechanical properties of the films were measured by the nanoindentation method. Hardness and elastic modulus of as-deposited films were larger than those of arcmelted bulk alloys. The hardness of the films was affected by the composition, crystal structure, microstructure and precipitation, etc. The elastic modulus of the films was also changed with the heat treatment conditions. The heat-treated films showed a thermal two-way shape memory effect.

  15. Reliability assessment of ultra-thin HfO{sub 2} films deposited on silicon wafer

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Wei-En [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Chang, Chia-Wei [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Chang, Yong-Qing [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Yao, Chih-Kai [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Liao, Jiunn-Der, E-mail: jdliao@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Nano-mechanical properties on annealed ultra-thin HfO{sub 2} film are studied. Black-Right-Pointing-Pointer By AFM analysis, hardness of the crystallized HfO{sub 2} film significantly increases. Black-Right-Pointing-Pointer By nano-indention, the film hardness increases with less contact stiffness. Black-Right-Pointing-Pointer Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO{sub 2}) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO{sub 2} films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO{sub 2} films deposited on silicon wafers (HfO{sub 2}/SiO{sub 2}/Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO{sub 2} (nominal thickness Almost-Equal-To 10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO{sub 2} phases for the atomic layer deposited HfO{sub 2}. The HfSi{sub x}O{sub y} complex formed at the interface between HfO{sub 2} and SiO{sub 2}/Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO{sub 2} film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically

  16. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  17. Investigation of various properties of HfO2-TiO2 thin film composites deposited by multi-magnetron sputtering system

    Science.gov (United States)

    Mazur, M.; Poniedziałek, A.; Kaczmarek, D.; Wojcieszak, D.; Domaradzki, J.; Gibson, D.

    2017-11-01

    In this work the properties of hafnium dioxide (HfO2), titanium dioxide (TiO2) and mixed HfO2-TiO2 thin films with various amount of titanium addition, deposited by magnetron sputtering were described. Structural, surface, optical and mechanical properties of deposited coatings were analyzed. Based on X-ray diffraction and Raman scattering measuremets it was observed that there was a significant influence of titanium concentration in mixed TiO2-HfO2 thin films on their microstructure. Increase of Ti content in prepared mixed oxides coatings caused, e.g. a decrease of average crystallite size and amorphisation of the coatings. As-deposited hafnia and titania thin films exhibited nanocrystalline structure of monoclinic phase and mixed anatase-rutile phase for HfO2 and TiO2 thin films, respectively. Atomic force microscopy investigations showed that the surface of deposited thin films was densely packed, crack-free and composed of visible grains. Surface roughness and the value of water contact angle decreased with the increase of Ti content in mixed oxides. Results of optical studies showed that all deposited thin films were well transparent in a visible light range. The effect of the change of material composition on the cut-off wavelength, refractive index and packing density was also investigated. Performed measurements of mechanical properties revealed that hardness and Young's elastic modulus of thin films were dependent on material composition. Hardness of thin films increased with an increase of Ti content in thin films, from 4.90 GPa to 13.7 GPa for HfO2 and TiO2, respectively. The results of the scratch resistance showed that thin films with proper material composition can be used as protective coatings in optical devices.

  18. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  19. Optical and electrical characterization of AgInS2 thin films deposited by spray pyrolysis

    International Nuclear Information System (INIS)

    Calixto-Rodriguez, M.; Martinez, H.; Calixto, M.E.; Pena, Y.; Martinez-Escobar, Dalia; Tiburcio-Silver, A.; Sanchez-Juarez, A.

    2010-01-01

    Silver indium sulfide (AgInS 2 ) thin films have been prepared by spray pyrolysis (SP) technique using silver acetate, indium acetate, and N, N-dimethylthiourea as precursor compounds. Films were deposited onto glass substrates at different substrate temperatures (T s ) and Ag:In:S ratios in the starting solutions. Optical transmission and reflection as well as electrical measurements were performed in order to study the effect of deposition parameters on the optical and electrical properties of AgInS 2 thin films. X-ray diffraction measurements were used to identify the deposited compounds. It was found that different compounds such as AgInS 2 , Ag 2 S, In 2 O 3 , and In 2 S 3 can be grown only by changing the Ag:In:S ratio in the starting solution and T s . So that, by carefully selecting the deposition parameters, single phase AgInS 2 thin films can be easily grown. Thin films obtained using a molar ratio of Ag:In:S = 1:1:2 and T s = 400 o C, have an optical band gap of 1.9 eV and n-type electrical conductivity with a value of 0.3 Ω -1 cm -1 in the dark.

  20. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  1. Liquid phase deposition of WO3/TiO2 heterojunction films with high photoelectrocatalytic activity under visible light irradiation

    International Nuclear Information System (INIS)

    Zhang, Man; Yang, Changzhu; Pu, Wenhong; Tan, Yuanbin; Yang, Kun; Zhang, Jingdong

    2014-01-01

    Highlights: • Liquid phase deposition is developed for preparing WO 3 /TiO 2 heterojunction films. • TiO 2 film provides an excellent platform for WO 3 deposition. • WO 3 expands the absorption band edge of TiO 2 film to visible light region. • WO 3 /TiO 2 heterojunction film shows high photoelectrocatalytic activity. - ABSTRACT: The heterojunction films of WO 3 /TiO 2 were prepared by liquid phase deposition (LPD) method via two-step processes. The scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopic analysis indicated that flower-like WO 3 film was successfully deposited on TiO 2 film with the LPD processes. The TiO 2 film provided an excellent platform for WO 3 deposition while WO 3 obviously expanded the absorption of TiO 2 film to visible light. As the result, the heterojunction film of WO 3 /TiO 2 exhibited higher photocurrent response to visible light illumination than pure TiO 2 or WO 3 film. The photoelectrocatalytic (PEC) activity of WO 3 /TiO 2 film was evaluated by degrading Rhodamin B (RhB) and 4-chlorophenol (4-CP) under visible light irradiation. The results showed that the LPD WO 3 /TiO 2 film possessed high PEC activity for efficient removal of various refractory organic pollutants

  2. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  3. Controllable deposition of gadolinium doped ceria electrolyte films by magnetic-field-assisted electrostatic spray deposition

    International Nuclear Information System (INIS)

    Ksapabutr, Bussarin; Chalermkiti, Tanapol; Wongkasemjit, Sujitra; Panapoy, Manop

    2013-01-01

    This paper describes a simple and low-temperature approach to fabrication of dense and crack-free gadolinium doped ceria (GDC) thin films with controllable deposition by a magnetic-field-assisted electrostatic spray deposition technique. The influences of external permanent magnets on the deposition of GDC films were investigated. The coating area deposited using two magnets with the same pole arrangement decreased in comparison with the case of no magnets, whereas the largest deposition area was obtained in the system of the opposite poles. Analysis of as-deposited films at 450 °C indicated the formation of uniform, smooth and dense thin films with a single-phase fluorite structure. The films produced in the system using same poles were thicker, smaller in crystallite size and smoother than those fabricated under other conditions. Additionally, the GDC film deposited using the same pole arrangement showed the maximum in electrical conductivity of about 2.5 × 10 −2 S/cm at a low operating temperature of 500 °C. - Highlights: • Magnetic-field-assisted electrostatic spray allows a controllable coating. • Dense, crack-free thin films were obtained at low process temperature of 450 °C. • Control of deposition, thickness and uniformity is easy to achieve simultaneously. • Films from the same pole were thicker, smaller in crystal size and smoother. • The maximum conductivity of doped ceria film was 2.5 × 10 −2 S/cm at 500 °C

  4. Electronic structure of semiconductor thin films (chalcopyrites) as absorbermaterials for thin film solar cells; Elektronische Struktur duenner Halbleiterfilme (Chalkopyrite) als Absorbermaterialien fuer Duennschichtsolarzellen

    Energy Technology Data Exchange (ETDEWEB)

    Lehmann, Carsten

    2007-12-11

    The objective of this work was to determine for the first time the band structure of CuInS{sub 2}. For this purpose a new GSMBE process with TBDS as sulphur precursor was established to prevent the use of elemental sulphur in an UHV system. Additionally to the deposited films a cleave surface was prepared. The samples were characterized in situ by XPS/UPS and LEED. XRD and SEM were used for further ex situ investigations. The band structure was determined by ARUPS using synchrotron light. CuInS(001) and CuInS{sub 2}(112) were deposited on Si and GaAs. The deposition of CuInS{sub 2} on GaAs showed a strong dependence on the existing surface reconstruction. A 2 x 1 reconstruction of GaAs(001) yielded CuInS{sub 2}(001) films featuring terraces. A deposition on 2 x 2 reconstructed GaAs(111)A surfaces led to a facetted CuInS{sub 2} surface. On sulphur-passivated non-reconstructed GaAs(111)B a deposition of chalcopyrite ordered CuInS{sub 2} free of facets was possible. On the surface of Cu-rich CuInS{sub 2} films CuS crystallites formed. This yields ARUPS spectra showing the electronic stucture of CuInS{sub 2} superimposed by non-dispergative states of the polycrystalline CuS segregations. The effective hole masses were derived from the k {sub vertical} {sub stroke} {sub vertical} {sub stroke} measurements. Finally the results of this work showed that the use of a (111) substrate leads to domain formation of the deposited CuInS{sub 2}(112) films. Thus ARUPS spectra of such films show a superposition of the band structures along different directions. (orig.)

  5. Preparation and characterization of Ge2Sb2Te5 phase change films on elastic substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Hongbing; Thelander, Erik; Benke, Julia; Rauschenbach, Bernd [Leibniz Institute of Surface Modification, 04318 Leipzig (Germany)

    2012-07-01

    Ge{sub 2}Sb{sub 2}Te{sub 5} (GST) thin films have attracted a great deal of interest as an active layer for data storage media due to its high switching rate and extremely good reversibility. Here we demonstrate the preparation of high-quality GST films on elastic polyimide substrates by pulsed laser deposition (PLD). The composition and chemical state of the films were investigated by energy dispersive X-ray spectroscopy (EDX) and X-ray photoelectron spectroscopy (XPS), respectively. The effect of annealing temperature on the crystalline nature of the films was also studied. As-deposited films were found to be amorphous. Crystalline phases with face-centered cubic and hexagonal structures appeared at 180 and 300 degrees, respectively. Importantly, no phase separation could be seen in the annealed films. Furthermore, reflectivity measurements were performed to characterize the as-deposited and annealed films, showing a high reflectivity contrast (up to 23%) between full crystalline and amorphous films. Our results indicate that PLD deposited GST film on polyimide substrate is a promising candidate for use in future flexible memory devices.

  6. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  7. Reactive pulsed laser deposition of Cu2ZnSnS4 thin films in H2S

    International Nuclear Information System (INIS)

    Surgina, G.D.; Zenkevich, A.V.; Sipaylo, I.P.; Nevolin, V.N.; Drube, W.; Teterin, P.E.; Minnekaev, M.N.

    2013-01-01

    Cu 2 ZnSnS 4 (CZTS) thin films have been grown by reactive pulsed laser deposition in H 2 S atmosphere, combining the alternate ablation from the metallic (Cu) and alloyed (Zn x Sn) targets at room temperature. The morphological, structural and optical properties of as grown CZTS thin films with varying compositions as well as upon annealing in N 2 atmosphere are investigated by Rutherford backscattering spectrometry, X-ray diffraction, Raman spectroscopy and optical spectrophotometry. The chemical bonding in the “bulk” of the CZTS films is elucidated via hard X-ray photoemission spectroscopy measurements. The formation of the good quality stoichiometric polycrystalline CZTS films is demonstrated upon optimization of the growth parameters. - Highlights: ► The new method of Cu 2 ZnSnS 4 (CZTS) thin films growth in H 2 S was realized. ► CZTS films were grown by pulsed laser deposition from Cu and alloyed Zn–Sn targets. ► The effect of the processing parameters on the CZTS properties was investigated. ► The chemical bonding in the “bulk” of CZTS films was studied

  8. Raman spectra of TiO2 thin films deposited electrochemically and by spray pyrolysis

    International Nuclear Information System (INIS)

    Shishiyanu, S.; Vartic, V.; Shishiyanu, T.; Stratan, Gh.; Rusu, E.; Zarrelli, M.; Giordano, M.

    2013-01-01

    In this paper we present our experimental results concerning the fabrication of TiO 2 thin films by spray pyrolysis and electrochemical deposition method onto different substrates - Corning glass, Si and optical fibers. The surface morphology of the TiO 2 thin films have been investigated by Atomic Force Microscopy. Raman shift spectra measurements have been done for the optical characterization of the fabricated titania thin films. The post-growth rapid photothermal processing (RPP) at temperatures of 100-800 degrees Celsius for 1-3 min have been applied. Our experimental results prove that by the application of post-growth RPP is possible to essentially improve the crystallinity of the deposited TiO 2 films. (authors)

  9. An economic CVD technique for pure SnO2 thin films deposition ...

    Indian Academy of Sciences (India)

    An economic CVD technique for pure SnO2 thin films deposition: Temperature effects ..... C are depicted in figure 7. It is observed that the cut-off wave- ... cating that the energy gap of the SnO2 films varies among. 3·54, 3·35 and 1·8 eV.

  10. Effects of Sb-doping on the grain growth of Cu(In, Ga)Se2 thin films fabricated by means of single-target sputtering

    International Nuclear Information System (INIS)

    Zhang, Shu; Wu, Lu; Yue, Ruoyu; Yan, Zongkai; Zhan, Haoran; Xiang, Yong

    2013-01-01

    To investigate the effects of Sb doping on the kinetics of grain growth in Cu(In,Ga)Se 2 (CIGS) thin films during annealing, CIGS thin films were sputtered onto Mo coated substrates from a single CIGS alloy target, followed by chemical bath deposition of Sb 2 S 3 thin layers on top of CIGS layers and subsequent annealing at different temperatures for 30 min in Se vapors. X-ray diffraction results showed that CIGS thin films were obtained directly using the single-target sputtering method. After annealing, the In/Ga ratio in Sb-doped CIGS thin films remained stable compared to undoped film, possibly because Sb can promote the incorporation of Ga into CIGS. The grain growth in CIGS thin films was enhanced after Sb doping, exhibiting significantly larger grains after annealing at 400 °C or 450 °C compared to films without Sb. In particular, the effect was strikingly significant in grain growth across the film thickness, resulting in columnar grain structure in Sb-doped films. This grain growth improvement may be led by the diffusion of Sb from the front surface to the CIGS-Mo back interface, which promoted the mass transport process in CIGS thin films. - Highlights: ► Cu(In,Ga)Se 2 (CIGS) thin films made by sputtering from a single CIGS target. ► Chemical bath deposition used to introduce antimony into CIGS absorber layers. ► In/Ga ratio decreases in Sb-doped annealed films, comparatively to undoped films. ► Sb-doped CIGS films are superior to undoped films in terms of grain-growth kinetics

  11. CuInS{sub 2} thin films obtained through the annealing of chemically deposited In{sub 2}S{sub 3}-CuS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pena, Y., E-mail: yolapm@gmail.com [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba S/N, Ciudad Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico); Lugo, S. [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba S/N, Ciudad Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico); Calixto-Rodriguez, M. [Centro de Investigacion en Energia, Universidad Nacional Autonoma de Mexico, Privada Xochicalco S/N, Col Centro, 62580, Temixco, Morelos (Mexico); Vazquez, A.; Gomez, I.; Elizondo, P. [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba S/N, Ciudad Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico)

    2011-01-01

    In this work, we report the formation of CuInS{sub 2} thin films on glass substrates by heating chemically deposited multilayers of copper sulfide (CuS) and indium sulfide (In{sub 2}S{sub 3}) at 300 and 350 deg. C in nitrogen atmosphere at 10 Torr. CIS thin films were prepared by varying the CuS layer thickness in the multilayers with indium sulfide. The XRD analysis showed that the crystallographic structure of the CuInS{sub 2} (JCPDS 27-0159) is present on the deposited films. From the optical analysis it was estimated the band gap value for the CIS film (1.49 eV). The electrical conductivity varies from 3 x 10{sup -8} to 3 {Omega}{sup -1} cm{sup -1} depending on the thickness of the CuS film. CIS films showed p-type conductivity.

  12. Thermoelectric properties of V{sub 2}O{sub 5} thin films deposited by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Santos, R.; Loureiro, J., E-mail: joa.loureiro@gmail.com; Nogueira, A.; Elangovan, E.; Pinto, J.V.; Veiga, J.P.; Busani, T.; Fortunato, E.; Martins, R.; Ferreira, I., E-mail: imf@fct.unl.pt

    2013-10-01

    This work reports the structural, optical, electrical and thermoelectric properties of vanadium pentoxide (V{sub 2}O{sub 5}) thin films deposited at room temperature by thermal evaporation on Corning glass substrates. A post-deposition thermal treatment up to 973 K under atmospheric conditions induces the crystallization of the as-deposited amorphous films with an orthorhombic V{sub 2}O{sub 5} phase with grain sizes around 26 nm. As the annealing temperature rises up to 773 K the electrical conductivity increases. The films exhibit thermoelectric properties with a maximum Seebeck coefficient of −218 μV/K and electrical conductivity of 5.5 (Ω m){sup −1}. All the films show NIR-Vis optical transmittance above 60% and optical band gap of 2.8 eV.

  13. A High-Yield Synthesis of Chalcopyrite CuInS2 Nanoparticles with Exceptional Size Control

    Directory of Open Access Journals (Sweden)

    Chivin Sun

    2009-01-01

    Full Text Available We report high-yield and efficient size-controlled syntheses of Chalcopyrite CuInS2 nanoparticles by decomposing molecular single source precursors (SSPs via microwave irradiation in the presence of 1,2-ethanedithiol at reaction temperatures as low as 100°C and times as short as 30 minutes. The nanoparticles sizes were 1.8 nm to 10.8 nm as reaction temperatures were varied from 100°C to 200°C with the bandgaps from 2.71 eV to 1.28 eV with good size control and high yields (64%–95%. The resulting nanoparticles were analyzed by XRD, UV-Vis, ICP-OES, XPS, SEM, EDS, and HRTEM. Titration studies by 1H NMR using SSP 1 with 1,2-ethanedithiol and benzyl mercaptan were conducted to elucidate the formation of Chalcopyrite CuInS2 nanoparticles.

  14. Effect of N_2 flow rate on the properties of N doped TiO_2 films deposited by DC coupled RF magnetron sputtering

    International Nuclear Information System (INIS)

    Peng, Shou; Yang, Yong; Li, Gang; Jiang, Jiwen; Jin, Kewu; Yao, TingTing; Zhang, Kuanxiang; Cao, Xin; Wang, Yun; Xu, Genbao

    2016-01-01

    N doped TiO_2 films were deposited on glass substrates at room temperature using DC coupled RF magnetron sputtering with a TiO_2 ceramic target. The influences of N_2 flow rate on the deposition rate, crystal structure, chemical composition and band gap of the deposited films were investigated by Optical profiler, X-ray diffraction, X-ray photoelectron spectroscope and ultraviolet-visible spectrophotometer. The film growth rate gradually decreased with increasing N_2 flow rate. As N_2 flow rate increased, the crystallization of the films deteriorated, and the films tended to form amorphous structure. XPS analysis revealed that N dopant atoms were added at the substitutional sites into TiO_2 lattice structure. FE-SEM results showed that the grain size of the film decreased and the crystallinity degraded as N_2 flow rate increases. In addition, N doping caused an obvious red shift in the optical absorption edge. - Highlights: • N doped TiO_2 films were deposited by DC coupled RF magnetron reactive sputtering. • As N_2 flow rate increases, the crystallization of the deposited films degrades. • The higher N_2 flow rate is beneficial to form more substituted N in the film. • N doping causes an obvious red shift in the absorption wavelength.

  15. Influence of complexing agent (Na2EDTA on chemical bath deposited Cu4SnS4 thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-08-01

    Full Text Available The quality of thin film is influenced by the presence of complexing agents such as Na2EDTA. The Cu4SnS4 thin films were deposited onto indium tin oxide glass substrate by chemical bath deposition method. The structural, morphological and optical properties of the deposited films have been studied using X-ray diffraction, atomic force microscopy and UV-Vis spectrophotometer, respectively. The XRD data showed that the films have a polycrystalline and orthorhombic structure. It also indicated that the most intense peak at 2 θ = 30.2° which belongs to (221 plane of Cu4 SnS4. The film deposited with 0.05 M Na2 EDTA showed good uniformity, good surface coverage with bigger grains and produced higher absorbance value. The band gap energy varies with the variation of Na2EDTA concentration which ranging from 1.56-1.60 eV. Deposition at concentration of 0.05 M Na2EDTA proved to offer a reasonably good Cu4SnS4 thin film.

  16. The mechanism of the nano-CeO2 films deposition by electrochemistry method as coated conductor buffer layers

    International Nuclear Information System (INIS)

    Lu, Yuming; Cai, Shuang; Liang, Ying; Bai, Chuanyi; Liu, Zhiyong; Guo, Yanqun; Cai, Chuanbing

    2015-01-01

    Highlights: • Crack-free CeO 2 film thicker than 200 nm was prepared on NiW substrate by ED method. • Different electrochemical processes as hydroxide/metal mechanisms were identified. • The CeO 2 precursor films deposited by ED method were in nano-scales. - Abstract: Comparing with conventional physical vapor deposition methods, electrochemistry deposition technique shows a crack suppression effect by which the thickness of CeO 2 films on Ni–5 at.%W substrate can reach a high value up to 200 nm without any cracks, make it a potential single buffer layer for coated conductor. In the present work, the processes of CeO 2 film deposited by electrochemistry method are detailed investigated. A hydroxide reactive mechanism and an oxide reactive mechanism are distinguished for dimethyl sulfoxide and aqueous solution, respectively. Before heat treatment to achieve the required bi-axial texture performance of buffer layers, the precursor CeO 2 films are identified in nanometer scales. The crack suppression for electrochemistry deposited CeO 2 films is believed to be attributed to the nano-effects of the precursors

  17. Preparation of CulnSe2 thin films by paste coating

    Institute of Scientific and Technical Information of China (English)

    2008-01-01

    Precursor pastes were obtained by milling Cu-In alloys and Se powders.CuInSe2 thin films were successfully prepared by precursor layers,which were coated using these pastes,and were annealed in a H2 atmosphere.The pastes were tested by laser particle diameter analyzer,simultaneous thermogravimetric and differential thermal analysis instruments (TG-DTA),and X-ray diffractometry (XRD).Selenized films were characterized by XRD,scanning electron microscopy (SEM),and energy dispersive spectroscopy (EDS).The results indicate that chalcopyrite CuInSe2 is formed at 180℃ and the crystallinity of this phase is improved as the temperature rises.All the CuInSe2 thin films,which were annealed at various temperatures,exhibit the preferred orientation along the (112) plane.The compression of precursor layers before selenization step is one oftbe most essential factors for the preparation of perfect CulnSe2 thin films.

  18. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  19. Real time observation of phase formations by XRD during Ga-rich or In-rich Cu(In, Ga)Se{sub 2} growth by co-evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Pistor, Paul; Zahedi-Azad, Setareh; Hartnauer, Stefan; Waegele, Leonard A.; Jarzembowski, Enrico; Scheer, Roland [Institute of Physics, Martin-Luther-University Halle-Wittenberg, Halle (Saale) (Germany)

    2015-09-15

    Solar cells with Cu(In, Ga)Se{sub 2} absorbers rely on the three-stage co-evaporation process with Cu-poor/Cu-rich/Cu-poor absorber deposition conditions for highest efficiency devices. During the three-stage process, the formation and evolution of different selenide phases with changing compositions throughout the process crucially determine the final absorber quality. In this contribution, we monitor the evolution of crystalline phases in real-time with an X-ray diffraction (XRD) line detector setup implemented into an evaporation setup. Using the common three-stage process, we prepare and compare samples covering the full alloying range from CuInSe{sub 2} to CuGaSe{sub 2}. The in situ XRD allows the detection of the crystalline phases present at all times of the process as well as an advanced analysis of the phase evolution through a closer look at peak shifts and the full width at half maximum. For samples with a Ga/(Ga + In) ratio (GGI) < 0.5, distinct phase transitions associated with the transition to the reported vacancy compounds Cu(In,Ga){sub 5}Se{sub 8} and Cu(In, Ga){sub 3}Se{sub 5} are observed. No such indication was found for samples with a GGI > 0.5. For Ga-rich Cu(In, Ga)Se{sub 2} phases with a GGI of 0.55, the XRD analysis evidenced a Ga-rich phase segregation before the stoichiometric point was reached. The above findings are discussed in view of their implication on wide gap solar cell performances. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Ultraviolet emitting (Y1-xGd x)2O3-δ thin films deposited by radio frequency magnetron sputtering; structure-property-thin film processing relationships

    International Nuclear Information System (INIS)

    Fowlkes, J.D.; Fitz-Gerald, J.M.; Rack, P.D.

    2007-01-01

    The effects that the oxygen partial pressure, substrate temperature and annealing temperature have on the cathodoluminescence (CL) efficiency of radio frequency magnetron sputter deposited Gd-doped Y 2 O 3 thin films is investigated. Furthermore these sputtering parameters are correlated to the degree of crystallinity, the phases present (cubic (α) versus monoclinic (β) Y 2 O 3 ), and the stoichiometry of the thin films. Films deposited at room temperature (RT) did not CL, however, the films were activated by a post-deposition anneal at 1273 K for 6 h. Films deposited at 873 K had a very low CL efficiency which was significantly enhanced by a post-deposition anneal. For RT deposited films the external CL efficiency increased with increasing oxygen partial pressure for the range studied, however the opposite trend was observed for the 873 K deposited films. Examination of the morphology and grain size of the high temperature deposited films revealed that the average grain size increased with decreasing partial pressure and the observed increase in the external CL efficiency was attributed to enhanced anomalous diffraction. An intrinsic CL efficiency term was determined to circumvent the effects of the enhanced anomalous diffraction, and the CL efficiency was correlated to the integrated intensity of the (222) of the cubic α-Y 2 O 3 phase

  1. Effect of angle of deposition on micro-roughness parameters and optical properties of HfO{sub 2} thin films deposited by reactive electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Tokas, R.B., E-mail: tokasstar@gmail.com; Jena, S.; Thakur, S.; Sahoo, N.K.

    2016-06-30

    Oblique angle deposited oxide thin films, in which refractive index profiles can be tailored across depth by tuning their microstructure using varying angle of deposition, have opened up new dimensions in fabrication of optical interference devices. Since surface morphology plays an important role for the qualification of these thin film devices for optical or other applications, it is important to investigate morphological properties of obliquely deposited thin films. In the present work, a set of HfO{sub 2} thin films have been deposited at several oblique angles. Morphological parameters of such thin films viz., correlation length, intrinsic roughness, fractal spectral strength, etc., have been determined through suitable modelling of extended power spectral density measured by atomic force microscopy. It has been found that intrinsic roughness and fractal spectral strength show an interesting behaviour with deposition angle and the same has been discussed in the light of atomic shadowing and re-emission and diffusion of ad-atoms. Further refractive index and thickness of such thin films have been estimated from optical transmission spectra through suitable modelling. Refractive index of such thin film varies from 1.93 to 1.37 as the deposition angle varies from normal to glancing angle (80°). Further, refractive index and grain size depict an opposite trend with deposition angle. This variation has been explained in terms of varying film porosity and column slanting with angle of deposition. - Highlights: • HfO{sub 2} thin films deposited at several oblique angles • Film deposited at 80° exhibits the highest grain size and intrinsic roughness (σ). • Fractal strength and σ depict an interesting trend with angle of deposition. • Refractive index and grain size depict an opposite trend with angle of deposition.

  2. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  3. F-doped SnO2 thin films grown on flexible substrates at low temperatures by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, H.; Auyeung, R.C.Y.; Pique, A.

    2011-01-01

    Fluorine-doped tin oxide (SnO 2 :F) films were deposited on polyethersulfone plastic substrates by pulsed laser deposition. The electrical and optical properties of the SnO 2 :F films were investigated as a function of deposition conditions such as substrate temperature and oxygen partial pressure during deposition. High quality SnO 2 :F films were achieved under an optimum oxygen pressure range (7.4-8 Pa) at relatively low growth temperatures (25-150 deg. C). As-deposited films exhibited low electrical resistivities of 1-7 mΩ-cm, high optical transmittance of 80-90% in the visible range, and optical band-gap energies of 3.87-3.96 eV. Atomic force microscopy measurements revealed a reduced root mean square surface roughness of the SnO 2 :F films compared to that of the bare substrates indicating planarization of the underlying substrate.

  4. Thermal expansion coefficients of obliquely deposited MgF2 thin films and their intrinsic stress.

    Science.gov (United States)

    Jaing, Cheng-Chung

    2011-03-20

    This study elucidates the effects of columnar angles and deposition angles on the thermal expansion coefficients and intrinsic stress behaviors of MgF2 films with columnar microstructures. The behaviors associated with temperature-dependent stresses in the MgF2 films are measured using a phase-shifting Twyman-Green interferometer with a heating stage and the application of a phase reduction algorithm. The thermal expansion coefficients of MgF2 films at various columnar angles were larger than those of glass substrates. The intrinsic stress in the MgF2 films with columnar microstructures was compressive, while the thermal stress was tensile. The thermal expansion coefficients of MgF2 films with columnar microstructures and their intrinsic stress evidently depended on the deposition angle and the columnar angle.

  5. Photoelectrocatalytic activity of liquid phase deposited α-Fe2O3 films under visible light illumination

    International Nuclear Information System (INIS)

    Zhang, Man; Pu, Wenhong; Pan, Shichang; Okoth, Otieno Kevin; Yang, Changzhu; Zhang, Jingdong

    2015-01-01

    Liquid phase deposition (LPD) technique was employed to prepare α-Fe 2 O 3 films for photoelectrocatalytic degradation of pollutants. The obtained LPD films were characterized by various surface analysis techniques such as X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) and X-ray photoelectron spectroscopy (XPS). The results indicated that α-Fe 2 O 3 films with porous structure were successfully deposited on the titanium substrates by the LPD process. The UV–Visible diffuse reflectance spectroscopic (DRS) analysis showed that the obtained LPD α-Fe 2 O 3 film mainly absorbed visible light, which was advantageous to the utilization of solar energy. Under visible light illumination, the Fe 2 O 3 film electrodes exhibited sensitive photocurrent responses, which were affected by the calcination temperature. Consistent with the photocurrent analysis, the α-Fe 2 O 3 film calcined at 600 °C showed the best photoelectrocatalytic performance, and different organic pollutants such as methyl orange (MO) and p-nitrophenol (PNP) were effectively degraded over the LPD film electrode by photoelectrocatalytic treatment under visible light illumination. - Highlights: • α-Fe 2 O 3 film is prepared by liquid phase deposition process. • LPD α-Fe 2 O 3 film has a porous structure and absorbs visible light. • Calcination temperature shows a significant effect on the PEC performance of α-Fe 2 O 3 film. • α-Fe 2 O 3 film is efficient for photoelectrocatalytic degradation of pollutants

  6. Structural properties of In2Se3 precursor layers deposited by spray pyrolysis and physical vapor deposition for CuInSe2 thin-film solar cell applications

    International Nuclear Information System (INIS)

    Reyes-Figueroa, P.; Painchaud, T.; Lepetit, T.; Harel, S.; Arzel, L.; Yi, Junsin; Barreau, N.; Velumani, S.

    2015-01-01

    The structural properties of In 2 Se 3 precursor thin films grown by chemical spray pyrolysis (CSP) and physical vapor deposition (PVD) methods were compared. This is to investigate the feasibility to substitute PVD process of CuInSe 2 (CISe) films by CSP films as precursor layer, thus decreasing the production cost by increasing material-utilization efficiency. Both films of 1 μm thickness were deposited at the same substrate temperature of 380 °C. X-ray diffraction and Raman spectra confirm the formation of γ-In 2 Se 3 crystalline phase for both films. The PVD and CSP films exhibited (110) and (006) preferred orientations, respectively. The PVD films showed a smaller full width at half maximum value (0.09°) compared with CSP layers (0.1°). Films with the same crystalline phase but with different orientations are normally used in the preparation of high quality CISe films by 3-stage process. Scanning electron microscope cross-section images showed an important difference in grain size with well-defined larger grains of size 1–2 μm in the PVD films as compared to CSP layers (600 nm). Another important characteristic that differentiates the two precursor films is the oxygen contamination. X-ray photoelectron spectroscopy showed the presence of oxygen in CSP films. The oxygen atoms could be bonded to indium by replacing Se vacancies, which are formed during CSP deposition. Taking account of the obtained results, such CSP films can be used as precursor layer in a PVD process in order to produce CISe absorber films. - Highlights: • To find the intricacies involved in spray pyrolysis (CSP) and physical vapor (PVD) deposition. • Comparison of CSP and PVD film formations — especially in structural properties. • Feasibility to substitute CSP (cheaper) films for PVD in the manufacturing process. • Decreasing the global production cost of Cu(In,Ga)Se 2 devices in the 3-stage process

  7. Self-assembled synthesis of 3D Cu(In1 − xGax)Se2 nanoarrays by one-step electroless deposition into ordered AAO template

    International Nuclear Information System (INIS)

    Zhang, Bin; Zheng, Maojun; Xiong, Zuzhou; Zhu, Changqing; Li, Hong; Wang, Faze; Shen, Wenzhong; Zhou, Tao; Ma, Li

    2014-01-01

    Quaternary nanostructured Cu(In 1 − x Ga x )Se 2 (CIGS) arrays were successfully fabricated via a novel and simple solution-based protocol on the electroless deposition method, using a flexible, highly ordered anodic aluminium oxide (AAO) substrate. This method does not require electric power, complicated sensitization processes, or complexing agents, but provides nearly 100% pore fill factor to AAO templates. The field emission scanning electron microscopy (FE-SEM) images show that we obtained uniformly three-dimensional nanostructured CIGS arrays, and we can tailor the diameter and wall thicknesses of the nanostructure by adjusting the pore diameter of the AAO and metal Mo layer. Their chemical composition was determined by energy-dispersive spectroscopy analysis, which is very close to the stoichiometric value. The Raman spectroscopy, x-ray diffraction (XRD) pattern, and transmission electron microscopy (TEM) further confirm the formation of nanostructured CIGS with prominent chalcopyrite structure. The nanostructured CIGS arrays can support the design of low-cost, highlight-trapping, and enhanced carrier collection nanostructured solar cells. (paper)

  8. Fast electrochemical deposition of Ni(OH)2 precursor involving water electrolysis for fabrication of NiO thin films

    Science.gov (United States)

    Koyama, Miki; Ichimura, Masaya

    2018-05-01

    Ni(OH)2 precursor films were deposited by galvanostatic electrochemical deposition (ECD), and NiO thin films were fabricated by annealing in air. The effects of the deposition current densities were studied in a range that included current densities high enough to electrolyze water and generate hydrogen bubbles. The films fabricated by ECD involving water electrolysis had higher transparency and smoother surface morphology than those deposited with lower current densities. In addition, the annealed NiO films clearly had preferred (111) orientation when the deposition was accompanied by water electrolysis. p-type conduction was confirmed for the annealed films.

  9. Synthesis of single phase chalcopyrite CuIn1−xGaxSe2 (0 ≤ x ≤ 1) nanoparticles by one-pot method

    International Nuclear Information System (INIS)

    Han, Zhaoxia; Zhang, Dawei; Chen, Qinmiao; Hong, Ruijin; Tao, Chunxian; Huang, Yuanshen; Ni, Zhengji; Zhuang, Songlin

    2014-01-01

    Graphical abstract: - Highlights: • A facile and rapid one-pot synthesis method is presented. • The effects of various Ga contents are investigated. • Single phase chalcopyrite CuIn 1−x Ga x Se 2 nanoparticles can be easily synthesized. • The phase formation sequence is from CuSe to CuGaSe 2 , then to CuIn 1−x Ga x Se 2 . • The possible reaction mechanism of CuIn 1−x Ga x Se 2 nanoparticles is proposed. - Abstract: Single phase chalcopyrite and near stoichiometric CuIn 1−x Ga x Se 2 (0 ≤ x ≤ 1) nanoparticles were successfully synthesized by using a facile and rapid one-pot method. The effects of various Ga contents on crystal phase, morphology, element composition and absorption spectrum of the as-synthesized CuIn 1−x Ga x Se 2 nanoparticles were investigated in detail. The XRD and Raman patterns indicated that the as-synthesized nanoparticles had a single phase chalcopyrite structure, and the diffraction peaks shifted toward larger diffraction angles or higher frequencies with increasing Ga content. The FE-SEM images showed that the as-synthesized nanoparticles were polydispersed in both size and shape, and the nanoparticles with higher Ga content were more prone to aggregate. The Vis–IR absorption spectra showed strong absorption in the entire visible light region. The estimated band gap increased from 1.00 eV to 1.68 eV as Ga content increasing

  10. Deposition of copper indium sulfide on TiO2 nanotube arrays and its application for photocatalytic decomposition of gaseous IPA

    Directory of Open Access Journals (Sweden)

    Young Ku

    2016-09-01

    Full Text Available TiO2 nanotube arrays (TNTs were modified with copper indium sulfide (Cu/In/S by successive ionic layer adsorption and reaction (SILAR method. The field-emission scanning electron microscopy and X-ray photoelectron spectroscopy analysis demonstrated the presence of copper indium sulfide nanoparticles on the surface of the modified TNTs. The Cu/In/S-modified TNTs exhibited higher photocurrent density and photocatalytic activity than plain TNTs. The concentration of sulfur precursor was found to be an important factor on the composition of modified Cu/In/S films by SILAR. Some composition deviations were observed on the stoichiometry of the Cu/In/S-modified TNTs, which evidently affected the electrochemical characteristics of the modified TNTs. Experiments using the modified TNTs of composition close to the stoichiometric ratio of CuInS2 usually delivered higher photocatalytic decomposition of gaseous isopropyl alcohol in air streams and exhibited better stability during operation.

  11. Selenization of CIS and CIGS layers deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Babu, B. J.; Egaas, B.; Velumani, S.

    2018-03-21

    Cu(In1-xGax)Se2 (CIGS) thin films with x=0 (CIS) and x=0.3 (CIGS) were prepared on Mo-coated glass substrate by using chemical spray pyrolysis at a substrate temperature of 350 degrees C, followed by selenization treatment at 550 degrees C in selenium environment under N2 gas flow. X-ray diffraction patterns of as-deposited CIGS layers on Mo showed polycrystalline chalcopyrite phase with an intense (112) plane. Splitting of (204)/(220) and (116)/(312) planes for the film with x=0.3 reveals deviation of tetragonal nature. Field emission scanning electron microscopy cross-sectional images of selenized films showed clear re-crystallization of grains. During the selenization process of the CIGS absorber, a thin interface layer of MoSe2 is formed. Line mapping of Mo/CIGS layer showed more gallium segregation at the interface of back contact resulting in band gap grading. Chemical composition and mapping of the as-deposited and selenized samples were determined by energy dispersive analysis of X-rays. This work leads to fabrication of low cost and large scale Mo/CIGS/CdS/ZnO/ZnO:Al device structure.

  12. Rapid processing method for solution deposited YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P

    2004-02-01

    YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm{sup 2} current density (J{sub c}) YBCO films, from multiple hours to {approx}20 s in atmospheric pressure air. High quality, {approx}0.2 {mu}m thick YBCO films with J{sub c} (77 K) values {>=}2 MA/cm{sup 2} at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO{sub 3}. This process has also enabled J{sub c} (77 K)=1.1 MA/cm{sup 2} YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable {approx}$10/kA m solution deposited YBCO coated conductor wires.

  13. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    Science.gov (United States)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  14. TiO2 anatase thin films deposited by spray pyrolysis of an aerosol of titanium diisopropoxide

    International Nuclear Information System (INIS)

    Conde-Gallardo, A.; Guerrero, M.; Castillo, N.; Soto, A.B.; Fragoso, R.; Cabanas-Moreno, J.G.

    2005-01-01

    Titanium dioxide thin films were deposited on crystalline silicon (100) and fused quartz substrates by spray pyrolysis (SP) of an aerosol, generated ultrasonically, of titanium diisopropoxide. The evolution of the crystallization, studied by X-ray diffraction (XRD), atomic force (AFM) and scanning electron microscopy (SEM), reflection and transmission spectroscopies, shows that the deposition process is nearly close to the classical chemical vapor deposition (CVD) technique, producing films with smooth surface and good crystalline properties. At deposition temperatures below 400 deg. C, the films grow in amorphous phase with a flat surface (roughness∼0.5 nm); while for equal or higher values to this temperature, the films develop a crystalline phase corresponding to the TiO 2 anatase phase and the surface roughness is increased. After annealing at 750 deg. C, the samples deposited on Si show a transition to the rutile phase oriented in (111) direction, while for those films deposited on fused quartz no phase transition is observed

  15. Morphology, composition and electrical properties of SnO{sub 2}:Cl thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Hsyi-En, E-mail: sean@mail.stust.edu.tw; Wen, Chia-Hui; Hsu, Ching-Ming [Department of Electro-Optical Engineering, Southern Taiwan University of Science and Technology, Tainan 71005, Taiwan (China)

    2016-01-15

    Chlorine doped SnO{sub 2} thin films were prepared using atomic layer deposition at temperatures between 300 and 450 °C using SnCl{sub 4} and H{sub 2}O as the reactants. Composition, structure, surface morphology, and electrical properties of the as-deposited films were examined. Results showed that the as-deposited SnO{sub 2} films all exhibited rutile structure with [O]/[Sn] ratios between 1.35 and 1.40. The electrical conductivity was found independent on [O]/[Sn] ratio but dependent on chlorine doping concentration, grain size, and surface morphology. The 300 °C-deposited film performed a higher electrical conductivity of 315 S/cm due to its higher chlorine doping level, larger grain size, and smoother film surface. The existence of Sn{sup 2+} oxidation state was demonstrated to minimize the effects of chlorine on raising the electrical conductivity of films.

  16. Effect of antimony incorporation on structural properties of CuInS2 crystals

    International Nuclear Information System (INIS)

    Ben Rabeh, M.; Chaglabou, N.; Kanzari, M.

    2010-01-01

    CuInS 2 (CIS) single crystals doped with 1, 2, 3 and 4 atomic percent (at.%) of antimony (Sb) were grown by the horizontal Bridgman method. The effect of Sb doping on the structural properties of CIS crystal was studied by means of X-ray diffraction (XRD), energy dispersive X-ray analysis (EDAX), scanning electron microscopy (SEM) and PL measurements. X-ray diffraction data suggests that the doping of Sb in the CIS single crystals does not affect the tetragonal (chalcopyrite) crystal structure and exhibited a (1 1 2) preferred orientation. In addition, with increasing Sb concentration, the X-ray diffraction analysis show that Sb doped CIS crystals are more crystallized and the diffraction peaks of the CuInS 2 phase were more pronounced in particular the (1 1 2) plane. EDAX study revealed that Sb atoms can occupy the indium site and/or occupying the sulfur site to make an acceptor. PL spectra of undoped and Sb doped CIS crystals show two emission peaks at 1.52 and 1.62 eV, respectively which decreased with increasing atomic percent antimony. Sb doped CIS crystals show p-type conductivity.

  17. Growth of Cu(In,Al)(Se,S)2 thin films by selenization and sulfurization for a wide bandgap absorber

    International Nuclear Information System (INIS)

    Fujiwara, C.; Kawasaki, Y.; Sato, T.; Sugiyama, M.; Chichibu, S.F.

    2010-01-01

    Full text : Chalcopyrite structure Cu(In 1 .xAlx)(S y Se 1 -y) 2 (CIASS) alloys are attracting attention as promising candidates for the light-absorbing medium of high conversion efficiency, low cost, and lightweight solar cells. In addition, according to the wide variation in the bandgap energy (1.0-3.5eV), multiple-junction or tandem solar cells able to be fabricated using CIASS films of different compositions, x and y. In fact, several research groups have recently fabricated Cu(In,Al)Se 2 -based solar cells, and a high μ of 16.9 percent has been demonstrated. The sulfurization following selenization of Cu(In,Ga)Se2 (CIGS) films is believed to be promising for bandgap engineering of absorber material. Furthermore, it has been reported that the controlled incorporation of sulfur into CIGS films reduces the carrier recombination in the space charge region due to the deep trap states. Therefore, the sulfurization following selenization is expected to be used as a method of growth of CIASS films. However, sulfurization condition following selenization for obtaining CIASS films has not been clarified. The crystal growth of CIASS must be studied for solar cell applications. In this study, the advantages of using sulfurization for the growth of CIASS will be presented. Cu-In-Al precursors were selenized using diethylselenide (DESe) at 515-570 degrees Celsium for 60- 90 min under atmospheric pressure. The flow rates of DESe and N 2 carrier gases were 35 imol/min and 2 L/min, respectively. The films were then sulfurized at 550 degrees Celsium using S vapor. These films were characterized by SEM, EDX, XRD, and PL measurements. Using the selenization and sulfurization technique, polycrystalline Cu(In,Al)Se 2 , CuIn(Se,S) 2 , CuInS 2 films with thickness of approximately 2.0 im were formed without additional annealing. The films adhered well to the Mo/SLG substrate, which was confirmed by the peeling test. Phase separations, i.e. distinct peaks corresponding to CuInSe 2

  18. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  19. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  20. Effects of annealing on the properties of atomic layer deposited Ru thin films deposited by NH{sub 3} and H{sub 2} as reactants

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Joon; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr

    2016-08-01

    Atomic layer deposition (ALD) of Ru using a non-oxidizing reactant is indispensable considering its application as a seed layer for Cu electroplating and a bottom electrode for dynamic random access memory capacitors. In this study, ALD-Ru films were deposited using a sequential supply of dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C{sub 16}H{sub 22}O{sub 6}Ru) and potential non-oxidizing reducing agents, NH{sub 3} or H{sub 2}, as the reactants at a substrate temperature of 250 °C, and the effects of post-annealing in a H{sub 2} ambient on the film properties were investigated. The highly conformal deposition of Ru films was possible using the present reaction scheme but its resistivity was as high as ~ 750 μΩ-cm due to carbon incorporation into the film and the formation of an amorphous structure. Low temperature annealing at 300 °C at H{sub 2} ambient after deposition was found to improve the properties significantly in terms of the resistivity, impurities contents and crystallinity. For example, the film resistivity was decreased drastically to ~ 40 μΩ-cm with both the release of C in the film and crystallization after annealing based on secondary ion mass spectrometry and transmission electron microscopy, whereas perfect step coverage at a very small-sized dual trench (aspect ratio: ~ 3, the top opening size of 45 nm and bottom size of 20 nm) was maintained after annealing. - Highlights: • Ru thin films were deposited by atomic layer deposition (ALD) using NH{sub 3} and H{sub 2} molecules. • Effects of low temperature (300 °C) post-annealing on the film properties were investigated. • Post annealing improved the properties of ALD-Ru films. • Perfect step coverage of ALD-Ru was confirmed at trench structure (top opening width: 45 nm).

  1. Low temperature deposition: Properties of SiO{sub 2} films from TEOS and ozone by APCVD system

    Energy Technology Data Exchange (ETDEWEB)

    Juarez, H; Diaz, T; Rosendo, E; Garcia, G; Mora, F; Escalante, G [Centro de Investigacion en Dispositivos Semiconductores, Universidad Autonoma de Puebla, 14 Sur and Av. San Claudio, San Manuel 72000, Puebla (Mexico); Pacio, M; GarcIa, A, E-mail: hjuarez@cs.buap.m [Ingenieria Electrica, Secciaan Electranica del Estado Salido, Centro de Investigacian y de Estudios Avanzados del I. P. N., Av. Instituto Politecnico Nacional 2508, San Pedro Zacatenco, 07360 Mexico, D. F. (Mexico)

    2009-05-01

    An Atmospheric Pressure Chemical Vapor Deposition (APCVD) system was implemented for SiO{sub 2} nanometric films deposition on silicon substrates. Tetraethoxysilane (TEOS) and ozone (O{sub 3}) were used and they were mixed into the APCVD system. The deposition temperatures were very low, from 125 to 250 {sup 0}C and the deposition time ranged from 1 to 15 minutes. The measured thicknesses from the deposited SiO{sub 2} films were between 5 and 300 nm. From the by Fourier-Transform Infrared (FTIR) spectra the typical absorption bands of the Si-O bond were observed and it was also observed a dependence on the vibrational modes corresponding to hydroxyl groups with the deposition temperature where the intensity of these vibrations can be related with the grade porosity grade of the films. Furthermore an analytical model has been evoked to determine the activation energy of the reactions in the surface and the gas phase in the deposit films process.

  2. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  3. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  4. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  5. Exploitation of inimitable properties of CuInS2 quantum dots for energy conversion in bulk heterojunction hybrid solar cell

    Science.gov (United States)

    Jindal, Shikha; Giripunje, Sushama M.

    2017-11-01

    Quantum dots (QDs) are the suitable material for solar cell devices owing to its distinctive optical, electrical and electronic properties. Currently, the most efficient devices have employed the toxic QDs which cause destructive impact on environment. In the present article, we have used environment benign CuInS2 QDs as an acceptor material in bulk heterojunction device of P3HT and QDs. The energy level positions corroborated from UPS spectra substantiates the acceptor property of CuInS2. We scrutinized the hybrid solar cell by tailoring the acceptor content in active layer. The increased acceptor content intensifies the performance of device. The enhancement in photovoltaic parameters is mainly due to the fast dissociation and extraction of photogenerated excitons which occurs with the larger wt% of acceptor QDs. Current density-voltage characteristics describes the greater V oc and I sc in the 60 wt% CuInS2 QDs based solar cell as compared to the low wt% of QDs in the active layer.

  6. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  7. Controllable nitrogen doping in as deposited TiO{sub 2} film and its effect on post deposition annealing

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Shaoren; Devloo-Casier, Kilian; Devulder, Wouter; Dendooven, Jolien; Deduytsche, Davy; Detavernier, Christophe, E-mail: Christophe.Detavernier@ugent.be [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Gent (Belgium); Verbruggen, Sammy W. [Department of Bio-Engineering Sciences, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp, Belgium and Center for Surface Chemistry and Catalysis, KU Leuven, Kasteelpark Arenberg 23, B-3001 Heverlee (Belgium); Lenaerts, Silvia [Department of Bio-Engineering Sciences, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Martens, Johan A. [Center for Surface Chemistry and Catalysis, KU Leuven, Kasteelpark Arenberg 23, B-3001 Heverlee (Belgium); Van den Berghe, Sven [SCK-CEN, Boeretang 200, B-2400 Mol (Belgium)

    2014-01-15

    In order to narrow the band gap of TiO{sub 2}, nitrogen doping by combining thermal atomic layer deposition (TALD) of TiO{sub 2} and plasma enhanced atomic layer deposition (PEALD) of TiN has been implemented. By altering the ratio between TALD TiO{sub 2} and PEALD TiN, the as synthesized TiO{sub x}N{sub y} films showed different band gaps (from 1.91 eV to 3.14 eV). In situ x-ray diffraction characterization showed that the crystallization behavior of these films changed after nitrogen doping. After annealing in helium, nitrogen doped TiO{sub 2} films crystallized into rutile phase while for the samples annealed in air a preferential growth of the anatase TiO{sub 2} along (001) orientation was observed. Photocatalytic tests of the degradation of stearic acid were done to evaluate the effect of N doping on the photocatalytic activity.

  8. Phase transitions in LiCoO2 thin films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Huang Rong; Hitosugi, Taro; Fisher, Craig A.J.; Ikuhara, Yumi H.; Moriwake, Hiroki; Oki, Hideki; Ikuhara, Yuichi

    2012-01-01

    Highlights: ► Epitaxial LiCoO 2 thin films were formed on the Al 2 O 3 (0 0 0 1) substrate by PLD at room temperature and annealed at 600 °C in air. ► The orientation relationship between film and substrate is revealed. ► Crystalline phases in the RT deposited and annealed thin films are clearly identified. ► Atomic level interface structure indicates an interface reaction during annealing. ► A phase transition mechanism from fully disordered LiCoO 2 to fully ordered LiCoO 2 is proposed. - Abstract: Microstructures of epitaxial LiCoO 2 thin films formed on the (0 0 0 1) surface of sapphire (α-Al 2 O 3 ) substrates by pulsed laser deposition at room temperature and annealed at 600 °C in air were investigated by a combination of selected-area electron diffraction, high-resolution transmission electron microscopy, spherical-aberration-corrected high-angle annular dark-field scanning transmission electron microscopy, and electron energy-loss spectroscopy. As-deposited LiCoO 2 thin films consisted of epitaxial grains of the fully cation-disordered phase (γ) with a cubic rock-salt structure. During annealing, this cubic-structured phase transformed into the fully ordered trigonal (α) phase oriented with its basal plane parallel to the surface of the sapphire substrate. Although overall the film appeared to be a single crystal, a small number of Co 3 O 4 grains were also observed in annealed thin films, indicating that some Li and O had been lost during processing. The atomically sharp interface between the film and substrate also became rougher during annealing, with step defects being formed, suggesting that a localized reaction occurred at the interface.

  9. Enhancement of Jc of MgB2 thin films by introduction of oxygen during deposition

    International Nuclear Information System (INIS)

    Mori, Zon; Doi, Toshiya; Hakuraku, Yoshinori; Kitaguchi, Hitoshi

    2006-01-01

    The introduction of various pinning center are examined as the effective means for improvement of J c of MgB 2 thin films. We have investigated the effects of introduction of oxygen during deposition on the superconducting properties of MgB 2 thin films. MgB 2 thin films were prepared on polished sapphire C(0001) single crystal substrates by using electron beam evaporation technique (EB) without any post-annealing. The background pressure was less than 1.3x10 -6 Pa. The evaporation flux ratio of Mg was set at 30 times as high as that of B, and the growth rate of MgB 2 film was 1nm/s. The film thickness was typically 300nm at 5min deposition. The substrate temperature was 245 deg. C. Under these conditions, we controlled the oxygen partial pressure (P O 2 ) within the range from 1.3x10 -6 to 1.3x10 -3 Pa by using a quadrapole mass spectrometer. Although T c of deposited thin film decreased in order of P O 2 , ΔM in the magnetization hysteresis loops measured from 0 to 6T at 4.2K increased up to 1.3x10 -5 . On the other hand, thin film prepared under P O 2 of 1.3x10 -3 Pa does not show superconducting transition. Between these films, there is no difference in the crystal structure from X-ray diffraction (XRD). These results suggest that the pinning center in the thin films increased by introduction of oxygen. Extremely small amount of oxygen introduction has enabled the control of growth of oxide

  10. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  11. Microstructural comparison of Yba2Cu3O7-x thin films laser deposited in O2 and O2/Ar ambient

    DEFF Research Database (Denmark)

    Verbist, K.; Kyhle, Anders; Vasiliev, A.L.

    1996-01-01

    The use of a diluted O-2/Ar atmosphere-for laser deposition of YBa2Cu3O7-x thin films results in a strong decrease of the surface outgrowth density as compared to deposition in pure O-2. The smoother films need a longer oxygenation period and show slightly lower critical current densities; though...... still in excess of 10(6) A cm(-2) at 77 K. Electron microscopy revealed that the outgrowths mainly consist of a large copper-oxide grain connected to Y2O3 grains. Y2O3 nano-scale inclusions are present irrespective of the deposition atmosphere, however at remarkably low densities compared to other...... literature data. We find that the twin plane density is lower and the twin structure more homogeneous in the case of films deposited in a mixture of O-2/Ar. This we ascribe to the absence of surface outgrowths which seem to block regular twin structure formation. Possibly the differences in necessary post...

  12. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  13. Influence of film thickness on structural, optical, and electrical properties of spray deposited antimony doped SnO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, Abhijit A., E-mail: aay_physics@yahoo.co.in

    2015-09-30

    Transparent conducting antimony doped SnO{sub 2} thin films with varying thickness were deposited by chemical spray pyrolysis technique from non-aqueous solvent Propan-2-ol. The effect of film thickness on the properties of antimony doped SnO{sub 2} thin films have been studied. X-ray diffraction measurements showed tetragonal crystal structure of as-deposited antimony doped SnO{sub 2} films irrespective of film thickness. The surface morphology of antimony doped SnO{sub 2} thin film is spherical with the continuous distribution of grains. Electrical and optical properties were investigated by Hall Effect and optical measurements. The average optical transmittance of films decreased from 89% to 73% within the visible range (350–850 nm) with increase in film thickness. The minimum value of sheet resistance observed is 4.81 Ω/cm{sup 2}. The lowest resistivity found is 3.76 × 10{sup −4} Ω cm at 660 nm film thickness. - Highlights: • Effect of film thickness on the properties of antimony doped SnO{sub 2} thin films • Crystalline size in the range of 34–37 nm • Average transmittance decreased from 89% to 73% in the visible region. • Minimum sheet resistance of 4.81 Ω/cm{sup 2} • Lowest resistivity is found to be 3.76 × 10{sup −4} Ω cm at 660 nm film thickness.

  14. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  15. Sputter deposition of BSCCO films from a hollow cathode

    International Nuclear Information System (INIS)

    Lanagan, M.T.; Kampwirth, R.T.; Doyle, K.; Kowalski, S.; Miller, D.; Gray, K.E.

    1991-01-01

    High-T c superconducting thin films were deposited onto MgO single crystal substrates from a hollow cathode onto ceramic targets with the nominal composition of Bi 2 Sr 2 CaCu 2 O x . Films similar in composition to those used for the targets were deposited on MgO substrates by rf sputtering. The effects of sputtering time, rf power, and post-annealing on film microstructure and properties were studied in detail. Substrate temperature was found to have a significant influence on the film characteristics. Initial results show that deposition rates from a hollow cathode are an order of magnitude higher than those of a planar magnetron source at equivalent power levels. Large deposition rates allow for the coating of long lengths of wire

  16. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  17. Optical and electrical characterization of AgInS{sub 2} thin films deposited by spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Calixto-Rodriguez, M., E-mail: manuela@fis.unam.mx [Instituto de Ciencias Fisicas-Universidad Nacional Autonoma de Mexico, Apartado Postal 48-3, 62210, Cuernavaca, Morelos (Mexico); Martinez, H. [Instituto de Ciencias Fisicas-Universidad Nacional Autonoma de Mexico, Apartado Postal 48-3, 62210, Cuernavaca, Morelos (Mexico); Calixto, M.E. [Instituto de Fisica, Benemerita Universidad Autonoma de Puebla, Apartado Postal J-48, 72570, Puebla, Puebla (Mexico); Pena, Y. [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba s/n, Ciudad Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico); Martinez-Escobar, Dalia [Centro de Investigacion en Energia-Universidad Nacional Autonoma de Mexico, 62580, Temixco, Morelos (Mexico); Tiburcio-Silver, A. [Instituto Tecnologico de Toluca-SEP, Apartado Postal 20, 52176, Metepec 3, Estado de Mexico (Mexico); Sanchez-Juarez, A. [Centro de Investigacion en Energia-Universidad Nacional Autonoma de Mexico, 62580, Temixco, Morelos (Mexico)

    2010-10-25

    Silver indium sulfide (AgInS{sub 2}) thin films have been prepared by spray pyrolysis (SP) technique using silver acetate, indium acetate, and N, N-dimethylthiourea as precursor compounds. Films were deposited onto glass substrates at different substrate temperatures (T{sub s}) and Ag:In:S ratios in the starting solutions. Optical transmission and reflection as well as electrical measurements were performed in order to study the effect of deposition parameters on the optical and electrical properties of AgInS{sub 2} thin films. X-ray diffraction measurements were used to identify the deposited compounds. It was found that different compounds such as AgInS{sub 2}, Ag{sub 2}S, In{sub 2}O{sub 3}, and In{sub 2}S{sub 3} can be grown only by changing the Ag:In:S ratio in the starting solution and T{sub s}. So that, by carefully selecting the deposition parameters, single phase AgInS{sub 2} thin films can be easily grown. Thin films obtained using a molar ratio of Ag:In:S = 1:1:2 and T{sub s} = 400 {sup o}C, have an optical band gap of 1.9 eV and n-type electrical conductivity with a value of 0.3 {Omega}{sup -1} cm{sup -1} in the dark.

  18. Atomic layer deposition of Ru thin film using N{sub 2}/H{sub 2} plasma as a reactant

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Tae Eun [Busan Center, Korea Basic Science Institute, 1275 Jisadong, Gangseogu, Busan, 618-230 (Korea, Republic of); Mun, Ki-Yeung; Choi, Sang-Kyung; Park, Ji-Yoon [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Cheon, Taehoon [Center for Core Research Facilities, Daegu Gyeongbuk Institute of Science and Technology, Sang-ri, Hyeonpung-myeon, Dalseong-gun, Daegu (Korea, Republic of); Kim, Woo Kyoung [School of Chemical Engineering, Yeungnam University, 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Lim, Byoung-Yong; Kim, Sunjung [School of Materials Science and Engineering, University of Ulsan, Mugeo-dong, Nam-go, Ulsan, 680-749 (Korea, Republic of)

    2012-07-31

    Ruthenium (Ru) thin films were grown by atomic layer deposition using IMBCHRu [({eta}6-1-Isopropyl-4-MethylBenzene)({eta}4-CycloHexa-1,3-diene)Ruthenium(0)] as a precursor and a nitrogen-hydrogen mixture (N{sub 2}/H{sub 2}) plasma as a reactant, at the substrate temperature of 270 Degree-Sign C. In the wide range of the ratios of N{sub 2} and total gas flow rates (fN{sub 2}/N{sub 2} + H{sub 2}) from 0.12 to 0.70, pure Ru films with negligible nitrogen incorporation of 0.5 at.% were obtained, with resistivities ranging from {approx} 20 to {approx} 30 {mu} Ohm-Sign cm. A growth rate of 0.057 nm/cycle and negligible incubation cycle for the growth on SiO{sub 2} was observed, indicating the fast nucleation of Ru. The Ru films formed polycrystalline and columnar grain structures with a hexagonal-close-packed phase. Its resistivity was dependent on the crystallinity, which could be controlled by varying the deposition parameters such as plasma power and pulsing time. Cu was electroplated on a 10-nm-thick Ru film. Interestingly, it was found that the nitrogen could be incorporated into Ru at a higher reactant gas ratio of 0.86. The N-incorporated Ru film ({approx} 20 at.% of N) formed a nanocrystalline and non-columnar grain structure with the resistivity of {approx} 340 {mu} Ohm-Sign cm. - Highlights: Black-Right-Pointing-Pointer Atomic layer deposition (ALD) of Ru and N-incorporated Ru film using N{sub 2}/H{sub 2} plasma. Black-Right-Pointing-Pointer The growth rate of 0.057 nm/cycle and negligible incubation cycle. Black-Right-Pointing-Pointer A low resistivity of Ru ({approx} 16.5 {mu} Ohm-Sign cm) at the deposition temperature of 270 Degree-Sign C. Black-Right-Pointing-Pointer Electroplating of Cu on a 10-nm-thick ALD-Ru film.

  19. Chemical vapor deposition of ZrO{sub 2} thin films using Zr(NEt{sub 2}){sub 4} as precursor

    Energy Technology Data Exchange (ETDEWEB)

    Bastianini, A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Battiston, G.A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Gerbasi, R. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Porchia, M. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Daolio, S. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati]|[CNR, Ist. di Polarografia ed Elettrochimica Preparativa, Padova (Italy)

    1995-06-01

    By using tetrakis(diethylamido) zirconium [Zr(NEt{sub 2}){sub 4}], excellent quality ZrO{sub 2} thin films were deposited with high growth rates on alumina and glass substrates by chemical vapor deposition. The depositions were carried out in a hot wall reactor at reduced pressure (200 Pa) in the temperature range 500-580 C and in the presence of oxygen. The as-grown films are colourless, smooth and well-adherent to the substrates. SIMS analysis evidenced pure ZrO{sub 2} with a slight superficial contamination of hydrocarbons and nitrogen. The films have a tapered polycrystalline columnar structure well visible in SEM micrographs. From X-ray diffraction analysis, the monoclinic phase resulted as the major phase together with a small variable amount of tetragonal zirconia. Under 550 C the as-grown films resulted highly textured and were dominated by the (020) orientation. The films were annealed in the range 600-1000 C and the effect of annealing on the texture and on the phase and dimensions of the crystallites have been studied. (orig.).

  20. DC sputter deposition of amorphous indium-gallium-zinc-oxide (a-IGZO) films with H2O introduction

    International Nuclear Information System (INIS)

    Aoi, Takafumi; Oka, Nobuto; Sato, Yasushi; Hayashi, Ryo; Kumomi, Hideya; Shigesato, Yuzo

    2010-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) films were deposited by dc magnetron sputtering with H 2 O introduction and how the H 2 O partial pressure (P H 2 O ) during the deposition affects the electrical properties of the films was investigated in detail. Resistivity of the a-IGZO films increased dramatically to over 2 x 10 5 Ωcm with increasing P H 2 O to 2.7 x 10 -2 Pa while the hydrogen concentration in the films increased to 2.0 x 10 21 cm -3 . TFTs using a-IGZO channels deposited under P H 2 O at 1.6-8.6 x 10 -2 Pa exhibited a field-effect mobility of 1.4-3.0 cm 2 /Vs, subthreshold swing of 1.0-1.6 V/decade and on-off current ratio of 3.9 x 10 7 -1.0 x 10 8 .

  1. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N.; Martinez-Landeros, V.; Mejia, I.; Aguirre-Tostado, F.S.; Nascimento, C.D.; Azevedo, G. de M; Krug, C.; Quevedo-Lopez, M.A.

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10 −1 to 10 4 Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10 19 to 10 13 cm −3 and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm 2 /V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10 19 to 10 13 cm −3 . • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied

  2. Morphological study of electrophoretically deposited TiO2 film for DSSC application

    Science.gov (United States)

    Patel, Alkesh B.; Patel, K. D.; Soni, S. S.; Sonigara, K. K.

    2018-05-01

    In the immerging field of eco-friendly and low cost photovoltaic devices, dye sensitized solar cell (DSSC) [1] has been investigated as promising alternative to the conventional silicon-based solar cells. In the DSSC device, photoanode is crucial component that take charge of holding sensitizer on it and inject the electrons from the sensitizer to current collector. Nanoporous TiO2 is the most relevant candidate for the preparation of photoanode in DSSCs. Surface properties, morphology, porosity and thickness of TiO2 film as well as preparation technique determine the performance of device. In the present work we have report the study of an effect of nanoporous anatase titanium dioxide (TiO2) film thickness on DSSC performance. Photoanode TiO2 (P25) film was deposited on conducting substrate by electrophoresis technique (EPD) and film thickness was controlled during deposition by applying different current density for a constant time interval. Thickness and surface morphology of prepared films was studied by SEM and transmittance analysis. The same set of photoanode was utilized in DSSC devices using metal free organic dye sensitizer to evaluate the photovoltaic performance. Devices were characterized through Current-Voltage (I-V) characteristic, electrochemical impedance spectroscopy (EIS) and open circuit voltage decay curves. Dependency of device performance corresponding to TiO2 film thickness is investigated through the lifetime kinetics of electron charge transfer mechanism trough impedance fitting. It is concluded that appropriate thickness along with uniformity and porosity are required to align the dye molecules to respond efficiently the incident light photons.

  3. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  4. Structural and optical properties of pulse laser deposited Ag2O thin films

    Science.gov (United States)

    Agasti, Souvik; Dewasi, Avijit; Mitra, Anirban

    2018-05-01

    We deposited Ag2O films in PLD system on glass substrate for a fixed partial oxygen gas pressure (70 mili Torr) and, with a variation of laser energy from 75 to 215 mJ/Pulse. The XRD patterns confirm that the films have well crystallinity and deposited as hexagonal lattice. The FESEM images show that the particle size of the films increased from 34.84 nm to 65.83 nm. The composition of the films is analyzed from EDX spectra which show that the percentage of oxygen increased by the increment of laser energy. From the optical characterization, it is observed that the optical band gap appears in the visible optical range in an increasing order from 0.87 to 0.98 eV with the increment of laser energy.

  5. Analysis of Electrical Characteristics of Thin Film Photovoltaic Cells

    Science.gov (United States)

    Kasick, Michael P.

    2004-01-01

    Solar energy is the most abundant form of energy in many terrestrial and extraterrestrial environments. Often in extraterrestrial environments sunlight is the only readily available form of energy. Thus the ability to efficiently harness solar energy is one of the ultimate goals in the design of space power systems. The essential component that converts solar energy into electrical energy in a solar energy based power system is the photovoltaic cell. Traditionally, photovoltaic cells are based on a single crystal silicon absorber. While silicon is a well understood technology and yields high efficiency, there are inherent disadvantages to using single crystal materials. The requirements of weight, large planar surfaces, and high manufacturing costs make large silicon cells prohibitively expensive for use in certain applications. Because of silicon s disadvantages, there is considerable ongoing research into alternative photovoltaic technologies. In particular, thin film photovoltaic technologies exhibit a promising future in space power systems. While they are less mature than silicon, the better radiation hardness, reduced weight, ease of manufacturing, low material cost, and the ability to use virtually any exposed surface as a substrate makes thin film technologies very attractive for space applications. The research group lead by Dr. Hepp has spent several years researching copper indium disulfide as an absorber material for use in thin film photovoltaic cells. While the group has succeeded in developing a single source precursor for CuInS2 as well as a unique method of aerosol assisted chemical vapor deposition, the resulting cells have not achieved adequate efficiencies. While efficiencies of 11 % have been demonstrated with CuInS2 based cells, the cells produced by this group have shown efficiencies of approximately 1 %. Thus, current research efforts are turning towards the analysis of the individual layers of these cells, as well as the junctions between

  6. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  7. Atmospheric Plasma Deposition of SiO2 Films for Adhesion Promoting Layers on Titanium

    Directory of Open Access Journals (Sweden)

    Liliana Kotte

    2014-12-01

    Full Text Available This paper evaluates the deposition of silica layers at atmospheric pressure as a pretreatment for the structural bonding of titanium (Ti6Al4V, Ti15V3Cr3Sn3Al in comparison to an anodizing process (NaTESi process. The SiO2 film was deposited using the LARGE plasma source, a linearly extended DC arc plasma source and applying hexamethyldisiloxane (HMDSO as a precursor. The morphology of the surface was analyzed by means of SEM, while the characterization of the chemical composition of deposited plasma layers was done by XPS and FTIR. The long-term durability of bonded samples was evaluated by means of a wedge test in hot/wet condition. The almost stoichiometric SiO2 film features a good long-term stability and a high bonding strength compared to the films produced with the wet-chemical NaTESi process.

  8. Electrochemical deposition of Mg(OH)2/GO composite films for corrosion protection of magnesium alloys

    OpenAIRE

    Fengxia Wu; Jun Liang; Weixue Li

    2015-01-01

    Mg(OH)2/graphene oxide (GO) composite film was electrochemical deposited on AZ91D magnesium alloys at constant potential. The characteristics of the Mg(OH)2/GO composite film were investigated by scanning electron microscope (SEM), energy-dispersive X-ray spectrometry (EDS), X-ray diffractometer (XRD) and Raman spectroscopy. It was shown that the flaky GO randomly distributed in the composite film. Compared with the Mg(OH)2 film, the Mg(OH)2/GO composite film exhibited more uniform and compac...

  9. Uniform thin films of TiO2 nanoparticles deposited by matrix-assisted pulsed laser evaporation

    International Nuclear Information System (INIS)

    Caricato, A.P.; Manera, M.G.; Martino, M.; Rella, R.; Romano, F.; Spadavecchia, J.; Tunno, T.; Valerini, D.

    2007-01-01

    We report morphological and optical properties of a colloidal TiO 2 nanoparticle film, deposited on a quartz substrate by using the Matrix-Assisted Pulsed Laser Evaporation (MAPLE) technique. Atomic Force Microscopy demonstrated that a good uniformity of the deposition can be obtained. The presence of agglomerates with dimensions of about 1 μm in size was noticed. Form UV-vis transmission spectra, recorded in the 200-800 nm range, the optical constants and the energy gap were determined besides the film thickness. The optical constants resulted in agreement with the values reported in literature for TiO 2 nanoparticle thin films

  10. Investigation of defects in ultra-thin Al{sub 2}O{sub 3} films deposited on pure copper by the atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Wang, L.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, K.M. [Department of Materials Science and Engineering, Feng Chia University, No. 100, Wenhwa Road, Seatwen, Taichung 40724, Taiwan (China)

    2015-12-30

    Graphical abstract: Some residual OH ligands originating from incomplete reaction between TMA and surface species of OH* during ALD process induce the defects in deposited Al{sub 2}O{sub 3} films. Three possible types of defects are suggested. The analytic results indicate the defects are Type-I and/or Type-II but do not directly expose the substrate, like pinholes (Type-III). - Highlights: • Oxidation trials were conducted to investigate the defects in ultra-thin Al{sub 2}O{sub 3} films deposited ALD technique on pure copper. • The residual OH ligands in the deposited Al{sub 2}O{sub 3} films induce looser micro-structure which has worse oxidation resistance. • Superficial contamination particles on substrate surface are confirmed to be one of nucleation sites of the defects. - Abstract: Al{sub 2}O{sub 3} films with various thicknesses were deposited by the atomic layer deposition (ALD) technique on pure copper at temperatures of 100–200 °C. Oxidation trials were conducted in air at 200 °C to investigate the defects in these films. The analytic results show that the defects have a looser micro-structure compared to their surroundings, but do not directly expose the substrate, like pinholes. The film's crystallinity, mechanical properties and oxidation resistance could also be affected by these defects. Superficial contamination particles on the substrate surface are confirmed to be nucleation sites of the defects. A model for the mechanism of defect formation is proposed in this study.

  11. Electrical and optical properties of nitrogen doped SnO2 thin films deposited on flexible substrates by magnetron sputtering

    International Nuclear Information System (INIS)

    Fang, Feng; Zhang, Yeyu; Wu, Xiaoqin; Shao, Qiyue; Xie, Zonghan

    2015-01-01

    Graphical abstract: The best SnO 2 :N TCO film: about 80% transmittance and 9.1 × 10 −4 Ω cm. - Highlights: • Nitrogen-doped tin oxide film was deposited on PET by RF-magnetron sputtering. • Effects of oxygen partial pressure on the properties of thin films were investigated. • For SnO 2 :N film, visible light transmittance was 80% and electrical resistivity was 9.1 × 10 −4 Ω cm. - Abstract: Nitrogen-doped tin oxide (SnO 2 :N) thin films were deposited on flexible polyethylene terephthalate (PET) substrates at room temperature by RF-magnetron sputtering. Effects of oxygen partial pressure (0–4%) on electrical and optical properties of thin films were investigated. Experimental results showed that SnO 2 :N films were amorphous state, and O/Sn ratios of SnO 2 :N films were deviated from the standard stoichiometry 2:1. Optical band gap of SnO 2 :N films increased from approximately 3.10 eV to 3.42 eV as oxygen partial pressure increased from 0% to 4%. For SnO 2 :N thin films deposited on PET, transmittance was about 80% in the visible light region. The best transparent conductive oxide (TCO) deposited on flexible PET substrates was SnO 2 :N thin films preparing at 2% oxygen partial pressure, the transmittance was about 80% and electrical conductivity was about 9.1 × 10 −4 Ω cm

  12. Study of Sb2S3 thin films deposited by SILAR method

    Science.gov (United States)

    Deshpande, M. P.; Chauhan, Krishna; Patel, Kiran N.; Rajput, Piyush; Bhoi, Hiteshkumar R.; Chaki, S. H.

    2018-05-01

    In the present work, we deposited Sb2S3 thin films on glass slide by successive ionic layer adsorption and reaction (SILAR) technique with different time cycles. From EDAX, we could observe that the films were non-stoichiometric and contained few elements from glass slide. X-ray diffraction has shown that these films are orthorhombic in structure from where we have calculated the lattice parameter and crystallize size. SEM images shows that SILAR synthesized Sb2S3 thin films are homogenous and well distributed indicating the formation of uniform thin films at lower concentration. The room temperature Raman spectra of Sb2S3 thin films showed sharp peaks at 250 cm‑1 and 300 cm‑1 for all cases. Room temperature photoluminescence emission spectrum shows broad bands over 430–480 nm range with strong blue emission peak centered at same wavelength of 460 nm (2.70 eV) for all cases.

  13. Optical properties of electrochemically deposited CuInSe sub 2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Guillen, C; Herrero, J [Inst. de Energias Renovables (CIEMAT), Madrid (Spain)

    1991-11-01

    Polycrystalline thin films of CuInSe{sub 2} within a wide composition range have been electrochemically deposited. Their optical properties in the near-infrared and visible range, 400-2000 nm, have been studied in relation to the deposition potential and film thickness. An absorption coefficient ({alpha}) as high as 10{sup 5} cm{sup -1} is observed at short wavelength ({lambda} < 700 nm), but near the band edge {alpha} has a value about 10{sup 4} cm{sup -1}. The observed absorption coefficient variation is due to an allowed direct transition with an energy in the range 0.88-0.96 eV and an additional forbidden direct transition with an energy in the range 1.32-1.41 eV, where the absorption coefficient depends on the deposition potential, and the possible phase nature of the material, with band gap narrowing when the potential becomes anodic. The values of {alpha} and transition energies also depend on the film thickness for samples up to 0.6 {mu}m thick. (orig.).

  14. Thermally-driven H interaction with HfO2 films deposited on Ge(100) and Si(100)

    Science.gov (United States)

    Soares, G. V.; Feijó, T. O.; Baumvol, I. J. R.; Aguzzoli, C.; Krug, C.; Radtke, C.

    2014-01-01

    In the present work, we investigated the thermally-driven H incorporation in HfO2 films deposited on Si and Ge substrates. Two regimes for deuterium (D) uptake were identified, attributed to D bonded near the HfO2/substrate interface region (at 300 °C) and through the whole HfO2 layer (400-600 °C). Films deposited on Si presented higher D amounts for all investigated temperatures, as well as, a higher resistance for D desorption. Moreover, HfO2 films underwent structural changes during annealings, influencing D incorporation. The semiconductor substrate plays a key role in this process.

  15. Influence of deposition parameters and annealing on Cu2ZnSnS4 thin films grown by SILAR

    International Nuclear Information System (INIS)

    Patel, Kinjal; Shah, Dimple V.; Kheraj, Vipul

    2015-01-01

    Highlights: • Optimisation of Cu 2 ZnSnS 4 (CZTS) thin film deposition using SILAR method. • Study on effects of annealing at different temperature under two different ambients, viz. sulphur and tin sulphide. • Formation of CZTS thin films with good crystalline quality confirmed by XRD and Raman spectra. - Abstract: Cu 2 ZnSnS 4 (CZTS) thin films were deposited on glass substrates using Successive Ionic Layer Adsorption and Reaction (SILAR) technique at the room-temperature. The deposition parameters such as concentration of precursors and number of cycles were optimised for the deposition of uniform CZTS thin films. Effects of annealing at different temperature under two different ambient, viz. sulphur and tin sulphide have also been investigated. The structural and optical properties of the films were studied using X-ray diffraction, scanning electron microscopy, Raman spectroscopy and UV-visible spectra in light with the deposition parameters and annealing conditions. It is observed that a good quality CZTS film can be obtained by SILAR at room temperature followed by annealing at 500 °C in presence of sulphur

  16. Investigation of Al2O3 barrier film properties made by atomic layer deposition onto fluorescent tris-(8-hydroxyquinoline) aluminium molecular films

    International Nuclear Information System (INIS)

    Maindron, Tony; Aventurier, Bernard; Ghazouani, Ahlem; Jullien, Tony; Rochat, Névine; Simon, Jean-Yves; Viasnoff, Emilie

    2013-01-01

    Al 2 O 3 films have been deposited at 85 °C by atomic layer deposition onto single 100 nm thick tris-(8-hydroxyquinoline) aluminium (AlQ 3 ) films made onto silicon wafers. It has been found that a thick ALD-deposited Al 2 O 3 layer (> 11 nm) greatly prevents the photo-oxidation of AlQ 3 films when exposed to continuous UV irradiation (350 mW/cm 2 ). Thin Al 2 O 3 thicknesses (< 11 nm) on the contrary yield lower barrier performances. Defects in the Al 2 O 3 layer have been easily observed as non-fluorescent AlQ 3 singularities, or black spots, under UV light on the system Si/AlQ 3 /Al 2 O 3 stored into laboratory conditions (22 °C/50% Relative Humidity (RH)) for long time scale (∼ 2000 h). Accelerated aging conditions in a climatic chamber (85 °C/85% RH) also allow faster visualization of the same defects (168 h). The black spot density grows upon time and the black spot density occurrence rates have been calculated to be 0.024 h −1 ·cm −2 and 0.243 h −1 ·cm −2 respectively for the two testing conditions. A detailed investigation of these defects did show that they cannot be ascribed to the presence of a detectable particle. In that sense they are presumably the consequence of the existence of nanometre-scaled defects which cannot be detected onto fresh samples. Interestingly, an additional overcoating of ebeam-deposited SiO 2 onto the Si/AlQ 3 /Al 2 O 3 sample helps to decrease drastically the black spot density occurrence rates down to 0.004 h −1 ·cm −2 and 0.04 h −1 ·cm −2 respectively for 22 °C/50% RH and 85 °C/85% RH testing conditions. These observations highlight the moisture sensitivity of low temperature ALD-deposited Al 2 O 3 films and confirm the general idea that a single Al 2 O 3 ALD film performs as an ultra-high barrier but needs to be overprotected from water condensation by an additional moisture-stable layer. - Highlights: • Thin Al 2 O 3 films have been deposited by atomic layer deposition onto organic films.

  17. Synthesis of nanocrystalline nickel-zinc ferrite (Ni0.8Zn0.2Fe2O4) thin films by chemical bath deposition method

    International Nuclear Information System (INIS)

    Pawar, D.K.; Pawar, S.M.; Patil, P.S.; Kolekar, S.S.

    2011-01-01

    Graphical abstract: Display Omitted Research highlights: → We have successfully synthesized nickel-zinc ferrite (Ni 0.8 Zn 0.2 Fe 2 O 4 ) thin films on stainless steel substrates using a low temperature chemical bath deposition method. → The surface morphological study showed the compact flakes like morphology. → The as-deposited thin films are hydrophilic (10 o o ) whereas the annealed thin films are super hydrophilic (θ o ) in nature. → Ni 0.8 Zn 0.2 Fe 2 O 4 thin films could be used in supercapacitor. - Abstract: The nickel-zinc ferrite (Ni 0.8 Zn 0.2 Fe 2 O 4 ) thin films have been successfully deposited on stainless steel substrates using a chemical bath deposition method from alkaline bath. The films were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), static water contact angle and cyclic voltammetry measurements. The X-ray diffraction pattern shows that deposited Ni 0.8 Zn 0.2 Fe 2 O 4 thin films were oriented along (3 1 1) plane. The FTIR spectra showed strong absorption peaks around 600 cm -1 which are typical for cubic spinel crystal structure. SEM study revealed compact flakes like morphology having thickness ∼1.8 μm after air annealing. The annealed films were super hydrophilic in nature having a static water contact angle (θ) of 5 o .The electrochemical supercapacitor study of Ni 0.8 Zn 0.2 Fe 2 O 4 thin films has been carried out in 6 M KOH electrolyte. The values of interfacial and specific capacitances obtained were 0.0285 F cm -2 and 19 F g -1 , respectively.

  18. Biocompatibility and Surface Properties of TiO2 Thin Films Deposited by DC Magnetron Sputtering

    Science.gov (United States)

    López-Huerta, Francisco; Cervantes, Blanca; González, Octavio; Hernández-Torres, Julián; García-González, Leandro; Vega, Rosario; Herrera-May, Agustín L.; Soto, Enrique

    2014-01-01

    We present the study of the biocompatibility and surface properties of titanium dioxide (TiO2) thin films deposited by direct current magnetron sputtering. These films are deposited on a quartz substrate at room temperature and annealed with different temperatures (100, 300, 500, 800 and 1100 °C). The biocompatibility of the TiO2 thin films is analyzed using primary cultures of dorsal root ganglion (DRG) of Wistar rats, whose neurons are incubated on the TiO2 thin films and on a control substrate during 18 to 24 h. These neurons are activated by electrical stimuli and its ionic currents and action potential activity recorded. Through X-ray diffraction (XRD), the surface of TiO2 thin films showed a good quality, homogeneity and roughness. The XRD results showed the anatase to rutile phase transition in TiO2 thin films at temperatures between 500 and 1100 °C. This phase had a grain size from 15 to 38 nm, which allowed a suitable structural and crystal phase stability of the TiO2 thin films for low and high temperature. The biocompatibility experiments of these films indicated that they were appropriated for culture of living neurons which displayed normal electrical behavior. PMID:28788667

  19. Chemically deposited In2S3–Ag2S layers to obtain AgInS2 thin films by thermal annealing

    International Nuclear Information System (INIS)

    Lugo, S.; Peña, Y.; Calixto-Rodriguez, M.; López-Mata, C.; Ramón, M.L.; Gómez, I.; Acosta, A.

    2012-01-01

    Highlights: ► We obtained polycrystalline silver indium sulfide thin films through the annealing of chemically deposited In 2 S 3 –Ag 2 S films. ► According to XRD chalcopyrite structure of AgInS 2 was obtained. ► AgInS 2 thin film has a band gap of 1.86 eV and a conductivity value of 1.2 × 10 −3 (Ω cm) −1 . - Abstract: AgInS 2 thin films were obtained by the annealing of chemical bath deposited In 2 S 3 –Ag 2 S layers at 400 °C in N 2 for 1 h. According to the XRD and EDX results the chalcopyrite structure of AgInS 2 has been obtained. These films have an optical band gap, E g , of 1.86 eV and an electrical conductivity value of 1.2 × 10 −3 (Ω cm) −1 .

  20. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Como, N. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Martinez-Landeros, V. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Mejia, I. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Aguirre-Tostado, F.S. [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Nascimento, C.D.; Azevedo, G. de M; Krug, C. [Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre, 91509-900 (Brazil); Quevedo-Lopez, M.A., E-mail: mquevedo@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States)

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10{sup −1} to 10{sup 4} Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10{sup 19} to 10{sup 13} cm{sup −3} and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm{sup 2}/V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10{sup 19} to 10{sup 13} cm{sup −3}. • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied.

  1. Effect of N{sub 2} flow rate on the properties of N doped TiO{sub 2} films deposited by DC coupled RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Peng, Shou [State Key Laboratory of Silicate Materials for Architectures, Wuhan University of Technology, Wuhan 430000 (China); State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); Yang, Yong, E-mail: 88087113@163.com [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); Li, Gang; Jiang, Jiwen; Jin, Kewu; Yao, TingTing; Zhang, Kuanxiang [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); Cao, Xin [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); School of Materials Science and Engineering, Dalian Jiaotong University, Dalian 116000 (China); Wang, Yun; Xu, Genbao [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China)

    2016-09-05

    N doped TiO{sub 2} films were deposited on glass substrates at room temperature using DC coupled RF magnetron sputtering with a TiO{sub 2} ceramic target. The influences of N{sub 2} flow rate on the deposition rate, crystal structure, chemical composition and band gap of the deposited films were investigated by Optical profiler, X-ray diffraction, X-ray photoelectron spectroscope and ultraviolet-visible spectrophotometer. The film growth rate gradually decreased with increasing N{sub 2} flow rate. As N{sub 2} flow rate increased, the crystallization of the films deteriorated, and the films tended to form amorphous structure. XPS analysis revealed that N dopant atoms were added at the substitutional sites into TiO{sub 2} lattice structure. FE-SEM results showed that the grain size of the film decreased and the crystallinity degraded as N{sub 2} flow rate increases. In addition, N doping caused an obvious red shift in the optical absorption edge. - Highlights: • N doped TiO{sub 2} films were deposited by DC coupled RF magnetron reactive sputtering. • As N{sub 2} flow rate increases, the crystallization of the deposited films degrades. • The higher N{sub 2} flow rate is beneficial to form more substituted N in the film. • N doping causes an obvious red shift in the absorption wavelength.

  2. Optical properties of YbF3-CaF2 composite thin films deposited by electron-beam evaporation

    Science.gov (United States)

    Wang, Songlin; Mi, Gaoyuan; Zhang, Jianfu; Yang, Chongmin

    2018-03-01

    We studied electron-beam evaporated YbF3-CaF2 composite films on ZnS substrate at different deposition parameters. The optical properties of films have been fitted, the surface roughness have been measured by AFM. The results of experiments indicated that increased the refractive indices, extinction coefficients, and surface roughness at higher deposition rate. The refractive index of composite film deposited by electron-beam evaporation with assisted-ion source was obviously higher than it without assisted-ion source.

  3. Optical characterization of hydrogen-free CeO2 doped DLC films deposited by unbalanced magnetron sputtering

    International Nuclear Information System (INIS)

    Zhang Zhenyu; Zhou Hongxiu; Guo Dongming; Gao Hang; Kang Renke

    2008-01-01

    A novel kind of hydrogen-free CeO 2 doped diamond-like carbon (DLC) films with thickness of 180-200 nm were deposited on silicon by unbalanced magnetron sputtering. Reduced reflectance and increased lifetime are expected with respect to pure DLC films, making these coatings good candidates as optical protective coatings for IR windows and solar cells. X-ray photoelectron spectroscopy confirms that CeO 2 is formed within the DLC films. Auger electron spectroscopy exhibits that the C, O, and Ce elements distribute uniformly across the film thickness, and C element diffuses into the Si substrate at the interface between the substrate and film. AFM shows that nanoparticles with diameter of around 50 nm are formed on the surface of deposited films, whose surface roughness is in the range of 1.3-2.3 nm. Raman spectra show the CeO 2 doped DLC films are amorphous DLC films, and both the G frequency and relative intensity ratio I D /I G are higher than those of pure DLC films. The photoluminescence of CeO 2 doped DLC films is obviously more intense than that of a pure DLC film, which indicates a promising potential as optical protective films for solar cells and IR window

  4. Inkjet printed Cu(In,Ga)S2 nanoparticles for low-cost solar cells

    KAUST Repository

    Barbe, Jeremy

    2016-12-13

    Cu(In,Ga)Se2 (CIGSe) thin film solar cells were fabricated by direct inkjet printing of Cu(In,Ga)S2 (CIGS) nanoparticles followed by rapid thermal annealing under selenium vapor. Inkjet printing is a low-cost, low-waste, and flexible patterning method which can be used for deposition of solution-based or nanoparticle-based CIGS films with high throughput. XRD and Raman spectra indicate that no secondary phase is formed in the as-deposited CIGS film since quaternary chalcopyrite nanoparticles are used as the base solution for printing. Besides, CIGSe films with various Cu/(In + Ga) ratios could be obtained by finely tuning the composition of CIGS nanoparticles contained in the ink, which was found to strongly influence the devices performance and film morphology. To date, this is the first successful fabrication of a solar device by inkjet printing of CIGS nanoparticles.

  5. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    Science.gov (United States)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  6. Zirconium doped TiO{sub 2} thin films deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Juma, A. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Department of Physics and Astronomy, Botswana International University of Science and Technology, Private bag 16, Palapye (Botswana); Oja Acik, I., E-mail: ilona.oja@ttu.ee [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Oluwabi, A.T.; Mere, A. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Mikli, V.; Danilson, M. [Chair of Semiconductor Materials Technology, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Krunks, M. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia)

    2016-11-30

    Highlights: • Mean crystallite size of TiO{sub 2}:Zr film decreases with increasing [Zr] in the solution. • Zr doping supresses the anatase to rutile transformation process in TiO{sub 2} films. • Band gap of TiO{sub 2}:Zr film is 3.4 eV irrespective of the annealing temperature. - Abstract: Chemical spray pyrolysis (CSP) is a flexible deposition technique that allows for mixing of the precursor solutions in different proportions suitable for doping thin films. The CSP method was used to dope TiO{sub 2} thin films with Zr by adding zirconium(IV) acetylacetonate into a solution of titanium(IV) isopropoxide in ethanol stabilized by acetylacetone at [Zr]/[Ti] of 0, 5, 10 and 20 at%. The Zr-doped TiO{sub 2} thin films were uniform and homogeneous showing much smaller grains than the undoped TiO{sub 2} films. Zr stabilized the anatase phase to temperatures above 800 °C depending on Zr concentration in the spray solution. The concentration of Zr determined by XPS was 6.4 at% for the thin film deposited from the 20 at% solution. According to AFM studies, Zr doping decreased the root mean square roughness of TiO{sub 2} film from 5.9 to 1.1 nm. An XRD study of samples with the highest Zr amount showed the ZrTiO{sub 4} phase started forming after annealing at 800 °C. The optical band gap for TiO{sub 2} decreased from 3.3 eV to 3.0 eV after annealing at 800 °C but for the TiO{sub 2}:Zr(20) film it remained at 3.4 eV. The dielectric constant increased by more than four times with Zr-doping and this was associated with the change in the bond formations caused by substitution of Ti by Zr in the lattice.

  7. Formation of {beta}-FeSi{sub 2} thin films by partially ionized vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of {beta}-FeSi{sub 2} thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of {beta}-FeSi{sub 2} films deposited on Si substrates. It was confirmed that {beta}-FeSi{sub 2} can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of {beta}-FeSi{sub 2} depends strongly on the content and the acceleration energy of ions.

  8. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  9. Improved performance of low cost CuInS2 superstrate-type solar cells using Zinc assisted spray pyrolysis processing

    Science.gov (United States)

    Cheshme Khavar, Amir Hossein; Mahjoub, Ali Reza; Taghavinia, Nima

    2017-12-01

    Superstrate configuration CuInS2 (CIS) solar cells are fabricated using a spray pyrolysis method. We avoided selenization process, cyanide etching and CdS buffer layer, to keep the process ‘green’. CIS layers are formed by spray pyrolysis of an aqueous precursor ink containing metal chloride salts and thiourea at 350 °C. We investigated the effect of intentional Zn doping on structural, morphological and photovoltaic response of the fabricated CIS films by dissolving ZnCl2 in aqueous precursor solution. At a zinc doping level ranging between 0.25 and 1.00 mol%, Zn doping is found to improve the CIS crystal growth and surface morphology of CIS films. Compared with the performance of the non-doped CIS cell, the Zn-doped CIS solar cell displayed a remarkable efficiency enhancement of 58-97% and the maximum enhancement was obtained at a Zn content of 0.5 mol%. The device structure consists of    and show promising PCE of 4.29 % without any anti-reflection coating. Over the course of 300 d under ambient condition, the fabricated device showed only 1% loss in efficiency.

  10. A flexible angle sensor made from MWNT/CuO/Cu{sub 2}O nanocomposite films deposited by an electrophoretic co-deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Toboonsung, Buppachat, E-mail: buppachattt@yahoo.co.th [Physics and General Science Program, Faculty of Science and Technology, Nakhon Ratchasima Rajabhat University, Nakhon Ratchasima 30000 (Thailand); Singjai, Pisith, E-mail: singjai@hotmail.com [Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Materials Science Research Center, Faculty of Science, Chiang Mai University, Chiang Mai, 50200 (Thailand)

    2012-08-25

    Highlights: Black-Right-Pointing-Pointer MWNT/CuO/Cu{sub 2}Onanocomposite films were coated on a PET sheet. Black-Right-Pointing-Pointer The film resistance and application as angle sensor were investigated. Black-Right-Pointing-Pointer Thesensor showed a linear relation between the film resistance and the bending angle. Black-Right-Pointing-Pointer A minimum loop area and a high stability in sensitivity over a thousand bending cycles were obtained. - Abstract: A flexible angle sensor was prepared using an electrophoretic co-deposition process to form nanocomposite networks of multi-wall carbon nanotube/cupric oxide/cuprous oxide (MWNT/CuO/Cu{sub 2}O) on a polyethylene terephthalate (PET) sheet. The deposition method used copper and stainless steel electrodes, and the effects of varying of electrode separation, MWNT concentration in deionized water, voltage and deposition time were studied. The film resistance of the as-deposited samples decreased with increasing the MWNT concentration up to 0.3 mg/ml. The angle sensor showed a linear relation between the film resistance and the bending angle, a relationship that was illustrated with loop area and sensitivity data. The best angle sensor was successfully made with an electrode separation of 8 mm, a concentration of 0.3 mg/ml, a voltage of 10 V and a deposition time of 3 h, parameters that resulted in a minimum loop area and the most stability in sensitivity over a thousand bending cycles.

  11. Photocatalytically active Au/TiO2 films deposited by two-step spray pyrolysis

    International Nuclear Information System (INIS)

    Balashev, Konstantin; Georgiev, Petar; Simeonova, Sylvia; Stambolova, Irina; Blaskov, Vladimir; Vassilev, Sasho; Eliyas, Alexander

    2016-01-01

    Nanocrystalline TiO 2 and surface gold-modified films (Au/TiO 2 ) are obtained by two step spray pyrolysis process. Titanium tetrachloride (TiCl 4 ) was used as inorganic titanium precursor. The Au nanoparticles were deposited on the surface of sprayed TiO 2 films, obtained by the classical Turkevich method. The AFM analyses have revealed that the roughness of Au/TiO 2 is twice lower than that of the reference titania film. Some globular species are visible on the surface, which could be either individual Au nanoparticles or Au nanoparticles’ agglomerates embedded into the TiO 2 film. The photocatalytic activity in the oxidative degradation of Reactive Black 5 dye under visible light of the Au/TiO 2 films was estimated in a semi-batch reactor. Surface gold modified TiO 2 films revealed higher photocatalytic efficiency than the reference sample. Key words: Au nanoparticles, photocatalysis, azo dye, titania, nanosized

  12. A high-efficiency solution-deposited thin-film photovoltaic device

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)

    2008-10-02

    High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  13. Properties of TiO{sub 2} thin films deposited by rf reactive magnetron sputtering on biased substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nezar, Sawsen, E-mail: snezar@cdta.dz [Equipe Plasma & Applications, Division des Milieux Ionisés et Lasers, Centre de Développement des Technologies Avancées, Cité du 20 Aout 1956, Baba Hassen, Alger (Algeria); Laboratoire des phénomènes de transfert, génie chimique, Faculté de Génie des procèdes, USTHB, BP 32 El-alia, Bab Ezzouar, Alger (Algeria); Saoula, Nadia [Equipe Plasma & Applications, Division des Milieux Ionisés et Lasers, Centre de Développement des Technologies Avancées, Cité du 20 Aout 1956, Baba Hassen, Alger (Algeria); Sali, Samira [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE Algiers) (Algeria); Faiz, Mohammed; Mekki, Mogtaba [Physics Department, King Fahd University of Petroleum and Minerals, Dhahran (Saudi Arabia); Laoufi, Nadia Aïcha [Laboratoire des phénomènes de transfert, génie chimique, Faculté de Génie des procèdes, USTHB, BP 32 El-alia, Bab Ezzouar, Alger (Algeria); Tabet, Nouar [Qatar Environment and Energy Research Institute, Hamad Bin Khalifa University (HBKU), Doha (Qatar)

    2017-02-15

    Highlights: • TiO{sub 2} thin films were deposited on negatively biased substrates by rf magnetron sputtering technique. • The bias favors the formation of TiO{sub 2} crystalline phase. • The roughness of the films increases and the grain size decreases as the bias voltage is varied between (0 and −100 V). • XPS reveals the presence of adsorbed humidity of the surface and Ti{sup 4+} oxidation state in the as prepared samples. - Abstract: TiO{sub 2} thin films are of paramount importance due to their pervasive applications. In contrast to previous published works where the substrate was heated at high temperatures to obtain TiO{sub 2} crystalline phase, we show in this study that it is possible to deposit crystalline TiO{sub 2} thin films on biased and unbiased substrate at room temperature using reactive rf magnetron sputtering. The bias voltage was varied from 0 V to −100 V. The deposited films were characterized using X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), UV–vis spectroscopy, Raman spectroscopy, X-ray Photoelectron Spectroscopy (XPS) and atomic force microscopy (AFM). The average crystallite size was estimated using x-ray diffraction. The results showed that the application of negative bias affects the surface roughness of the films and favors the formation of the rutile phase. The root mean square roughness (R{sub rms}), the average grain size and the optical band gap of the films decreased as the substrate bias voltage was varied from 0 to −100 V. The UV–visible transmittance spectra showed that the films were transparent in the visible range and absorb strongly in the UV range. This study shows that biasing the substrate could be a promising and effective alternative to deposit TiO{sub 2} crystallized thin films of engineered properties at room temperature.

  14. An Investigation of Structural and Electrical Properties of Nano Crystalline SnO2:Cu Thin Films Deposited by Spray Pyrolysis

    Directory of Open Access Journals (Sweden)

    J. Podder

    2011-11-01

    Full Text Available Pure tin oxide (SnO2 and Cu doped SnO2 thin films have been deposited onto glass substrates by a simple spray pyrolysis technique under atmospheric pressure at temperature 350 °C. The doping concentration of Cu was varied from 1 to 8 wt. % while all other deposition parameters such as spray rate, carrier air gas pressure, deposition time, and distance between spray nozzle to substrate were kept constant. Surface morphology of the as-deposited thin films has been studied by Scanning Electron Microscopy (SEM. The SEM micrograph of the films shows uniform deposition. The structural properties of the as-deposited and annealed thin films have been studied by XRD and the electrical characterization was performed by Van-der Pauw method. The as-deposited films are found polycrystalline in nature with tetragonal crystal structure. Average grain sizes of pure and Cu doped SnO2 thin film have been obtained in the range of 7.2445 Å to 6.0699 Å, which indicates the nanometric size of SnO2 grains developed in the film. The resistivity of SnO2 films was found to decrease initially from 4.5095×10−4 Ωm to 1.1395× 10−4 Ωm for concentration of Cu up to 4 % but it was increased further with increasing of Cu concentrations. The experimental results depict the suitability of this material for using as transparent and conducting window materials in solar cells and gas sensors.

  15. Effect of both deposition temperature and indium doping on the properties of sol-gel dip-coated SnO2 films.

    Science.gov (United States)

    Caglar, Mujdat; Atar, Kadir Cemil

    2012-10-01

    Using indium chloride as an In source, In-doped SnO(2) films were fabricated by sol-gel method through dip-coating on borofloat glass substrates. The undoped SnO(2) films were deposited in air between 400 and 600 °C to get optimum deposition temperature in terms of crystal quality and hence In-doped SnO(2) films were deposited in air at 600 °C. The effect of both deposition temperature and In content on structural, morphological, optical and electrical properties was investigated. The crystalline structure and orientation of the films were investigated by X-ray diffraction (XRD) and surface morphology was studied by a field emission scanning electron microscope (FESEM). The compositional analysis of the films was confirmed by energy dispersive X-ray spectrometer (EDS). The absorption band edge of the SnO(2) films shifted from 3.88 to 3.66 eV with In content. The van der Pauw method was used to measure the sheet resistance of the films. The sheet resistance was affected significantly by deposition temperature and In content. Copyright © 2012 Elsevier B.V. All rights reserved.

  16. Evidence of room temperature ferromagnetism in argon/oxygen annealed TiO2 thin films deposited by electron beam evaporation technique

    International Nuclear Information System (INIS)

    Mohanty, P.; Kabiraj, D.; Mandal, R.K.; Kulriya, P.K.; Sinha, A.S.K.; Rath, Chandana

    2014-01-01

    TiO 2 thin films deposited by electron beam evaporation technique annealed in either O 2 or Ar atmosphere showed ferromagnetism at room temperature. The pristine amorphous film demonstrates anatase phase after annealing under Ar/O 2 atmosphere. While the pristine film shows a super-paramagnetic behavior, both O 2 and Ar annealed films display hysteresis at 300 K. X-ray photo emission spectroscopy (XPS), Raman spectroscopy, Rutherford’s backscattering spectroscopy (RBS), cross-sectional transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS) were used to refute the possible role of impurities/contaminants in magnetic properties of the films. The saturation magnetization of the O 2 annealed film is found to be higher than the Ar annealed one. It is revealed from shifting of O 1s and Ti 2p core level spectra as well as from the enhancement of high binding energy component of O 1s spectra that the higher magnetic moment is associated with higher oxygen vacancies. In addition, O 2 annealed film demonstrates better crystallinity, uniform deposition and smoother surface than that of the Ar annealed one from glancing angle X-ray diffraction (GAXRD) and atomic force microscopy (AFM). We conclude that although ferromagnetism is due to oxygen vacancies, the higher magnetization in O 2 annealed film could be due to crystallinity, which has been observed earlier in Co doped TiO 2 film deposited by pulsed laser deposition (Mohanty et al., 2012 [10]). - Highlights: • TiO 2 films were deposited by e-beam evaporation technique and post annealed under O 2 /Ar at 500 °C. • The pristine film shows SPM behavior where as O 2 and Ar annealed films demonstrate RTFM. • The presence of magnetic impurities has been discarded by various characterization techniques. • The magnetic moment is found to be higher in O 2 annealed film than the Ar annealed one. • The higher M s in O 2 annealed film is attributed to oxygen vacancies as well as crystallinity

  17. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  18. Fabrication and characterization of vacuum deposited fluorescein thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)

    2011-03-31

    Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.

  19. Characterization of diamond thin films deposited by a CO{sub 2} laser-assisted combustion-flame method

    Energy Technology Data Exchange (ETDEWEB)

    McKindra, Travis, E-mail: mckindra@mst.edu [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); O' Keefe, Matthew J. [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); Xie Zhiqiang; Lu Yongfeng [Department of Electrical Engineering, University of Nebraska-Lincoln, Lincoln, NE 68588 (United States)

    2010-06-15

    Diamond thin films were deposited by a CO{sub 2} laser-assisted O{sub 2}/C{sub 2}H{sub 2}/C{sub 2}H{sub 4} combustion-flame process. The effect of the deposition parameters, in particular the laser wavelength and power, on the film surface morphology, microstructure and phases present was the primary focus of the work. The laser power was set at 100, 400 and 800 W while the wavelength was varied and set at 10.591 {mu}m in the untuned condition and set at 10.532 {mu}m to resonantly match the CH{sub 2}-wagging vibrational mode of the C{sub 2}H{sub 4} molecule when in the tuned condition. When the laser was coupled to the combustion flame during deposition the diamond film growth was enhanced as the lateral grain size increased from 1 {mu}m to greater than 5 {mu}m. The greatest increase in grain size occurred when the wavelength was in the tuned condition. Scanning transmission electron microscopy images from focused-ion beam cross-sectioned samples revealed a sub-layer of smaller grains less than 1 {mu}m in size near the substrate surface at the lower laser powers and untuned wavelength. X-ray diffraction results showed a more intense Diamond (111) peak as the laser power increased from 100 to 800 W for the films deposited with the tuned laser wavelength. Micro-Raman spectra showed a diamond peak nearly twice as intense from the films with the tuned laser wavelength.

  20. TiO2 nanoparticle thin film deposition by matrix assisted pulsed laser evaporation for sensing applications

    International Nuclear Information System (INIS)

    Caricato, A.P.; Capone, S.; Ciccarella, G.; Martino, M.; Rella, R.; Romano, F.; Spadavecchia, J.; Taurino, A.; Tunno, T.; Valerini, D.

    2007-01-01

    The MAPLE technique has been used for the deposition of nanostructured titania (TiO 2 ) nanoparticles thin films to be used for gas sensors applications. An aqueous solution of TiO 2 nanoparticles, synthesised by a novel chemical route, was frozen at liquid nitrogen temperature and irradiated with a pulsed ArF excimer laser in a vacuum chamber. A uniform distribution of TiO 2 nanoparticles with an average size of about 10 nm was deposited on Si and interdigitated Al 2 O 3 substrates as demonstrated by high resolution scanning electron microscopy-field emission gun inspection (SEM-FEG). Energy dispersive X-ray (EDX) analysis revealed the presence of only the titanium and oxygen signals and FTIR (Fourier transform infra-red) revealed the TiO 2 characteristic composition and bond. A comparison with a spin coated thin film obtained from the same solution of TiO 2 nanoparticles is reported. The sensing properties of the films deposited on interdigitated substrates were investigated, too

  1. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  2. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  3. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  4. Influence of annealing temperature on the structural, mechanical and wetting property of TiO2 films deposited by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Pradhan, Swati S.; Sahoo, Sambita; Pradhan, S.K.

    2010-01-01

    TiO 2 films have been deposited on silicon substrates by radio frequency magnetron sputtering of a pure Ti target in Ar/O 2 plasma. The TiO 2 films deposited at room temperature were annealed for 1 h at different temperatures ranging from 400 o C to 800 o C. The structural, morphological, mechanical properties and the wetting behavior of the as deposited and annealed films were obtained using Raman spectroscopy, atomic force microscopy, transmission electron microscopy, nanoindentation and water contact angle (CA) measurements. The as deposited films were amorphous, and the Raman results showed that anatase phase crystallization was initiated at annealing temperature close to 400 o C. The film annealed at 400 o C showed higher hardness than the film annealed at 600 o C. In addition, the wettability of film surface was enhanced with an increase in annealing temperature from 400 o C to 800 o C, as revealed by a decrease in water CA from 87 o to 50 o . Moreover, the water CA of the films obtained before and after UV light irradiation revealed that the annealed films remained more hydrophilic than the as deposited film after irradiation.

  5. Induced Recrystallization of CdTe Thin Films Deposited by Close-Spaced Sublimation

    International Nuclear Information System (INIS)

    Mayo, B.

    1998-01-01

    We have deposited CdTe thin films by close-spaced sublimation at two different temperature ranges. The films deposited at the lower temperature partially recrystallized after CdCl2 treatment at 350C and completely recrystallized after the same treatment at 400C. The films deposited at higher temperature did not recrystallize at these two temperatures. These results confirmed that the mechanisms responsible for changes in physical properties of CdTe films treated with CdCl2 are recrystallization and grain growth, and provided an alternative method to deposit CSS films using lower temperatures

  6. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  7. Ecofriendly and Nonvacuum Electrostatic Spray-Assisted Vapor Deposition of Cu(In,Ga)(S,Se)2 Thin Film Solar Cells.

    Science.gov (United States)

    Hossain, Md Anower; Wang, Mingqing; Choy, Kwang-Leong

    2015-10-14

    Chalcopyrite Cu(In,Ga)(S,Se)2 (CIGSSe) thin films have been deposited by a novel, nonvacuum, and cost-effective electrostatic spray-assisted vapor deposition (ESAVD) method. The generation of a fine aerosol of precursor solution, and their controlled deposition onto a molybdenum substrate, results in adherent, dense, and uniform Cu(In,Ga)S2 (CIGS) films. This is an essential tool to keep the interfacial area of thin film solar cells to a minimum value for efficient charge separation as it helps to achieve the desired surface smoothness uniformity for subsequent cadmium sulfide and window layer deposition. This nonvacuum aerosol based approach for making the CIGSSe film uses environmentally benign precursor solution, and it is cheaper for producing solar cells than that of the vacuum-based thin film solar technology. An optimized CIGSSe thin film solar cell with a device configuration of molybdenum-coated soda-lime glass substrate/CIGSSe/CdS/i-ZnO/AZO shows the photovoltaic (j-V) characteristics of Voc=0.518 V, jsc=28.79 mA cm(-2), fill factor=64.02%, and a promising power conversion efficiency of η=9.55% under simulated AM 1.5 100 mW cm(-2) illuminations, without the use of an antireflection layer. This demonstrates the potential of ESAVD deposition as a promising alternative approach for making thin film CIGSSe solar cells at a lower cost.

  8. Porous nanostructured ZnO films deposited by picosecond laser ablation

    International Nuclear Information System (INIS)

    Sima, Cornelia; Grigoriu, Constantin; Besleaga, Cristina; Mitran, Tudor; Ion, Lucian; Antohe, Stefan

    2012-01-01

    Highlights: ► We deposite porous nanostructured ZnO films by picoseconds laser ablation (PLA). ► We examine changes of the films structure on the experimental parameter deposition. ► We demonstrate PLA capability to produce ZnO nanostructured films free of particulates. - Abstract: Porous nanostructured polycrystalline ZnO films, free of large particulates, were deposited by picosecond laser ablation. Using a Zn target, zinc oxide films were deposited on indium tin oxide (ITO) substrates using a picosecond Nd:YVO 4 laser (8 ps, 50 kHz, 532 nm, 0.17 J/cm 2 ) in an oxygen atmosphere at room temperature (RT). The morpho-structural characteristics of ZnO films deposited at different oxygen pressures (150–900 mTorr) and gas flow rates (0.25 and 10 sccm) were studied. The post-deposition influence of annealing (250–550 °C) in oxygen on the film characteristics was also investigated. At RT, a mixture of Zn and ZnO formed. At substrate temperatures above 350 °C, the films were completely oxidized, containing a ZnO wurtzite phase with crystallite sizes of 12.2–40.1 nm. At pressures of up to 450 mTorr, the porous films consisted of well-distinguished primary nanoparticles with average sizes of 45–58 nm, while at higher pressures, larger clusters (3.1–14.7 μm) were dominant, leading to thicker films; higher flow rates favored clustering.

  9. Effect of deposition parameter on hardness of amorphous carbon film prepared by plasma immersion ion implantation using C2H2

    International Nuclear Information System (INIS)

    Mitsuo, A.; Uchida, S.; Morikawa, K.; Kawaguchi, M.; Shiotani, K.; Suzuki, H.

    2007-01-01

    Carbon films were deposited on a cemented carbide substrate and silicon wafer at various bias voltages, acetylene (C 2 H 2 ) pressures and process times by plasma immersion ion implantation (PIII). In order to investigate the substrate temperature, the tool steel substrate was also simultaneously treated. The final substrate temperature was estimated from the hardness of the tool steel substrate. The surface and cross-sectional morphology of the deposited films were observed using a scanning electron microscope (SEM). Depth profiles of the carbon were obtained by Auger electron spectroscopy (AES). Raman spectroscopy was employed for the structural evaluation of the films. The hardness of the deposited films was measured using a nano-indenter with the maximum load of 0.5 mN. A variety of film hardnesses between 10 to 24 GPa was obtained. The hardness of the carbon films decreased with the increasing bias voltage, C 2 H 2 pressure and process time, although the intensity ratio of the disordered peak to graphitic peak in the Raman spectrum increased. It was considered that the decrease in the film hardness was caused by a stress reduction accompanied by a heating effect during the process as each PIII process parameter significantly influenced the substrate temperature

  10. Selective removal of CuIn1−xGaxSe2 absorber layer with no edge melting using a nanosecond Nd : YAG laser

    International Nuclear Information System (INIS)

    Lee, S H; Kim, C K; In, J H; Jeong, S H; Shim, H S

    2013-01-01

    This paper reports that selective removal of a CuIn 1−x Ga x Se 2 (CIGS) thin film on a Mo-coated glass substrate can be achieved with no edge melting or damage of the Mo layer using a nanosecond Nd : YAG laser with a wavelength of 1064 nm. It is shown that the two crucial parameters that determine the possibility of clean removal of only the CIGS layer are Ga concentration of the CIGS film and laser fluence. For CIGS films with Ga/(Ga+In) ratio greater than about 0.2 for which the band gap energy is close to or over the photon energy (1.17 eV), laser-induced thermal expansion proved to be the mechanism of film removal that drives an initial bulging of the film and then fracture into tens of micrometre sized fragments as observed in in situ shadowgraph images. The fracture-type removal of CIGS films was further verified by scanning electron micrographs of the craters showing that the original shapes of the CIGS polycrystals remain intact along the crater rim. A numerical simulation of film temperature under the irradiation conditions of selective removal was carried out to show that the magnitude of induced thermal stress within the film closely agreed to the yield strength of the CIGS thin film. The results confirmed that a nanosecond laser could be a better choice for P2 and P3 scribing of CIGS thin films if process conditions are properly determined. (paper)

  11. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2009-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyldisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ0 2 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated green-blue and yellow-green PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices. (authors)

  12. Microstructure and phase composition of sputter-deposited zirconia-yttria films

    International Nuclear Information System (INIS)

    Knoll, R.W.; Bradley, E.R.

    1983-11-01

    Thin ZrO 2 -Y 2 O 3 coatings ranging in composition from 3 to 15 mole % Y 2 O 3 were produced by rf sputter deposition. This composition range spanned the region on the equilibrium ZrO 2 -Y 2 O 3 phase diagram corresponding to partially stabilized zirconia (a mixture of tetragonal ZrO 2 and cubic solid solution). Microstructural characteristics and crystalline phase composition of as-deposited and heat treated films (1100 0 C and 1500 0 C) were determined by transmission electron microscopy (TEM) and by x-ray diffraction (XRD). Effects of substrate bias (0 approx. 250 volts), which induced ion bombardment of the film during growth, were also studied. The as-deposited ZrO 2 -Y 2 O 3 films were single phase over the composition range studied, and XRD data indicated considerable local atomic disorder in the lattice. Films produced at low bias contained intergranular voids, pronounced columnar growth, and porosity between columns. At high bias, the microstructure was denser, and films contained high compressive stress. After heat treatment, all deposits remained single phase, therefore a microstructure and precipitate distribution characteristic of toughened, partially stabilized zirconia appear to be difficult to achieve in vapor deposited zirconia coatings

  13. Effect of Different Deposition Power of In2O3 Target on the Characteristics of IGZO Thin Films Using the Cosputtering Method

    Directory of Open Access Journals (Sweden)

    Shang-Chao Hung

    2014-01-01

    Full Text Available The (In, Ga, ZnOx (IGZO thin films were deposited on glass substrates using cosputtering method in radio frequency magnetron sputtering system. Zn2Ga2O5 (Ga2O3-2 ZnO, GZO and In2O3 ceramics were used as targets and dual guns were used to deposit the IGZO thin films. Deposition power of GZO target was 80 W and deposition power of pure In2O3 target was changed from 70 W to 100 W, and the deposition time was 30 min. The effect of deposition power of In2O3 target on the crystalline, surface, electrical, and optical properties of the IGZO thin films was investigated at room temperature in a pure Ar atmosphere. The cosputtered IGZO thin films showed a very smooth and featureless surface and an amorphous structure regardless of the deposition power of In2O3 target due to the room temperature sputtering process. However, the cosputtered IGZO thin films exhibited transparent electrode properties because they had high transmittance ratio and low resistivity. The value variations in the optical band gap (Eg values of the IGZO thin film were evaluated from the plots of (αhν2=c(hν-Eg. We would also show that the deposition power of In2O3 target would have a large effect on mobility and Eg value of the IGZO thin films.

  14. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    Science.gov (United States)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  15. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S

    2008-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ O 2 =0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at (χ O 2 =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices

  16. Thin films of thermoelectric compound Mg2Sn deposited by co-sputtering assisted by multi-dipolar microwave plasma

    International Nuclear Information System (INIS)

    Le-Quoc, H.; Lacoste, A.; Hlil, E.K.; Bes, A.; Vinh, T. Tan; Fruchart, D.; Skryabina, N.

    2011-01-01

    Highlights: → Mg 2 Sn thin films deposited by plasma co-sputtering, on silicon and glass substrates. → Formation of nano-grained polycrystalline films on substrates at room temperature. → Structural properties vary with target biasing and target-substrate distance. → Formation of the hexagonal phase of Mg 2 Sn in certain deposition conditions. → Power factor ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn films doped with ∼1 at.% Ag. - Abstract: Magnesium stannide (Mg 2 Sn) thin films doped with Ag intended for thermoelectric applications are deposited on both silicon and glass substrates at room temperature by plasma assisted co-sputtering. Characterization by scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction confirms the formation of fine-grained polycrystalline thin films with thickness of 1-3 μm. Stoichiometry, microstructure and crystal structure of thin films are found to vary with target biasing and the distance from targets to substrate. Measurements of electrical resistivity and Seebeck coefficient at room temperature show the maximum power factor of ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn thin films doped with ∼1 at.% Ag.

  17. Smooth YBa2Cu3O7-x thin films prepared by pulsed laser deposition in O2/Ar atmosphere

    DEFF Research Database (Denmark)

    Kyhle, Anders; Skov, Johannes; Hjorth, Søren

    1994-01-01

    We report on pulsed laser deposition of YBa2Cu3O7-x in a diluted O2/Ar gas resulting in thin epitaxial films which are almost outgrowth-free. Films were deposited on SrTiO3 or MgO substrates around 800-degrees-C at a total chamber pressure of 1.0 mbar, varying the argon partial pressure from 0 to 0.......6 mbar. The density of boulders and outgrowths usual for laser deposited films varies strongly with Ar pressure: the outgrowth density is reduced from 1.4 x 10(7) to 4.5 x 10(5) cm-2 with increasing Ar partial pressure, maintaining a critical temperature T(c,zero) almost-equal-to 90 K and a transport...... critical current density J(c)(77 K) greater-than-or-equal-to 10(6) A/cm2 by extended oxygenation time during cool down....

  18. Peculiarities of linear thermal expansion of CuInS2 single crystal

    International Nuclear Information System (INIS)

    Akira, Nagaoka; Kenji, Yoshino; Hideto, Miyake

    2010-01-01

    Full text : I-III-VI 2 chalcopyrire semiconductors have made rapid progress in recent years. In addition chalcopyrite semiconductors show unique thermal properties. Usually, liner thermal expansion in semiconductors increases with increasing temperature. However, liner thermal expansion of most chalcopyrite semiconductors decreases at low temperature. For example, AgGaSe 2 shows decreasing the liner thermal expansion below 100 K 1 , 2). It is well known that high-quality single crystals of the I-III-VI 2 compounds are difficult to grow because most of the compounds grow through a peritectic reaction or a solid state transition during the cooling process. CuInS 2 single crystal can be grown by traveling heater method (THM), which is one of the solution growth techniques. Advantages of the THM growth are following that growth temperature is low compared with that of the other melt growth and larger crystals can be grown compared with a conventional solution growth. In a previous study, CuGaS 2 , CuGaSe 2 , CuGaTe 2 , CuInSe 2 ternary compounds have been obtained by the THM technique. In this work, it is investigated a liner thermal expansion of single crystal CuInS 2 by using X-ray diffraction. Measurement temperature was changed from 10 K to 300 K. From results of XRD measurement, it is calculated lattice constants of a and c axes and the liner thermal expansion. As a result, lattice constants of a axis increase with increasing temperature, that of c axis decreases with increasing temperature. The liner thermal expansion decreases for T 2 single crystal at low temperature

  19. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  20. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  1. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  2. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  3. Improvement in the electronic quality of pulsed laser deposited CuIn{sub 0.7}Ga{sub 0.3}Se{sub 2} thin films via post-deposition elemental sulfur annealing process

    Energy Technology Data Exchange (ETDEWEB)

    Beres, M., E-mail: matthewcberes@gmail.com [University of California, Department of Mechanical Engineering, 6141 Etcheverry Hall, Berkeley, CA 94720 (United States); Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States); Yu, K.M., E-mail: kinmanyu@cityu.edu.hk [Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States); City University of Hong Kong, Department of Physics and Materials Science, 83 Tat Chee Avenue, Kowloon, Hong Kong Special Administrative Region (Hong Kong); Syzdek, J., E-mail: jego.mejl@gmail.com [Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States); Bio-Logic USA, 9050 Executive Park Dr NW, Knoxville, TN 37923 (United States); Mao, S.S., E-mail: ssmao@me.berkeley.edu [University of California, Department of Mechanical Engineering, 6141 Etcheverry Hall, Berkeley, CA 94720 (United States); Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States)

    2016-06-01

    We synthesized CuIn{sub 0.7}Ga{sub 0.3}Se{sub 2} thin films on soda lime glass substrates using pulsed laser deposition and post-annealing under different conditions. Increasing substrate temperature during deposition and vacuum annealing after deposition both increased grain size but had negligible effect on the electronic properties of the films. As-deposited films demonstrated P-type conductivities with high carrier concentrations and low Hall mobilities, but annealing in elemental sulfur environment significantly improved the electronic properties of the films. We found that the incorporation of even small quantities of sulfur into the films reduced carrier concentrations by over three orders of magnitude and increased Hall mobilities by an order of magnitude. This resulted in films with resistivity ~ 5 Ω·cm suitable for photovoltaic applications. - Highlights: • CIGSe thin films were deposited by pulsed laser deposition. • Laser deposition parameters and annealing parameters were investigated. • As-deposited films demonstrated high hole concentrations and low Hall mobilities. • Elemental sulfur annealing significantly enhanced the electronic quality of films.

  4. Epitaxial growth of ReS2(001) thin film via deposited-Re sulfurization

    Science.gov (United States)

    Urakami, Noriyuki; Okuda, Tetsuya; Hashimoto, Yoshio

    2018-02-01

    In this paper, we present the formation of large-size rhenium disulfide (ReS2) films via the sulfurization of Re films deposited on sapphire substrates. The effects of sulfurization temperature and pressure on the crystal quality were investigated. A [001]-oriented single crystal of ReS2 films with 6 × 10 mm2 area was realized. By sulfurizing Re films at 1100 °C, ReS2 films with well-defined sharp interfaces to c-plane sapphire substrates could be formed. Below and above the sulfurization temperature of 1100 °C, incomplete sulfurization and film degradation were observed. The twofold symmetry of the monocrystalline in-plane structure composed of Re-Re bonds along with Re-S bonds pointed to a distorted 1T structure, indicating that this structure is the most stable atomic arrangement for ReS2. For a S/Re compositional ratio equal to or slightly lower than 2.0, characteristic Raman vibrational modes with the narrowest line widths were observed. The typical absorption peak of ReS2 can be detected at 1.5 eV.

  5. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  6. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  7. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  8. Characterization of Cr2O3 thin films obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Pillis, M.F.; Galego, E.; Serna, M.M.; Correa, O.V.; Ramanathan, L.V.; Franco, A.C.

    2010-01-01

    The goal of this work was the synthesis and characterization of Cr 2 O 3 thin films, obtained by chemical vapor deposition, using chromium acetylacetonate as chromium precursor. The growth of the films was carried out in a conventional horizontal MOCVD equipment, under pressures varying from 2 to 10 mbar, and temperature of 600 deg C. It was observed that the growth of the films only occurs when oxygen is present in the atmosphere. Under growth pressures of 2 and 5 mbar the growth takes place but under 10 mbar of pressure the precursor is dragged and the growth does not occur. The characterization of the films was performed by using scanning electron microscopy and X-ray diffraction. The films presented a columnar structure, and thickness varying from 40 to 250 nm. The influence of some process parameters is discussed. (author)

  9. Growth parameter enhancement for MoS{sub 2} thin films synthesized by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Serna, Martha I.; Higgins, Marissa; Quevedo-Lopez, Manuel A. [Materials Science and Engineering Department, The University of Texas at Dallas, 800 W Campbell Road RL 10, Richardson TX 75080 (United States); Moreno, Salvador [Department of Mechanical Engineering, The University of Texas at Dallas, Richardson, TX 75080 (United States); Choi, Hyunjoo [Department of Advanced Materials Engineering, Kookmin University, Jeongneung-dong Seongbuk-gu, Seoul 136-702 (Korea, Republic of); Minary-Jolandan, Majid [Department of Mechanical Engineering, The University of Texas at Dallas, Richardson, TX 75080 (United States); Alan MacDiarmid Nanotech Institute, The University of Texas at Dallas, 800 W Campbell Road RL 10, Richardson TX 75080 (United States)

    2016-12-15

    Two-dimensional materials such as graphene and MoS{sub 2} have been the main focus of intense research efforts over the past few years. The most common method of exfoliating these materials, although efficient for lab-scale experiments, is not acceptable for large area and practical applications. Here, we report the deposition of MoS{sub 2} layered films on amorphous (SiO{sub 2}) and crystalline substrates (sapphire) using a pulsed laser deposition (PLD) method. Increased substrate temperature (∝700 C) and laser energy density (>530 mJ /cm{sup 2}) promotes crystalline MoS{sub 2} films < 20 nm, as demonstrated by fast Fourier transform (FFT) and transmission electron microscopy (TEM). The method reported here opens the possibility for large area layered MoS{sub 2} films by using a laser ablation processes. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Comparative study of the characteristics of Ni films deposited on SiO2/Si(100) by oblique-angle sputtering and conventional sputtering

    International Nuclear Information System (INIS)

    Yu Mingpeng; Qiu Hong; Chen Xiaobai; Wu Ping; Tian Yue

    2008-01-01

    Ni films were deposited on SiO 2 /Si(100) substrates at 300 K and 573 K by oblique-angle sputtering and conventional sputtering. The films deposited at 300 K mainly have a [110] crystalline orientation in the growing direction whereas those deposited at 573 K grow with a [111] crystalline orientation in the growing direction. The film prepared only at 300 K by oblique-angle sputtering grows with a weakly preferential orientation along the incidence direction of the sputtered Ni atoms. All the films grow with thin columnar grains perpendicular to the substrate surface. The grain size of the films sputter-deposited obliquely is larger than that of the films sputter-deposited conventionally. The grain size of the Ni film does not change markedly with the deposition temperature. The film deposited at 573 K by oblique-angle sputtering has the highest saturation magnetization. For the conventional sputtering, the coercivity of the Ni film deposited at 573 K is larger than that of the film deposited at 300 K. However, for the oblique-angle sputtering, the coercivity of the Ni film is independent of the deposition temperature. All the Ni films exhibit an isotropic magnetization characteristic in the film plane

  11. Influence of substrate temperature and annealing on structural and optical properties of TiO{sub 2} films deposited by reactive e-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Pjević, D., E-mail: dejanp@vinca.rs [VINČA Institute of Nuclear Sciences, University of Belgrade, PO Box 522, 11001 Belgrade (Serbia); Marinković, T.; Savić, J.; Bundaleski, N.; Obradović, M.; Milosavljević, M. [VINČA Institute of Nuclear Sciences, University of Belgrade, PO Box 522, 11001 Belgrade (Serbia); Kulik, M. [Frank Laboratory of Neutron Physics, JINR, Joliot-Curie St. 6, Dubna 141980, Moscow Region (Russian Federation)

    2015-09-30

    The influence of deposition and post-deposition annealing parameters on the structure and optical properties of TiO{sub 2} thin films synthesized by reactive e-beam evaporation is reported. Pure Ti (99.9%) was evaporated in oxygen atmosphere to form thin films on Si (100) and glass substrates. Depositions were conducted on substrates held at room temperature and at 200–400 °C heated substrates. Post-deposition annealing was done for 3 h at 500 °C in air. Compositional and structural studies were performed by Rutherford backscattering spectrometry, X-ray diffraction, and X-ray photoelectron spectroscopy, and optical properties were studied by ultraviolet–visible spectroscopy and analytically by pointwise unconstrained minimization approach method. It was found that both the structure and optical properties of the films are strongly influenced by the deposition and processing parameters. All deposited samples showed good stoichiometry of Ti:O ~ 1:2. Depending on the substrate temperature and oxygen pressure in the chamber during the deposition, anatase–rutile mixed films were obtained, and in some cases TiO and Ti{sub 2}O{sub 3} phases were observed. Substrate deposition temperature appears to play the major role on the final structure of the films, while post-deposition annealing adds up for the lack of oxygen in some cases and invokes crystal grain growth of already initiated phases. The results can be interesting towards the development of TiO{sub 2} thin films with defined structure and optical properties. - Highlights: • TiO{sub 2} films were deposited by reactive e-beam evaporation. • Structure and properties were studied as a function of deposition temperature. • Stoichiometry of as-deposited films was Ti:O ~ 1:2, containing different Ti-O phases. • Post-deposition annealing yielded phase transformation, affecting the properties. • Refractive index increases with the substrate deposition temperature.

  12. Growth of Fe2O3 thin films by atomic layer deposition

    International Nuclear Information System (INIS)

    Lie, M.; Fjellvag, H.; Kjekshus, A.

    2005-01-01

    Thin films of α-Fe 2 O 3 (α-Al 2 O 3 -type crystal structure) and γ-Fe 2 O 3 (defect-spinel-type crystal structure) have been grown by the atomic layer deposition (ALD) technique with Fe(thd) 3 (iron derivative of Hthd = 2,2,6,6-tetramethylheptane-3,5-dione) and ozone as precursors. It has been shown that an ALD window exists between 160 and 210 deg. C. The films have been characterized by various techniques and are shown to comprise (001)-oriented columns of α-Fe 2 O 3 with no in-plane orientation when grown on soda-lime-glass and Si(100) substrates. Good quality films have been made with thicknesses ranging from 10 to 130 nm. Films grown on α-Al 2 O 3 (001) and MgO(100) substrates have the α-Fe 2 O 3 and γ-Fe 2 O 3 crystal structure, respectively, and consist of highly oriented columns with in-plane orientations matching those of the substrates

  13. Thermochromic VO2 thin films deposited by magnetron sputtering for smart window applications

    Science.gov (United States)

    Fortier, Jean-Philippe

    "Smart" windows are a perfect innovative example of technology that reduces our energy dependence and our impact on the environment while saving on the economical point of view. With the use of vanadium dioxide (VO2), a thermochromic compound, and this, as a thin coating, it would in fact be possible to control the sun's transmission of infrared light (heat) as a function of the surrounding environment temperature. In other words, its optical behavior would allow a more effective management of heat exchanges between a living venue and the outdoor environment. However, this type of window is still in a developmental stage. First, the oxide's deposition is not simple in nature. Based on a conventional deposition technique called magnetron sputtering mainly used in the fenestration industry, several factors such as the oxygen concentration and the substrate temperature during deposition can affect the coating's thermochromic behavior, and this, by changing its composition and crystallinity. Other control parameters such as the deposition rate, the pressure in the sputtering chamber and the choice of substrate may also modify the film microstructure, thereby varying its optical and electrical properties. In addition, several issues still persist as to its commercial application. For starters, the material's structural transition, related to the change of its optical properties, only occurs around 68°C. In addition, its low transparency and natural greenish colour are not visually appealing. Then, to this day, the deposition temperature required to crystallize and form the thermochromic oxide remains an obstacle for a possible large-scale application. Ultimately, although the material's change in temperature has been shown to be advantageous in situations of varying climate, the existing corrective solutions to these issues generate a deterioration of the thermochromic behavior. With no practical expertise on the material, this project was undertaken with certain

  14. Electrical and optical characteristics of ITO films by pulsed laser deposition using a 10 wt.% SnO2-doped In2O3 ceramic target

    International Nuclear Information System (INIS)

    Kim, Sang Hyeob; Park, Nae-Man; Kim, TaeYoub; Sung, GunYong

    2005-01-01

    We have investigated the effect of the oxygen pressure and the deposition temperature on the electrical and optical properties of the Sn-doped indium oxide (ITO) films on quartz glass substrate by pulsed laser deposition (PLD) using a 10 wt.% SnO 2 -doped In 2 O 3 target. The resistivity and the carrier concentration of the films were decreased due to the decrease of the oxygen vacancy while increasing the oxygen pressure. With increasing deposition temperature, the resistivity of the films was decreased and the carrier concentration was increased due to the grain growth and the enhancement of the Sn diffusion. We have optimized the PLD process to deposit a highly conductive and transparent ITO film, which shows the optical transmittance of 88% and the resistivity of 2.49x10 -4 Ω cm for the film thickness of 180 nm

  15. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    Science.gov (United States)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  16. The influence of oxygen partial pressure on material properties of Eu{sup 3+}-doped Y{sub 2}O{sub 2}S thin film deposited by Pulsed Laser Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ali, A.G., E-mail: aliag@qwa.ufs.ac.za [Department of Physics, University of the Free State (Qwaqwa Campus), Private Bag X13, Phuthaditjhaba 9866 (South Africa); Dejene, B.F. [Department of Physics, University of the Free State (Qwaqwa Campus), Private Bag X13, Phuthaditjhaba 9866 (South Africa); Swart, H.C. [Department of Physics, University of the Free State, P.O. Box 339, Bloemfontein 9300 (South Africa)

    2016-01-01

    Eu{sup 3+}-doping has been of interest to improve the luminescent characteristics of thin-film phosphors. Y{sub 2}O{sub 2}S:Eu{sup 3+} films have been grown on Si (100) substrates by using a Pulsed Laser Deposition technique. The thin films grown under different oxygen deposition pressure conditions have been characterized using structural and luminescent measurements. The X-ray diffraction patterns showed mixed phases of cubic and hexagonal crystal structures. As the oxygen partial pressure increased, the crystallinity of the films improved. Further increase of the O{sub 2} pressure to 140 mtorr reduced the crystallinity of the film. Similarly, both scanning electron microscopy and Atomic Force Microscopy confirmed that an increase in O{sub 2} pressure affected the morphology of the films. The average band gap of the films calculated from diffuse reflectance spectra using the Kubelka–Munk function was about 4.75 eV. The photoluminescence measurements indicated red emission of Y{sub 2}O{sub 2}S:Eu{sup 3+} thin films with the most intense peak appearing at 619 nm, which is assigned to the {sup 5}D{sub 0}–{sup 7}F{sub 2} transition of Eu{sup 3+}. This most intense peak was totally quenched at higher O{sub 2} pressures. This phosphor may be a promising material for applications in the flat panel displays.

  17. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  18. The properties of nanocomposite aluminium-silicon based thin films deposited by filtered arc deposition

    Energy Technology Data Exchange (ETDEWEB)

    Bendavid, A.; Martin, P.J.; Takikawa, H

    2002-12-02

    Thin films of aluminium silicon oxynitride have been deposited on conducting (100) silicon wafers by filtered arc deposition (FAD) under nitrogen and/or oxygen gas flow. The influence of the N{sub 2}/O{sub 2} flow ratio on the crystal structure, optical and mechanical properties has been investigated. The results of X-ray diffraction showed that the film structure comprised of an AlN crystallite with amorphous Si{sub 3}N{sub 4} and SiO{sub x}. The optical properties over the range of 350-800 nm were measured using spectroscopic ellipsometry and found to be strongly dependent on N{sub 2}/O{sub 2} flow ratio. The refractive index values of the films were measured to be in the range of 2.2-1.64 at a wavelength of 670 nm for oxygen flow range of 0-100%. The hardness of the films was found to be strongly dependent on the oxygen content in the film. The hardness range of the films was between 10 and 22 GPa and for the stress between 0.3 and 1.2 GPa.

  19. Influence of the growth parameters on TiO2 thin films deposited using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M. I. B.

    2002-01-01

    Full Text Available In this work we report the synthesis of TiO2 thin films by the Organometallic Chemical Vapor Deposition (MOCVD method. The influence of deposition parameters used during the growth in the obtained structural characteristics was studied. Different temperatures of the organometallic bath, deposition time, temperature and type of the substrate were combined. Using Scanning Electron Microscopy associated to Electron Dispersive X-Ray Spectroscopy, Atomic Force Microscopy and X-ray Diffraction, the strong influence of these parameters in the thin films final microstructure was verified.

  20. Phase Evolution of YBa2Cu3O7-x films by all-chemical solution deposition route for coated conductors

    DEFF Research Database (Denmark)

    Yue, Zhao; Tang, Xiao; Wu, Wei

    2014-01-01

    In order to understand the all-chemical-solution-deposition (CSD) processes for manufacturing coated conductors, we investigated the phase evolution of YBa2Cu3O7 (YBCO) films deposited by a low-fluorine metal-organic solution deposition (LF-MOD) method on CSD derived Ce0.9La0.1O2/Gd2Zr2O7/Ni......W. It is shown that the phase transition from the pyrolyzed film to fully converted YBCO film in the LF-MOD process is similar to that in typical trifluoroacetates-metal organic deposition (TFA-MOD) processes even though the amount of TFA in the solution is reduced by almost one half compared with typical TFA...

  1. Transmission electron microscopy studies of HfO{sub 2} thin films grown by chloride-based atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Aidla, A. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia); Aarik, J. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia)

    2006-11-15

    Detailed transmission electron microscopy characterization of HfO{sub 2} films deposited on Si(1 0 0) using atomic layer deposition has been carried out. The influence of deposition temperature has been investigated. At 226 deg. C, a predominantly quasi-amorphous film containing large grains of cubic HfO{sub 2} (a {sub 0} = 5.08 A) was formed. Grain morphology enabled the nucleation sites to be determined. Hot stage microscopy showed that both the cubic phase and the quasi-amorphous phase were very resistant to thermal modification up to 500 deg. C. These observations suggest that nucleation sites for the growth of the crystalline cubic phase form at the growing surface of the film, rather homogeneously within the film. The films grown at higher temperatures (300-750 deg. C) are crystalline and monoclinic. The principal effects of deposition temperature were on: grain size, which coarsens at the highest temperature; roughness with increases at the higher temperatures due to the prismatic faceting, and texture, with texturing being strongest at intermediate temperatures. Detailed interfacial characterization shows that interfacial layers of SiO{sub 2} form at low and high temperatures. However, at intermediate temperatures, interfaces devoid of SiO{sub 2} were formed.

  2. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  3. Electrochemical deposition of Mg(OH2/GO composite films for corrosion protection of magnesium alloys

    Directory of Open Access Journals (Sweden)

    Fengxia Wu

    2015-09-01

    Full Text Available Mg(OH2/graphene oxide (GO composite film was electrochemical deposited on AZ91D magnesium alloys at constant potential. The characteristics of the Mg(OH2/GO composite film were investigated by scanning electron microscope (SEM, energy-dispersive X-ray spectrometry (EDS, X-ray diffractometer (XRD and Raman spectroscopy. It was shown that the flaky GO randomly distributed in the composite film. Compared with the Mg(OH2 film, the Mg(OH2/GO composite film exhibited more uniform and compact structure. Potentiodynamic polarization tests revealed that the Mg(OH2/GO composite film could significantly improve the corrosion resistance of Mg(OH2 film with an obvious positive shift of corrosion potential by 0.19 V and a dramatic reduction of corrosion current density by more than one order of magnitude.

  4. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  5. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    Science.gov (United States)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  6. Deposition temperature dependence of material and Si surface passivation properties of O3-based atomic layer deposited Al2O3-based films and stacks

    International Nuclear Information System (INIS)

    Bordihn, Stefan; Mertens, Verena; Müller, Jörg W.; Kessels, W. M. M.

    2014-01-01

    The material composition and the Si surface passivation of aluminum oxide (Al 2 O 3 ) films prepared by atomic layer deposition using Al(CH 3 ) 3 and O 3 as precursors were investigated for deposition temperatures (T Dep ) between 200 °C and 500 °C. The growth per cycle decreased with increasing deposition temperature due to a lower Al deposition rate. In contrast the material composition was hardly affected except for the hydrogen concentration, which decreased from [H] = 3 at. % at 200 °C to [H]  2 O 3 /SiN x stacks complemented the work and revealed similar levels of surface passivation as single-layer Al 2 O 3 films, both for the chemical and field-effect passivation. The fixed charge density in the Al 2 O 3 /SiN x stacks, reflecting the field-effect passivation, was reduced by one order of magnitude from 3·10 12  cm −2 to 3·10 11  cm −2 when T Dep was increased from 300 °C to 500 °C. The level of the chemical passivation changed as well, but the total level of the surface passivation was hardly affected by the value of T Dep . When firing films prepared at of low T Dep , blistering of the films occurred and this strongly reduced the surface passivation. These results presented in this work demonstrate that a high level of surface passivation can be achieved for Al 2 O 3 -based films and stacks over a wide range of conditions when the combination of deposition temperature and annealing or firing temperature is carefully chosen

  7. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  8. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O{sub 2} induced remote plasma: effect of oxygen fraction

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091 Damascus (Syrian Arab Republic)], E-mail: scientific6@aec.org.sy

    2008-09-07

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O{sub 2} mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ({chi}{sub O{sub 2}}=0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at ({chi}{sub O{sub 2}}=0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease ({approx}one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O{sub 2} mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm{sup -1}) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  9. Sol-gel deposition and electrical properties of laser irradiated Cu doped TiO2 multilayer thin films

    Directory of Open Access Journals (Sweden)

    M.I. Khan

    Full Text Available Multilayer thin films (3, 5 and 7 of 20% copper doped titanium dioxide (Cu:TiO2 have been deposited on glass substrates by sol-gel spin coating method. After deposition, films have been irradiated by a beam of continuous wave diode laser (532 nm for two minutes at the angle of 45°. Structural, surface morphology and electrical properties of films have been investigated by X-rays diffraction (XRD, scanning electron microscope (SEM and four point probe technique respectively. XRD shows the formation of titanium copper oxide. Surface morphology of thin films indicated that the average grain size is increased by increasing the number of layers. The average sheet resistivity of 3, 5 and 7 layers of thin films measured by four point probe technique is 2.2 × 104, 1.2 × 104 and 1.0 × 104 (Ohm-cm respectively. The present study will facilitate a cost effective and environmental friendly study for several properties of materials. Keywords: Cu:TiO2, Multilayer thin films, Diode laser

  10. Study on the electrical properties of ITO films deposited by facing target sputter deposition

    International Nuclear Information System (INIS)

    Kim, Youn J; Jin, Su B; Kim, Sung I; Choi, Yoon S; Choi, In S; Han, Jeon G

    2009-01-01

    This study examined the mechanism for the change in the electrical properties (carrier concentration (n) and mobility (μ)) of tin-doped indium oxide (ITO) films deposited by magnetron sputtering in a confined facing magnetic field. The relationship between the carrier concentration and the mobility was significantly different from the results reported for ITO films deposited by other magnetron sputtering processes. The lowest resistivity obtained for ITO films deposited in a confined facing magnetic field at low substrate temperatures (approximately 120 0 C) was 4.26 x 10 -4 Ω cm at a power density of 3 W cm -2 . Crystalline ITO films were obtained at a low power density range from 3 to 5 W cm -2 due to the increase in the substrate temperature from 120 to 162 0 C. This contributed to the increased carrier concentration and decreased electrical resistivity. X-ray photoelectron spectroscopy revealed an increase in the concentration of the Sn 4+ states. This was attributed to the formation of a crystalline ITO film, which effectively enhanced the carrier concentration and reduced the carrier mobility.

  11. Deposition of SiC thin films by PECVD

    CERN Document Server

    Cho, N I; Kim, C K

    1999-01-01

    The SiC films were deposited on Si substrate by the decomposition of CH sub 3 SiCl sub 3 (methylthrichlorosilane) molecules in a high frequency discharge field. From the Raman spectra, it is conjectured that the deposited film are formed into the polycrystalline structure. The photon absorption measurement reveal that the band gap of the electron energy state are to be 2.4 eV for SiC, and 2.6 eV for Si sub 0 sub . sub 4 C sub 0 sub . sub 6 , respectively. In the high power density regime, methyl-radicals decompose easily and increases the carbon concentration in plasma and result in the growing films.

  12. Opto-electronic characterization of polycrystalline CuInS2 and Cu(In,Ga)S2 absorber layers by photoluminescence

    International Nuclear Information System (INIS)

    Heidemann, Florian

    2011-01-01

    Photoluminescence (PL) is an established method to characterize the optoelectronic properties of solar cell absorber layers. With the help of Planck's generalized law it is in principle possible to determine the quasi-Fermi level splitting - which is the upper limit of the open circuit voltage V oc - and the absorption coefficient of a solar cell before its actual completion. For large-scale measurements (mm/cm regime) this is valid for absorber layers with lateral homogeneous properties, however it is not directly transferable to polycrystalline semiconductors due to laterally fluctuating opto-electronic and structural parameters. The lateral fluctuations in opto-electronic properties of polycrystalline Cu(In 1-ξ Ga ξ )S 2 have been analyzed (e.g. with respect to fluctuations in quasi-Fermi level splitting, optical band-gap and sub band-gap absorbance) by measuring laterally and spectrally resolved PL on the μm-scale and providing the transition towards macroscopic PL measurements on the mm-scale. To give a comprehensive characterization, surface roughness and optical properties have been studied and methods for feature extraction have been applied. On the microscopic scale variations in the quasi-Fermi level splitting Δ x,y E Fnp of about 38 meV (CuInS 2 ) and 53 meV (Cu(In,Ga)S 2 ) have been found. From local absorbance spectra extracted from PL measurements on Cu(In,Ga)S 2 fluctuations in the optical band-gap E opt with a full width at half maximum of FWHM E opt ∼80 meV could be extracted, whereas band-gap fluctuations in CuInS 2 are found to be negligible. Thus band-gap fluctuations seem to be mainly caused by a varying gallium (Ga) content. Furthermore, regions with higher E opt and with it a potential higher Ga content, show a higher quasi-Fermi level splitting. As a major limiting factor for the local quasi-Fermi level splitting E Fnp the local density of deep defects could be identified. Due to low luminescence yields of Cu(In 1-ξ Ga ξ )S 2 under

  13. Production of TiO2 films with bactericidal properties deposited on paper substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lisboa, A.J.T.; Vasconcelos, J.S.; Vasconcelos, A.C.S.; Vasconcelos, N.S.L.S.; Rangel, J.H.G.; Oliveira, M.M.O. [Universidade Federal do Maranha (UFMA), MA (Brazil); Longo, E.; Varela, J. A. [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), SP (Brazil)

    2014-07-01

    The main objective of this work was to obtain anatase-phase titanium oxide films deposited on paper substrates, using the polymeric precursor (Pechini) method. The oxide was mixed with a polyvinyl alcohol (PVA) solution and deposited on a paper substrate. The samples were then characterized by X-ray diffraction (XRD), field emission gun scanning electron microscopy (FEG-SEM), and energy dispersive spectroscopy (EDS), to check their surface phase. Bactericidal assays using Staphylococcus aureus and Escherichia coli bacteria for the anatase TiO2 film deposited on paper substrate indicated that the method was efficient, since the bacteria were eliminated after a given exposure time. However, the method proved to be more efficient when exposing samples contaminated with E. coli to UV irradiation for 30 and 45 min and then to sunlight for 90 min, since this resulted in the elimination of all the bacteria. (author)

  14. Production of TiO2 films with bactericidal properties deposited on paper substrate

    International Nuclear Information System (INIS)

    Lisboa, A.J.T.; Vasconcelos, J.S.; Vasconcelos, A.C.S.; Vasconcelos, N.S.L.S.; Rangel, J.H.G.; Oliveira, M.M.O.; Longo, E.; Varela, J. A.

    2014-01-01

    The main objective of this work was to obtain anatase-phase titanium oxide films deposited on paper substrates, using the polymeric precursor (Pechini) method. The oxide was mixed with a polyvinyl alcohol (PVA) solution and deposited on a paper substrate. The samples were then characterized by X-ray diffraction (XRD), field emission gun scanning electron microscopy (FEG-SEM), and energy dispersive spectroscopy (EDS), to check their surface phase. Bactericidal assays using Staphylococcus aureus and Escherichia coli bacteria for the anatase TiO2 film deposited on paper substrate indicated that the method was efficient, since the bacteria were eliminated after a given exposure time. However, the method proved to be more efficient when exposing samples contaminated with E. coli to UV irradiation for 30 and 45 min and then to sunlight for 90 min, since this resulted in the elimination of all the bacteria. (author)

  15. Electrophoretic Deposition of Hydroxyapatite Film Containing Re-Doped MoS2 Nanoparticles

    Directory of Open Access Journals (Sweden)

    Hila Shalom

    2018-02-01

    Full Text Available Films combining hydroxyapatite (HA with minute amounts (ca. 1 weight % of (rhenium doped fullerene-like MoS2 (IF nanoparticles were deposited onto porous titanium substrate through electrophoretic process (EPD. The films were analyzed by scanning electron microscopy (SEM, X-ray diffraction and Raman spectroscopy. The SEM analysis showed relatively uniform coatings of the HA + IF on the titanium substrate. Chemical composition analysis using energy dispersive X-ray spectroscopy (EDS of the coatings revealed the presence of calcium phosphate minerals like hydroxyapatite, as a majority phase. Tribological tests were undertaken showing that the IF nanoparticles endow the HA film very low friction and wear characteristics. Such films could be of interest for various medical technologies. Means for improving the adhesion of the film to the underlying substrate and its fracture toughness, without compromising its biocompatibility are discussed at the end.

  16. Aerosol deposition of (Cu,Ti) substituted bismuth vanadate films

    Energy Technology Data Exchange (ETDEWEB)

    Exner, Jörg, E-mail: Functional.Materials@Uni-Bayreuth.de [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany); Fuierer, Paul [Materials and Metallurgical Engineering Department, New Mexico Institute of Mining and Technology, Socorro, NM 87801 (United States); Moos, Ralf [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany)

    2014-12-31

    Bismuth vanadate, Bi{sub 4}V{sub 2}O{sub 11}, and related compounds with various metal (Me) substitutions, Bi{sub 4}(Me{sub x}V{sub 1−x}){sub 2}O{sub 11−δ}, show some of the highest ionic conductivities among the known solid oxide electrolytes. Films of Cu and Ti substituted bismuth vanadate were prepared by an aerosol deposition method, a spray coating process also described as room temperature impact consolidation. Resultant films, several microns in thickness, were dense with good adhesion to the substrate. Scanning electron microscopy and high temperature X-ray diffraction were used to monitor the effects of temperature on the structure and microstructure of the film. The particle size remained nano-scale while microstrain decreased rapidly up to 500 °C, above which coarsening and texturing increased rapidly. Impedance measurements of films deposited on inter-digital electrodes revealed an annealing effect on the ionic conductivity, with the conductivity exceeding that of a screen printed film, and approaching that of bulk ceramic. - Highlights: • Cu and Ti doped bismuth vanadate films were prepared by aerosol deposition (AD). • Dense 3–5 μm thick films were deposited on alumina, silicon and gold electrodes. • Annealing of the AD-layer increases the conductivity by 1.5 orders of magnitude. • Effect of temperature on structure and microstructure was investigated.

  17. Structural and optical properties of ZnO–SnO{sub 2} mixed thin films deposited by spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Tharsika, T., E-mail: tharsika@siswa.um.edu.my; Haseeb, A.S.M.A., E-mail: haseeb@um.edu.my; Sabri, M.F.M., E-mail: faizul@um.edu.my

    2014-05-02

    Nanocrystalline ZnO–SnO{sub 2} mixed thin films were deposited by the spray pyrolysis technique at various substrate temperatures during deposition. The mixed films were prepared in the range of 20.9 at.% to 73.4 at.% by altering the Zn/(Sn + Zn) atomic ratio in the starting solution. Morphology, crystal structures, and optical properties of the films were characterized by field-emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), and ultraviolet–visible and photoluminescence (PL) spectroscopy. XRD analysis reveals that the crystallinity of the Sn-rich mixed thin films increases with increasing substrate temperatures. FESEM images show that the grain size of mixed thin films is smaller compared to that of pure ZnO and SnO{sub 2} thin films. A drop in the thickness and optical bandgap of the film was observed for films fabricated at high temperatures, which coincided with the increased crystallinity of the films. The average optical transmission of mixed thin films increased from 70% to 95% within the visible range (400–800 nm) as the substrate temperature increases. Optical bandgap of the films was determined to be in the range of 3.21–3.96 eV. The blue shift in the PL spectra from the films was supported by the fact that grain size of the mixed thin films is much smaller than that of the pure ZnO and SnO{sub 2} thin films. Due to the improved transmission and reduced grain size, the ZnO–SnO{sub 2} mixed thin films can have potential use in photovoltaic and gas sensing applications. - Highlights: • ZnO–SnO{sub 2} mixed thin films were deposited on glass substrate by spray pyrolysis. • Crystallinity of the thin films increases with substrate temperature. • Grain size of the mixed thin films is smaller than that of the pure thin films. • Reduction of grain size depends on mixed atomic ratios of precursor solution. • Optical band gap of films could be engineered by changing substrate temperature.

  18. Phase transformations in sputter-deposited W-doped TiO2 films during annealing in air

    International Nuclear Information System (INIS)

    Saladukhin, I. A.; Abadias, G.

    2013-01-01

    Pure and tungsten-doped TiO 2 films are characterized as amorphous in the as-deposited state by XRD. A crystallization of titanium dioxide occurs during their annealing in air. Depending on the tungsten and nitrogen doping level, anatase or rutile phase formation is observed. Both of these phases are thermally stable in all interval of the temperatures used during annealing. Phase composition and lattice parameter analysis indicates on the formation of substitutional Ti 1 -xW x O 2 films. N-doped Ti 0 .75W 0 .25O 2 film is more resistant against high-temperature oxidation as compared to Ti 0 .74W 0 .26O 2 film and, especially, as compared to Ti 0 .60W 0 .40O 2 film. (authors)

  19. Effects of surface deposition and droplet injection on film cooling

    International Nuclear Information System (INIS)

    Wang, Jin; Cui, Pei; Vujanović, Milan; Baleta, Jakov; Duić, Neven; Guzović, Zvonimir

    2016-01-01

    Highlights: • Cooling effectiveness is significantly affected by the deposition size. • Coverage area for model without mist is reduced by increasing the deposition height. • Wall temperature is decreased by 15% with 2% mist injection. • Cooling coverage is increased by more than three times with 2% mist injection. • Cooling effectiveness for mist models is improved by increasing deposition height. - Abstract: In the present research, the influence of the particle dispersion onto the continuous phase in film cooling application was analysed by means of numerical simulations. The interaction between the water droplets and the main stream plays an important role in the results. The prediction of two-phase flow is investigated by employing the discrete phase model (DPM). The results present heat transfer characteristics in the near-wall region under the influence of mist cooling. The local wall temperature distribution and film cooling effectiveness are obtained, and results show that the film cooling characteristics on the downstream wall are affected by different height of surface deposits. It is also found that smaller deposits without mist injection provide a lower wall temperature and a better cooling performance. With 2% mist injection, evaporation of water droplets improves film cooling effectiveness, and higher deposits cause lateral and downstream spread of water droplets. The results indicate that mist injection can significantly enhance film cooling performance.

  20. Investigation of Al{sub 2}O{sub 3} barrier film properties made by atomic layer deposition onto fluorescent tris-(8-hydroxyquinoline) aluminium molecular films

    Energy Technology Data Exchange (ETDEWEB)

    Maindron, Tony; Aventurier, Bernard [LETI/DOPT/SCOOP/Laboratoire des Composants pour la Visualisation, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France); Ghazouani, Ahlem; Jullien, Tony [LETI/DTSI/SDEP/Laboratoire Dépôt Equipe 2, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France); Rochat, Névine [LETI/DTSI/Service de Caractérisation des Matériaux et Composants, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France); Simon, Jean-Yves; Viasnoff, Emilie [LETI/DOPT/SCOOP/Laboratoire des Composants pour la Visualisation, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France)

    2013-12-02

    Al{sub 2}O{sub 3} films have been deposited at 85 °C by atomic layer deposition onto single 100 nm thick tris-(8-hydroxyquinoline) aluminium (AlQ{sub 3}) films made onto silicon wafers. It has been found that a thick ALD-deposited Al{sub 2}O{sub 3} layer (> 11 nm) greatly prevents the photo-oxidation of AlQ{sub 3} films when exposed to continuous UV irradiation (350 mW/cm{sup 2}). Thin Al{sub 2}O{sub 3} thicknesses (< 11 nm) on the contrary yield lower barrier performances. Defects in the Al{sub 2}O{sub 3} layer have been easily observed as non-fluorescent AlQ{sub 3} singularities, or black spots, under UV light on the system Si/AlQ{sub 3}/Al{sub 2}O{sub 3} stored into laboratory conditions (22 °C/50% Relative Humidity (RH)) for long time scale (∼ 2000 h). Accelerated aging conditions in a climatic chamber (85 °C/85% RH) also allow faster visualization of the same defects (168 h). The black spot density grows upon time and the black spot density occurrence rates have been calculated to be 0.024 h{sup −1}·cm{sup −2} and 0.243 h{sup −1}·cm{sup −2} respectively for the two testing conditions. A detailed investigation of these defects did show that they cannot be ascribed to the presence of a detectable particle. In that sense they are presumably the consequence of the existence of nanometre-scaled defects which cannot be detected onto fresh samples. Interestingly, an additional overcoating of ebeam-deposited SiO{sub 2} onto the Si/AlQ{sub 3}/Al{sub 2}O{sub 3} sample helps to decrease drastically the black spot density occurrence rates down to 0.004 h{sup −1}·cm{sup −2} and 0.04 h{sup −1}·cm{sup −2} respectively for 22 °C/50% RH and 85 °C/85% RH testing conditions. These observations highlight the moisture sensitivity of low temperature ALD-deposited Al{sub 2}O{sub 3} films and confirm the general idea that a single Al{sub 2}O{sub 3} ALD film performs as an ultra-high barrier but needs to be overprotected from water condensation by an

  1. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  2. Adherence problem for carbon films of up to 0.5 mg/cm2 on vacuum-deposited thick ferromagnetic Gd targets

    International Nuclear Information System (INIS)

    Maier-Komor, P.; Kruecken, R.; Speidel, K.-H.; Kenn, O.

    2004-01-01

    For high precision measurements of magnetic moments and reduced transition probabilities by the combined technique of projectile Coulomb excitation in inverse kinematics and transient magnetic fields sandwich targets of carbon and gadolinium were required. First preparations revealed a lack of adhesion between the Gd film and the vacuum-deposited C layer. Either the adhesion was generally poor or good results could not be reproduced. Now on a 4 mg/cm 2 Gd target 0.5 mg/cm 2 of nat C should be deposited. The Gd was deposited on 1-1.6 mg/cm 2 Ta backings and Cu films of 3.5-7 mg/cm 2 were deposited on the reverse side of the Ta backings. The adhesive properties of evaporated carbon on ferromagnetic gadolinium were investigated. For this either substrate cooling or the deposition of a 5 μg/cm 2 Ti film as adhesion agent were applied

  3. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  4. Ultrashort pulse laser deposition of thin films

    Science.gov (United States)

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  5. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  6. Barrier properties of plastic films coated with an Al{sub 2}O{sub 3} layer by roll-to-toll atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hirvikorpi, Terhi, E-mail: Terhi.Hirvikorpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Laine, Risto, E-mail: Risto.Laine@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vähä-Nissi, Mika, E-mail: Mika.Vaha-Nissi@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kilpi, Väinö, E-mail: Vaino.Kilpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Salo, Erkki, E-mail: Erkki.Salo@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Li, Wei-Min, E-mail: Wei-Min.Li@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Lindfors, Sven, E-mail: Sven.Lindfors@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vartiainen, Jari, E-mail: Jari.Vartiainen@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kenttä, Eija, E-mail: Eija.Kentta@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Nikkola, Juha, E-mail: Juha.Nikkola@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1300, FI-33101 Tampere (Finland); Harlin, Ali, E-mail: Ali.Harlin@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kostamo, Juhana, E-mail: Juhana.Kostamo@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland)

    2014-01-01

    Thin (30–40 nm) and highly uniform Al{sub 2}O{sub 3} coatings have been deposited at relatively low temperature of 100 °C onto various polymeric materials employing the atomic layer deposition (ALD) technique, both batch and roll-to-roll (R2R) mode. The applications for ALD have long been limited those feasible for batch processing. The work demonstrates that R2R ALD can deposit thin films with properties that are comparable to the film properties fabricated by in batch. This accelerates considerably the commercialization of many products, such as flexible, printed electronics, organic light-emitting diode lighting, third generation thin film photovoltaic devices, high energy density thin film batteries, smart textiles, organic sensors, organic/recyclable packaging materials, and flexible displays, to name a few. - Highlights: • Thin and uniform Al{sub 2}O{sub 3} coatings have been deposited onto polymers materials. • Batch and roll-to-roll (R2R) atomic layer deposition (ALD) have been employed. • Deposition with either process improved the barrier properties. • Sensitivity of coated films to defects affects barrier obtained with R2R ALD.

  7. Influence of deposition parameters and annealing on Cu{sub 2}ZnSnS{sub 4} thin films grown by SILAR

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Kinjal; Shah, Dimple V. [Department of Applied Physics, S.V. National Institute of Technology, Surat 395007 (India); Kheraj, Vipul, E-mail: vipulkheraj@gmail.com [Department of Applied Physics, S.V. National Institute of Technology, Surat 395007 (India); Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, UT 84112 (United States)

    2015-02-15

    Highlights: • Optimisation of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin film deposition using SILAR method. • Study on effects of annealing at different temperature under two different ambients, viz. sulphur and tin sulphide. • Formation of CZTS thin films with good crystalline quality confirmed by XRD and Raman spectra. - Abstract: Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films were deposited on glass substrates using Successive Ionic Layer Adsorption and Reaction (SILAR) technique at the room-temperature. The deposition parameters such as concentration of precursors and number of cycles were optimised for the deposition of uniform CZTS thin films. Effects of annealing at different temperature under two different ambient, viz. sulphur and tin sulphide have also been investigated. The structural and optical properties of the films were studied using X-ray diffraction, scanning electron microscopy, Raman spectroscopy and UV-visible spectra in light with the deposition parameters and annealing conditions. It is observed that a good quality CZTS film can be obtained by SILAR at room temperature followed by annealing at 500 °C in presence of sulphur.

  8. Properties of electrophoretically deposited single wall carbon nanotube films

    International Nuclear Information System (INIS)

    Lim, Junyoung; Jalali, Maryam; Campbell, Stephen A.

    2015-01-01

    This paper describes techniques for rapidly producing a carbon nanotube thin film by electrophoretic deposition at room temperature and determines the film mass density and electrical/mechanical properties of such films. The mechanism of electrophoretic deposition of thin layers is explained with experimental data. Also, film thickness is measured as a function of time, electrical field and suspension concentration. We use Rutherford backscattering spectroscopy to determine the film mass density. Films created in this manner have a resistivity of 2.14 × 10 −3 Ω·cm, a mass density that varies with thickness from 0.12 to 0.54 g/cm 3 , and a Young's modulus between 4.72 and 5.67 GPa. The latter was found to be independent of thickness from 77 to 134 nm. We also report on fabricating free-standing films by removing the metal seed layer under the CNT film, and selectively etching a sacrificial layer. This method could be extended to flexible photovoltaic devices or high frequency RF MEMS devices. - Highlights: • We explain the electrophoretic deposition process and mechanism of thin SWCNT film deposition. • Characterization of the SWCNT film properties including density, resistivity, transmittance, and Young's modulus. • The film density and resistivity are found to be a function of the film thickness. • Techniques developed to create free standing layers of SW-CNTs for flexible electronics and mechanical actuators

  9. Excimer pulsed laser deposition and annealing of YSZ nanometric films on Si substrates

    International Nuclear Information System (INIS)

    Caricato, A.P.; Barucca, G.; Di Cristoforo, A.; Leggieri, G.; Luches, A.; Majni, G.; Martino, M.; Mengucci, P.

    2005-01-01

    We report experimental results obtained for electrical and structural characteristics of yttria-stabilised zirconia (YSZ) thin films deposited by pulsed laser deposition (PLD) on Si substrates at room temperature. Some samples were submitted to thermal treatments in different ambient atmospheres (vacuum, N 2 and O 2 ) at a moderate temperature. The effects of thermal treatments on the film electrical properties were studied by C-V and I-V measurements. Structural characteristics were obtained by X-ray diffraction (XRD), X-ray reflectivity (XRR) and transmission electron microscopy (TEM) analyses. The as-deposited film was amorphous with an in-depth non-uniform density. The annealed films became polycrystalline with a more uniform density. The sample annealed in O 2 was uniform over all the thickness. Electrical characterisation showed large hysteresis, high leakage current and positive charges trapped in the oxide in the as-deposited film. Post-deposition annealing, especially in O 2 atmosphere, improved considerably the electrical properties of the films

  10. Growth of Cu2ZnSnS4(CZTS) by Pulsed Laser Deposition for Thin film Photovoltaic Absorber Material

    Science.gov (United States)

    Nandur, Abhishek; White, Bruce

    2014-03-01

    CZTS (Cu2ZnSnS4) has become the subject of intense interest because it is an ideal candidate absorber material for thin-film solar cells with an optimal band gap (1.5 eV), high absorption coefficient (104 cm-1) and abundant elemental components. Pulsed Laser Deposition (PLD) provides excellent control over film composition since thin films are deposited under high vacuum with excellent stoichiometry transfer from the target. CZTS thin films were deposited using PLD from a stoichiometrically close CZTS target (Cu2.6Zn1.1Sn0.7S3.44). The effects of laser energy fluence and substrate temperature and post-deposition sulfur annealing on the surface morphology, composition and optical absorption have been investigated. Optimal CZTS thin films exhibited a band gap of 1.54 eV with an absorption coefficient of 4x104cm-1. A solar cell utilizing PLD grown CZTS with the structure SLG/Mo/CZTS/CdS/ZnO/ITO showed a conversion efficiency of 5.85% with Voc = 376 mV, Jsc = 38.9 mA/cm2 and Fill Factor, FF = 0.40.

  11. Growth of LiMn{sub 2}O{sub 4} thin films by pulsed-laser deposition and their electrochemical properties in lithium microbatteries

    Energy Technology Data Exchange (ETDEWEB)

    Julien, C. [Univ. Pierre et Marie Curie, Paris (France). LMDH; Haro-Poniatowski, E. [Laboratorio de Optica Cuantica, Universidad Autonoma Metropolitana Iztapalapa, Apdo. Postal 55-534, Mexico (Mexico); Camacho-Lopez, M.A. [LMDH, UMR 7603, Universite Pierre et Marie Curie, 4 place Jussieu, 75252, Paris (France); Escobar-Alarcon, L. [Departamento de Fisica, Instituto Nacional de Investigaciones Nucleares, Apdo. Postal 18-1027, Mexico (Mexico); Jimenez-Jarquin, J. [Laboratorio de Optica Cuantica, Universidad Autonoma Metropolitana Iztapalapa, Apdo. Postal 55-534, Mexico (Mexico)

    2000-03-01

    Films of LiMn{sub 2}O{sub 4} were grown by pulsed-laser deposition (PLD) onto silicon wafers using sintered targets which consisted in the mixture of LiMn{sub 2}O{sub 4} and Li{sub 2}O powders. The film formation has been studied as a function of the preparation conditions, i.e. composition of the target, substrate temperature, and oxygen partial pressure in the deposition chamber. Composition, morphology and structural properties of PLD films have been investigated using Rutherford backscattering spectroscopy, scanning electron microscopy, X-ray diffraction and Raman scattering spectroscopy. The films deposited from target LiMn{sub 2}O{sub 4}+15% Li{sub 2}O have an excellent crystallinity when deposited onto silicon substrate maintained at 300 C in an oxygen partial pressure of 100 mTorr. It is found that such a film crystallizes in the spinel structure (Fd3m symmetry) as evidenced by X-ray diffraction. Well-textured polycrystalline films exhibit crystallite size of 300 nm. Pulsed-laser deposited LiMn{sub 2}O{sub 4} thin films obtained with a polycrystalline morphology were successfully used as cathode materials in lithium microbatteries. The Li//LiMn{sub 2}O{sub 4} thin film cells have been tested by cyclic voltammetry and galvanostatic charge-discharge techniques in the potential range 3.0-4.2 V. Specific capacity as high as 120 mC/cm{sup 2} {mu}m was measured on polycrystalline films. The chemical diffusion coefficients for the Li{sub x}Mn{sub 2}O{sub 4} thin films appear to be in the range of 10{sup -11}-10{sup -12} cm{sup 2}/s. Electrochemical measurements show a good cycleability of PLD films when cells are charged-discharged at current densities of 5-25 {mu}A/cm{sup 2}. (orig.)

  12. MgB2 ultrathin films fabricated by hybrid physical chemical vapor deposition and ion milling

    Directory of Open Access Journals (Sweden)

    Narendra Acharya

    2016-08-01

    Full Text Available In this letter, we report on the structural and transport measurements of ultrathin MgB2 films grown by hybrid physical-chemical vapor deposition followed by low incident angle Ar ion milling. The ultrathin films as thin as 1.8 nm, or 6 unit cells, exhibit excellent superconducting properties such as high critical temperature (Tc and high critical current density (Jc. The results show the great potential of these ultrathin films for superconducting devices and present a possibility to explore superconductivity in MgB2 at the 2D limit.

  13. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  14. Nanostructured CdS thin films deposited by spray pyrolysis method

    Energy Technology Data Exchange (ETDEWEB)

    Kerimova, A.; Bagiyev, E.; Aliyeva, E.; Bayramov, A. [Institute of Physics, Azerbaijan National Academy of Sciences, Baku (Azerbaijan)

    2017-06-15

    Influence of solution pH on the structural and optical properties of CdS films deposited by conventional spray pyrolysis technique was studied. X-Ray Diffraction (XRD), Atomic Force Microscopy (AFM), Photoluminescence spectroscopy (PLS), and Spectroscopic Ellipsometry (SE) methods were used for the characterization of the deposited films. PL spectrum of the film deposited from the solution with pH = 10.2 shows broad-band PL emission located at 460 nm (2.7 eV), which can be attributed to the quantum size effect at grain sizes of <10 nm. No shifts of ε{sub 1} and ε{sub 2} due to the quantum size effect are observed in dielectric function spectra, what can be caused by low concentration of nano-sized (<10 nm) CdS grains. The change in the film properties with the pH of the solution was analyzed in terms of variation of grain sizes of the polycrystalline films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Pulsed laser deposition of Cu-Sn-S for thin film solar cells

    DEFF Research Database (Denmark)

    Ettlinger, Rebecca Bolt; Crovetto, Andrea; Bosco, Edoardo

    Thin films of copper tin sulfide were deposited from a target of the stoichiometry Cu:Sn:S ~1:2:3 using pulsed laser deposition (PLD). Annealing with S powder resulted in films close to the desired Cu2SnS3 stoichiometry although the films remained Sn rich. Xray diffraction showed that the final...... films contained both cubic-phase Cu2SnS3 and orthorhombic-phase SnS...

  16. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  17. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  18. Modification of low temperature deposited LiMn2O4 thin film cathodes by oxygen plasma irradiation

    International Nuclear Information System (INIS)

    Chen, Chen Chung; Chiu, Kuo-Feng; Lin, Kun Ming; Lin, Hsin Chih

    2009-01-01

    Lithium manganese oxides have been deposited by radio frequency magnetron sputter deposition with relatively lower annealing temperatures and then post-treated with a radio frequency (rf) driven oxygen plasma. Following oxygen plasma irradiation, the film properties were modified, and the performance of the thin film cathode has been enhanced. The electrochemical properties of the treated thin-film cathodes were characterized and compared. The results showed that the samples with moderate plasma treatment also maintained good cyclic properties as cycled at a wide range potential window of 2.0 V-4.5 V. Its electrochemical properties were significantly improved by this process, even though the films were prepared under low annealing temperature.

  19. Nanomechanical properties of GaSe thin films deposited on Si(1 1 1) substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Jian, Sheng-Rui; Juang, Jenh-Yih; Luo, Chih-Wei; Ku, Shin-An; Wu, Kaung-Hsiung

    2012-01-01

    Highlights: ► GaSe thin films are grown by PLD. ► Structural properties of GaSe thin films are measured by XRD. ► Hardness and Young’s modulus of GaSe thin films are measured by nanoindentation. - Abstract: The correlations between the crystalline structure and mechanical properties of GaSe thin films were investigated by means of X-ray diffraction (XRD) and nanoindentation techniques. The GaSe thin films were deposited on Si(1 1 1) substrates deposited at various deposition temperatures using pulsed laser deposition (PLD). The XRD results indicate that all the GaSe thin films are pure hexagonal phase with highly (0 0 0 l)-oriented characteristics. Nanoindentation results revealed apparent discontinuities (so-called multiple “pop-in” events) in the load-displacement curve, while no discontinuity was observed in the unloading segment of the load-displacement curve. The hardness and Young’s modulus of GaSe thin films determined by the continuous stiffness measurements (CSM) method indicated that both mechanical parameters increased with the increasing deposition temperature with the hardness and the Young’s modulus being increased from 1.2 ± 0.1 to 1.8 ± 0.1 GPa and from 39.6 ± 1.2 to 68.9 ± 2.7 GPa, respectively, as the deposition temperature was raised from 400 to 475 °C. These results suggest that the increased grain size might have played a prominent role in determining the mechanical properties of the PLD-derived GaSe thin films.

  20. Influence of deposition temperature of thermal ALD deposited Al2O3 films on silicon surface passivation

    Directory of Open Access Journals (Sweden)

    Neha Batra

    2015-06-01

    Full Text Available The effect of deposition temperature (Tdep and subsequent annealing time (tanl of atomic layer deposited aluminum oxide (Al2O3 films on silicon surface passivation (in terms of surface recombination velocity, SRV is investigated. The pristine samples (as-deposited show presence of positive fixed charges, QF. The interface defect density (Dit decreases with increase in Tdep which further decreases with tanl up to 100s. An effective surface passivation (SRV<8 cm/s is realized for Tdep ≥ 200 °C. The present investigation suggests that low thermal budget processing provides the same quality of passivation as realized by high thermal budget process (tanl between 10 to 30 min.

  1. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  2. Effect of argon addition into oxygen atmosphere on YBCO thin films deposition

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Borisenko, I. V.; Ovsyannikov, G. A.; Kuehle, A.; Bindslev-Hansen, J.; Johannes, L.; Skov, J. L.

    2002-01-01

    Multicomponent nature of the YBa 2 Cu 3 O x (YBCO) high-temperature superconductor makes difficult fabrication of smooth thin films: every local deviation from stoichiometry can result in seeding of a non-superconducting oxide particle. High density of such particles on typical YBCO thin film surface, however, presumes overall non-stoichiometry of the film. Such an effect can result from (i) non-uniform material transport from target to substrate, and (ii) re-evaporation or re-sputtering from the growing film surface. The first reason is more usual for laser ablation deposition technique, the second is typical for long sputtering deposition processes. Substitution of oxygen with argon in the deposition atmosphere improves surface quality of YBCO thin films deposited both by laser ablation and DC-sputtering at high pressure techniques. In the first case, the ablated species are scattered different ways in the oxygen atmosphere. Addition of argon decreases the inelastic scattering of barium; the proper part of Ar in the deposition atmosphere makes scattering and, hence, transport of all atoms uniform. The YBCO films deposited by DC-sputtering at high pressure technique are Ba-deficient also, but the reason is re-sputtering of Ba from the growing film as a result of negative oxygen ions bombardment. Such bombardment can lead also to chemical interaction of the deposited material with the substrate, as in the case of deposition of YBCO thin film on the CeO 2 buffer layer on sapphire. Substitution of oxygen with argon not only suppresses ion bombardment of the film, but also increases discharge stability due to presence of positive Ar + ions. The limiting factor of argon substitution is sufficient oxygenation of the growing oxide film. When oxygen partial pressure is too small, the superconducting quality of the YBCO thin film decreases and such a decrease cannot be overcome by prolonged oxygenation after deposition. (Authors)

  3. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  4. Characterization of superconducting thin films deposited by laser ablation. Caracterisation de films minces supraconducteurs deposes par ablation laser

    Energy Technology Data Exchange (ETDEWEB)

    Sentis, M; Delaporte, P [I.M.F.M., 13 - Marseille (FR); Gerri, M; Marine, W [Aix-Marseille-2 Univ., 13-Marseille (FR). Centre Universitaire de Luminy

    1991-05-01

    Thin films of YBa{sub 2}Cu{sub 3}O{sub 7} are deposited by laser ablation on MgO and YSZ substrates. Deposits by infrared (I.R.) Nd: YAG are non stoechiometric. The films having the best superconductor qualities are deposited by ablation with an excimer U.V. laser ({lambda} = 308 nm). These films are epitaxiated with the c axis perpendicular to the substrate. The film quality depends on the substrate temperature, oxygen pressure and cooling speed.

  5. Comparison of lanthanum substituted bismuth titanate (BLT) thin films deposited by sputtering and pulsed laser deposition

    International Nuclear Information System (INIS)

    Besland, M.P.; Djani-ait Aissa, H.; Barroy, P.R.J.; Lafane, S.; Tessier, P.Y.; Angleraud, B.; Richard-Plouet, M.; Brohan, L.; Djouadi, M.A.

    2006-01-01

    Bi 4-x La x Ti 3 O 12 (BLT x ) (x = 0 to 1) thin films were grown on silicon (100) and platinized substrates Pt/TiO 2 /SiO 2 /Si using RF diode sputtering, magnetron sputtering and pulsed laser deposition (PLD). Stoichiometric home-synthesized targets were used. Reactive sputtering was investigated in argon/oxygen gas mixture, with a pressure ranging from 0.33 to 10 Pa without heating the substrate. PLD was investigated in pure oxygen, at a chamber pressure of 20 Pa for a substrate temperature of 400-440 deg. C. Comparative structural, chemical, optical and morphological characterizations of BLT thin films have been performed by X-ray diffraction (XRD), Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), X-Ray Photoelectron Spectroscopy (XPS), Spectro-ellipsometric measurements (SE) and Atomic Force Microscopy (AFM). Both sputtering techniques allow to obtain uniform films with thickness ranging from 200 to 1000 nm and chemical composition varying from (Bi,La) 2 Ti 3 O 12 to (Bi,La) 4.5 Ti 3 O 12 , depending on deposition pressure and RF power. In addition, BLT films deposited by magnetron sputtering, at a pressure deposition ranging from 1.1 to 5 Pa, were well-crystallized after a post-deposition annealing at 650 deg. C in oxygen. They exhibit a refractive index and optical band gap of 2.7 and 3.15 eV, respectively. Regarding PLD, single phase and well-crystallized, 100-200 nm thick BLT films with a stoichiometric (Bi,La) 4 Ti 3 O 12 chemical composition were obtained, exhibiting in addition a preferential orientation along (200). It is worth noting that BLT films deposited by magnetron sputtering are as well-crystallized than PLD ones

  6. Experimental study of Pulsed Laser Deposited Cu2ZnSnS 4 (CZTS) thin films for photovoltaic applications

    Science.gov (United States)

    Nandur, Abhishek S.

    Thin film solar cells are gaining momentum as a renewable energy source. Reduced material requirements (15 mum in total thickness) solar cells. Among the various thin film solar absorbers that have been proposed, CZTS (Cu2ZnSnS4) has become the subject of intense interest because of its optimal band gap (1.45 eV), high absorption coefficient (104 cm--1 ) and abundant elemental components. Pulsed Laser Deposition (PLD) provides excellent control over film composition since films are deposited under high vacuum with excellent stoichiometry transfer from the target. Defect-free, near-stoichiometric poly-crystalline CZTS thin films were deposited using PLD from a stoichiometrically close CZTS target (Cu2.6Zn1.1Sn0.7S3.44). The effects of fabrication parameters such as laser energy density, deposition time, substrate temperature and sulfurization (annealing in sulfur) on the surface morphology, composition and optical absorption of the CZTS thin films were examined. The results show that the presence of secondary phases, present both in the bulk and on the surface, affected the electrical and optical properties of the CZTS thin films and the CZTS based TFSCs. After selectively etching away the secondary phases with DIW, HCl and KCN, it was observed that their removal improved the performance of CZTS based TFSCs. Optimal CZTS thin films exhibited an optical band gap of 1.54 eV with an absorption coefficient of 4x10 4cm-1 with a low volume of secondary phases. A TFSC fabricated with the best CZTS thin film obtained from the experimental study done in this thesis showed a conversion efficiency of 6.41% with Voc = 530 mV, Jsc= 27.5 mA/cm2 and a fill factor of 0.44.

  7. Laser-assisted deposition of thin C60 films

    DEFF Research Database (Denmark)

    Schou, Jørgen; Canulescu, Stela; Fæster, Søren

    Metal and metal oxide films with controlled thickness from a fraction of a monolayer up more than 1000 nm and known stoichiometry can be produced by pulsed laser deposition (PLD) relatively easily, and (PLD) is now a standard technique in all major research laboratories within materials science...... of the matrix material, anisole, with a concentration of 0.67 wt% C60. At laser fluences below 1.5 J/cm2, a dominant fraction of the film molecules are C60 transferred to the substrate without any fragmentation. High-resolution SEM images of MAPLE deposited films reveal large circular features on the surface...

  8. Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films

    Directory of Open Access Journals (Sweden)

    Jörg Haeberle

    2013-11-01

    Full Text Available We report on results on the preparation of thin (2O3 films on silicon substrates using thermal atomic layer deposition (T-ALD and plasma enhanced atomic layer deposition (PE-ALD in the SENTECH SI ALD LL system. The T-ALD Al2O3 layers were deposited at 200 °C, for the PE-ALD films we varied the substrate temperature range between room temperature (rt and 200 °C. We show data from spectroscopic ellipsometry (thickness, refractive index, growth rate over 4” wafers and correlate them to X-ray photoelectron spectroscopy (XPS results. The 200 °C T-ALD and PE-ALD processes yield films with similar refractive indices and with oxygen to aluminum elemental ratios very close to the stoichiometric value of 1.5. However, in both also fragments of the precursor are integrated into the film. The PE-ALD films show an increased growth rate and lower carbon contaminations. Reducing the deposition temperature down to rt leads to a higher content of carbon and CH-species. We also find a decrease of the refractive index and of the oxygen to aluminum elemental ratio as well as an increase of the growth rate whereas the homogeneity of the film growth is not influenced significantly. Initial state energy shifts in all PE-ALD samples are observed which we attribute to a net negative charge within the films.

  9. Transport Properties of LCMO Granular Films Deposited by the Pulsed Electron Deposition Technique

    Institute of Scientific and Technical Information of China (English)

    CHEN Leiming; XU Bin; ZHANG Yan; CHEN Zhenping

    2011-01-01

    By finely controlling the deposition parameters in the pulsed electron deposition process,granular La2/3Ca1/3MnO3 (LCMO) film was grown on silicon substrates.The substrate temperature,ambient pressure in the deposition chamber and acceleration potential for the electron beam were all found to affect the grain size of the film,resulting in different morphologies of the samples.Transport properties of the obtained granular films,especially the magnetoresistance (MR),were studied.Prominent low-field MR was observed in all samples,indicating the forming of grain boundaries in the sample.The low-field MR show great sensitive to the morphology evolution,which reaches the highest value of about 40% for the sample with the grain size of about 250 nm.More interestingly,positive-MR (p-MR) was also detected above 300 K when low magnetic field applying,whereas it disappeared with higher magnetic field applied up to 1.5 and 2 Tesla.Instead of the spinpolarized tunneling process being commonly regarded as a responsible reason,lattice mismatch between LCMO film and silicon substrate appears to be the origin of the p-MR

  10. Surface resistance of YBa2Cu3O7 films deposited on LaGaO3 substrates

    International Nuclear Information System (INIS)

    Cooke, D.W.; Gray, E.R.; Houlton, R.J.; Javadi, H.H.S.; Maez, M.A.; Bennett, B.L.; Rusnak, B.; Meyer, E.A.; Arendt, P.N.; Beery, J.G.; Brown, D.R.; Garzon, F.H.; Raistriek, I.D.; Bolmaro, B.; Elliott, N.E.; Rollett, A.D.; Klein, N.; Muller, G.; Orbach, S.; Piel, H.; Josefowicz, J.Y.; Rensch, O.B.; Drabeck, L.; Gruner, G.

    1989-01-01

    Superconducting films of YBa 2 Cu 3 O 7 deposited onto LaGaO 3 substrates were prepared by e-beam and magnetron sputtering techniques. Surface resistance measurements made at 22 GHz, 86 GHz, and 148 GHz show that these films are superior to those deposited by similar techniques onto SrTiO 3 . Typical surface resistance values measured at 22 GHz and 12 K are ∼2 m(cgom) with the lowest value being 0.2 m(cgom), which is only 2 to 4 times higher than Nb. The surface resistance is proportional to the square of the measuring frequency

  11. Synthesis and characterization of titanium dioxide thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Escobar A, L.; Camps C, E.; Falcon B, T.; Carapia M, L.; Haro P, E.; Camacho L, M.A.

    2000-01-01

    In this work are presented the results obtained when TiO 2 thin films were deposited using the laser ablation technique. Thin films were deposited at different substrate temperatures, and different oxygen pressures, with the purpose of studying the influence of this deposit parameters in the structural characteristics of the films obtained. The structural characterization was realized through Raman Spectroscopy and X-ray Diffraction (XRD), the surface morphology of the layers deposited was verified by Scanning Electron Microscopy (Sem). The results show that the films obtained are of TiO 2 in rutile phase, getting this at low substrate temperatures, its morphology shows a soft surface with some spattered particles and good adherence. (Author)

  12. Quality improvement of organic thin films deposited on vibrating substrates

    Energy Technology Data Exchange (ETDEWEB)

    Paredes, Y.A.; Caldas, P.G.; Prioli, R.; Cremona, M., E-mail: cremona@fis.puc-rio.br

    2011-12-30

    Most of the Organic Light-Emitting Diodes (OLEDs) have a multilayered structure composed of functional organic layers sandwiched between two electrodes. Thin films of small molecules are generally deposited by thermal evaporation onto glass or other rigid or flexible substrates. The interface state between two organic layers in OLED device depends on the surface morphology of the layers and affects deeply the OLED performance. The morphology of organic thin films depends mostly on substrate temperature and deposition rate. Generally, the control of the substrate temperature allows improving the quality of the deposited films. For organic compounds substrate temperature cannot be increased too much due to their poor thermal stability. However, studies in inorganic thin films indicate that it is possible to modify the morphology of a film by using substrate vibration without increasing the substrate temperature. In this work, the effect of the resonance vibration of glass and silicon substrates during thermal deposition in high vacuum environment of tris(8-quinolinolate)aluminum(III) (Alq{sub 3}) and N,N Prime -Bis(naphthalene-2-yl)-N,N Prime -bis(phenyl)-benzidine ({beta}-NPB) organic thin films with different deposition rates was investigated. The vibration used was in the range of hundreds of Hz and the substrates were kept at room temperature during the process. The nucleation and subsequent growth of the organic films on the substrates have been studied by atomic force microscopy technique. For Alq{sub 3} and {beta}-NPB films grown with 0.1 nm/s as deposition rate and using a frequency of 100 Hz with oscillation amplitude of some micrometers, the results indicate a reduction of cluster density and a roughness decreasing. Moreover, OLEDs fabricated with organic films deposited under these conditions improved their power efficiency, driven at 4 mA/cm{sup 2}, passing from 0.11 lm/W to 0.24 lm/W with an increase in their luminance of about 352 cd/m{sup 2

  13. Initial deposition and electron paramagnetic resonance defects characterization of TiO2 films prepared using successive ionic layer adsorption and reaction method

    International Nuclear Information System (INIS)

    Wu Yiyong; Shi Yaping; Xu Xianbin; Sun Chengyue

    2012-01-01

    Successive ionic layer adsorption and reaction (SILAR) technique was considered promisingly to deposit ultra thin titanium dioxide (TiO 2 ) films under ambient condition. In this paper, the growth process, structures and paramagnetic defects of the films were characterized by complementary techniques of atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and electron paramagnetic resonance spectroscopy. The results indicate that on glass substrate the SILAR TiO 2 film nucleates in an island mode within the initial five deposition cycles but grows in a layer-by-layer mode afterwards. The growth rate was measured as 4.6 Å/cycle. In the as-deposited films, a kind of paramagnetic defects is detected at g (2.0029) and it can be attributed to oxygen vacancies. These as-received oxygen vacancies could be annealed out at 473 K. Ultraviolet irradiation on the as-deposited films can also decrease the density of the defects. The relative mechanisms on the phenomenon were discussed in this paper. - Highlights: ► TiO 2 films are deposited on glass at 25 °C by successive ionic layer adsorption and reaction method with a rate of 4.6 Å/cycle. ► The films nucleate in an island mode initially but grow in a layer mode afterwards. ► The SILAR TiO 2 films nucleation period is five cycles. ► Electron paramagnetic resonance spectroscopy shows that TiO 2 films paramagnetic defects are attributed to oxygen vacancies. ► They will decrease by anneal or ultraviolet radiation and form hydroxyl or superoxide radicals.

  14. Chemically deposited In{sub 2}S{sub 3}-Ag{sub 2}S layers to obtain AgInS{sub 2} thin films by thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Lugo, S. [Universidad Autonoma de Nuevo Leon, UANL, Fac. de Ciencias Quimicas, Av. Universidad S/N Ciudad Universitaria San Nicolas de Los Garza Nuevo Leon, C.P. 66451 (Mexico); Pena, Y., E-mail: yolapm@gmail.com [Universidad Autonoma de Nuevo Leon, UANL, Fac. de Ciencias Quimicas, Av. Universidad S/N Ciudad Universitaria San Nicolas de Los Garza Nuevo Leon, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Centro de Investigacion en Energia-Universidad Nacional Autonoma de Mexico, 62580, Temixco, Morelos (Mexico); Lopez-Mata, C. [Instituto Tecnologico de Chetumal, Av. Insurgentes No. 330, C.P. 77013, Col. David Gustavo Gtz., Chetumal, Quintana Roo (Mexico); Ramon, M.L. [Centro de Investigacion en Energia-Universidad Nacional Autonoma de Mexico, 62580, Temixco, Morelos (Mexico); Gomez, I.; Acosta, A. [Universidad Autonoma de Nuevo Leon, UANL, Fac. de Ciencias Quimicas, Av. Universidad S/N Ciudad Universitaria San Nicolas de Los Garza Nuevo Leon, C.P. 66451 (Mexico)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer We obtained polycrystalline silver indium sulfide thin films through the annealing of chemically deposited In{sub 2}S{sub 3}-Ag{sub 2}S films. Black-Right-Pointing-Pointer According to XRD chalcopyrite structure of AgInS{sub 2} was obtained. Black-Right-Pointing-Pointer AgInS{sub 2} thin film has a band gap of 1.86 eV and a conductivity value of 1.2 Multiplication-Sign 10{sup -3} ({Omega} cm){sup -1}. - Abstract: AgInS{sub 2} thin films were obtained by the annealing of chemical bath deposited In{sub 2}S{sub 3}-Ag{sub 2}S layers at 400 Degree-Sign C in N{sub 2} for 1 h. According to the XRD and EDX results the chalcopyrite structure of AgInS{sub 2} has been obtained. These films have an optical band gap, E{sub g}, of 1.86 eV and an electrical conductivity value of 1.2 Multiplication-Sign 10{sup -3} ({Omega} cm){sup -1}.

  15. Deposition and characterization of CuInSe2 films for solar cells using an optimized chemical route

    International Nuclear Information System (INIS)

    Berruet, M.; Schreiner, W.H.; Cere, S.; Vazquez, M.

    2011-01-01

    Research highlights: → CuInSe 2 has been deposited on glass by optimizing some parameters in the SILAR method. → Renewing the precursors after 40 cycles improves the composition of the deposit. → Photoelectrochemical tests and Mott-Schottky analysis confirm p-type conduction. → The quality of the material shows potential for application in solar cell devices. - Abstract: CuInSe 2 (CISe) thin films have been deposited on glass using successive ionic layer adsorption and reaction (SILAR). The as-deposited films are treated at 400 deg. C in argon atmosphere and etched in KCN solution to remove detrimental secondary phases. The preparation and temperature of the precursor solutions, the duration of the reaction cycles and the duration of the annealing stage have been optimized. The films have been characterized employing grazing incident X-ray diffraction, Raman spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy and energy dispersive scanning spectroscopy. Relevant semiconductor parameters have been calculated. Photoelectrochemical tests confirm p-type conduction. The films are crystalline and the stoichiometry can be improved by renewing the precursor solution after completing half of the cycles, annealing for 90 min and later etching in KCN. The quality of the material seems to be promising for application in solar cell devices.

  16. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  17. Atomic layer deposition of boron-containing films using B{sub 2}F{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mane, Anil U., E-mail: amane@anl.gov; Elam, Jeffrey W. [Argonne National Laboratory, Argonne, Illinois 60126 (United States); Goldberg, Alexander; Halls, Mathew D. [Schrödinger, Inc., San Diego, California 92122 (United States); Seidel, Thomas E. [Seitek50, Palm Coast, Florida 32135 (United States); Current, Michael I. [Current Scientific, San Jose, California 95124 (United States); Despres, Joseph; Byl, Oleg; Tang, Ying; Sweeney, Joseph [Entegris, Danbury, Connecticut 06810 (United States)

    2016-01-15

    Ultrathin and conformal boron-containing atomic layer deposition (ALD) films could be used as a shallow dopant source for advanced transistor structures in microelectronics manufacturing. With this application in mind, diboron tetrafluoride (B{sub 2}F{sub 4}) was explored as an ALD precursor for the deposition of boron containing films. Density functional theory simulations for nucleation on silicon (100) surfaces indicated better reactivity of B{sub 2}F{sub 4} in comparison to BF{sub 3}. Quartz crystal microbalance experiments exhibited growth using either B{sub 2}F{sub 4}-H{sub 2}O for B{sub 2}O{sub 3} ALD, or B{sub 2}F{sub 4}-disilane (Si{sub 2}H{sub 6}) for B ALD, but in both cases, the initial growth per cycle was quite low (≤0.2 Å/cycle) and decreased to near zero growth after 8–30 ALD cycles. However, alternating between B{sub 2}F{sub 4}-H{sub 2}O and trimethyl aluminum (TMA)-H{sub 2}O ALD cycles resulted in sustained growth at ∼0.65 Å/cycle, suggesting that the dense –OH surface termination produced by the TMA-H{sub 2}O combination enhances the uptake of B{sub 2}F{sub 4} precursor. The resultant boron containing films were analyzed for composition by x-ray photoelectron spectroscopy, and capacitance measurements indicated an insulating characteristic. Finally, diffused boron profiles less than 100 Å were obtained after rapid thermal anneal of the boron containing ALD film.

  18. Characterization of the yttria-stabilized zirconia thin film electrophoretic deposited on La0.8Sr0.2MnO3 substrate

    International Nuclear Information System (INIS)

    Yang, Koho; Shen, Jung-Hsiung; Yang, Kai-Yun; Hung, I-Ming; Fung, Kuan-Zong; Wang, Moo-Chin

    2007-01-01

    The yttria-stabilized zirconia (YSZ) thin films electrophoretic deposited on the La 0.8 Sr 0.2 MnO 3 (LSM) substrate have been characterized by using zeta potential analysis, X-ray diffraction (XRD), scanning electron microscopy (SEM), and transmission electron microscopy (TEM). The La 2 Zr 2 O 7 (LZ) formed at the interface between the YSZ thin film and LSM substrate, after sintered at 1400 o C for 52 h, are identified by XRD. The zeta potential of the YSZ particles in pure ethanol-acetone is about 7.8 mV, but when the I 2 concentration is greater than 0.6 g/1, the zeta potential attains a constant value, 46 mV. The relation between deposit weight of the YSZ films and the applied voltage shows a non-linear behavior. Thickness of the YSZ thin film deposited on the LSM substrate by electrophoretic deposition is controlled by a diffusion process. A larger LZ with the thickness of 200 nm is formed at the interface between the YSZ film and the LSM substrate

  19. YBa2Cu3O7-δ thin films deposited by MOCVD vertical reactor with a flow guide

    International Nuclear Information System (INIS)

    Sujiono, E.H.; Negeri Makassar; Sani, R.A.; Saragi, T.; Arifin, P.; Barmawi, M.

    2001-01-01

    The effect of a flow guide in a vertical MOCVD reactor on the deposition uniformity and growth rate of thin YBCO films has been studied. Without the flow guide the growth rates are low, have a poor uniformity and the film composition is not stoichiometric. The growth rate of the films grown using a reactor with the flow guide was approximately twice that without the flow guide. Using this flow guide the growth rates were 0.4-0.7 μm/h for growth temperatures varying between 600 and 750 C, and the crystalline quality as well as the surface morphology of YBCO films on MgO substrates is improved. For films grown at temperatures above 650 C the composition of Y:Ba:Cu is 1:2:3, as confirmed by EDAX spectra. Films deposited without and with the flow guide at 700 C have critical temperatures around 85 and 88 K, respectively. The reduction in ΔT c (T c,zero -T c,onset ) also shows an improvement of the superconducting properties of YBCO thin films deposited with a flow guide. (orig.)

  20. Fabrication of CuInS2/ZnS quantum dots-based white light-emitting diodes with high color rendering index

    Science.gov (United States)

    Hsiao, Chih-Chun; Su, Yu-Sheng; Chung, Shu-Ru

    2017-09-01

    Among solid-state lighting technology, phosphor-converted white light-emitting diodes (pc-WLEDs) are excellent candidates to replace incandescent lamps for their merit of high energy conservation, long lifetime, high luminous efficiency as well as polarized emissions. Semiconductor quantum dots (QDs) are emerging color tunable emissive light converters. They have shown significant promise as light emitters, as solar cells, and in biological imaging. It has been demonstrated that the pc-WLED devices integrated with red emissive ZnCdSe QDs show improved color rendering index of device. However, cadmium-based QDs have limited future owing to the well-known toxicity. Recently, non-cadmium luminescence materials, i.e. CuInS2-based QDs, are investigated as desirable low toxic alternatives. Particularly, CuInS2-based QDs exhibit very broad emissions spectra with full width at half maximum (FWHM) of 100-120 nm, large Stokes shifts of 200 300 meV and finely-tunable emissions. In order to adjust emission wavelengths and improved quantum yield (QY), CuInS2/ZnS (CIS/ZnS) core/shell structure was introduced. Therefore, CIS/ZnS QDs have been extensively investigated and be used as color converter in solid-state lighting. Synthesis and application of CuInS2/ZnS core/shell QDs are conducted using a hot injection route. CIS/ZnS core/shell QDs with molar ratio of Cu:In equal to 1:4 are prepared. For WLED fabrication, the CIS/ZnS QD is dispersed in toluene first, and then it is blended with transparent acrylic-based UV resin. Subsequently, the commercial green-emitting Lu3Al5O12: Ce3+ (LuAG) phosphors are mixed with QDs-resin mixture. After that, the QDs-phosphors-resin mixtures are put in the oven at 140 °C for 1 h to evaporate the toluene. Subsequently, the homogeneous QDs-phosphors-resin mixture is dropped on the top of a blue LED chip (InGaN). Then, the device is cured by 400 W UV light to form WLED. The emission wavelength of CIS/ZnS QD exhibits yellow region of 552 nm with QY

  1. Fabrication of TiNb{sub 2}O{sub 7} thin film electrodes for Li-ion micro-batteries by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Daramalla, V. [Materials Research Centre, Indian Institute of Science, Bengalore 560012 (India); Penki, Tirupathi Rao; Munichandraiah, N. [Department of Inorganic and Physical Chemistry, Indian Institute of Science, Bengalore 560012 (India); Krupanidhi, S.B., E-mail: sbk@mrc.iisc.ernet.in [Materials Research Centre, Indian Institute of Science, Bengalore 560012 (India)

    2016-11-15

    Graphical abstract: The TiNb{sub 2}O{sub 7} thin film electrodes as anode material in Li-ion rechargeable micro-batteries are successfully demonstrated. The pulsed laser deposited TiNb{sub 2}O{sub 7} thin film electrode delivers high discharge specific capacity of 143 μAh μm{sup −1} cm{sup −2} at 50 μA cm{sup −2} current density, with 92% coulombic efficiency. The thin films are very stable in crystal structure, with good fast reversible reaction at average Li-insertion voltage 1.65 V. - Highlights: • TiNb{sub 2}O{sub 7} thin films fabricated by pulsed laser deposition. • TiNb{sub 2}O{sub 7} as anode thin films demonstrated successfully. • High discharge specific capacity with 92% coulombic efficiency. • Excellent crystal stability and good reversible reaction. - Abstract: Pulsed laser deposited TiNb{sub 2}O{sub 7} thin films are demonstrated as anode materials in rechargeable Li-ion micro-batteries. The monoclinic and chemically pure TiNb{sub 2}O{sub 7} films in different morphologies were successfully deposited at 750 °C. The single phase formation was confirmed by grazing incident X-ray diffraction, micro-Raman spectroscopy, high resolution transmission electron microscopy, field emission scanning electron microscopy and X-ray photoelectron spectroscopy. The oxygen partial pressure during the deposition significantly influenced the properties of TiNb{sub 2}O{sub 7} films. The TiNb{sub 2}O{sub 7} thin films exhibited excellent stability with fast kinetics reversible reaction. The TiNb{sub 2}O{sub 7} films showed initial discharge specific capacity of 176, 143 μAh μm{sup −1} cm{sup −2} at 30, 50 μA cm{sup −2} current densities respectively with 92% coulombic efficiency in a non-aqueous electrolyte consisting of Li{sup +} ions. The high discharge specific capacity of TiNb{sub 2}O{sub 7} thin films may be attributed to nanometer grain size with high roughness which offers high surface area for Li-diffusion during charge and discharge

  2. Optimization of deposition conditions of CdS thin films using response surface methodology

    Energy Technology Data Exchange (ETDEWEB)

    Yücel, Ersin, E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Güler, Nuray [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Yücel, Yasin [Department of Chemistry, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)

    2014-03-15

    Highlights: • Statistical methods used for optimization of CdS deposition parameters. • The morphology of the films was smooth, homogeneous and continuous. • Optimal conditions found as pH 11, stirring speed:361 rpm and deposition time: 55 min. • CdS thin film band gap value was 2.72 eV under the optimum conditions. -- Abstract: Cadmium sulfide (CdS) thin films were prepared on glass substrates by chemical bath deposition (CBD) technique under different pH, stirring speed and deposition time. Response Surface Methodology (RSM) and Central Composite Design (CCD) were used to optimization of deposition parameters of the CdS thin films. RSM and CCD were also used to understand the significance and interaction of the factors affecting the film quality. Variables were determined as pH, stirring speed and deposition time. The band gap was chosen as response in the study. Influences of the variables on the band gap and the film quality were investigated. 5-level-3-factor central composite design was employed to evaluate the effects of the deposition conditions parameters such as pH (10.2–11.8), stirring speed (132–468 rpm) and deposition time (33–67 min) on the band gap of the films. The samples were characterized using X-ray diffraction (XRD), scanning electron microscope (SEM) and ultraviolet–visible spectroscopy (UV–vis) measurements. The optimal conditions for the deposition parameters of the CdS thin films have been found to be: pH 11, 361 of stirring speed and 55 min of deposition time. Under the optimal conditions theoretical (predicted) band gap of CdS (2.66 eV) was calculated using optimal coded values from the model and the theoretical value is good agreement with the value (2.72 eV) obtained by verification experiment.

  3. Direct current magnetron sputter-deposited ZnO thin films

    International Nuclear Information System (INIS)

    Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar

    2011-01-01

    Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.

  4. Growth of superconducting MgB2 films by pulsed-laser deposition using a Nd-YAG laser

    International Nuclear Information System (INIS)

    Badica, P; Togano, K; Awaji, S; Watanabe, K

    2006-01-01

    Thin films of MgB 2 on r-cut Al 2 O 3 substrates have been grown by pulsed-laser deposition (PLD) using a Nd-YAG laser (fourth harmonic-266 nm) instead of the popular KrF excimer laser. The growth window to obtain superconducting films is laser energy 350-450 mJ and vacuum pressure with Ar-buffer gas of 1-8/10 Pa (initial background vacuum 0.5-1 x 10 -3 Pa). Films were deposited at room temperature and post-annealed in situ and ex situ at temperatures of 500-780 0 C and up to 1 h. Films are randomly oriented with maximum critical temperature (offset of resistive transition) of 27 K. SEM/TEM/EDS investigations show that they are mainly composed of small sphere-like particles (≤20 nm), and contain oxygen and some carbon, uniformly distributed in the flat matrix, but the amount of Mg and/or oxygen is higher in the aggregates-droplets (100-1000 nm) observed on the surface of the film's matrix. Some aspects of the processing control and dependences on film characteristics are discussed. The technique is promising for future development of coated conductors

  5. Solid state reactions and diffusion processes during rapid thermal processing of Cu-In-S based semiconductors

    International Nuclear Information System (INIS)

    Enzenhofer, T.

    2007-01-01

    In this thesis thin layers of the system Cu-In-S were studied for the photovoltaic application by means of structural and electro-optical procedures. The effect of small quantities of group II elements on the absorber and component properties could be explained by a widely appointed study. The motivation to insert extraneous elements into CuInS 2 absorber layers results from the too low zero-current voltage of CuInS 2 based solar cells. It could be shown that by addition of small quantities of Zn and/or Mg ( 2 solar cells aimed efficiencies, which were also determined for the reference system. As limiting factor in the doped system the zero-current has been proved. The intensive analysis of the absorber properties showed the the extraneous atoms effect in the bulk and on the surface different modifications

  6. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  7. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  8. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  9. Li ion transport in sputter deposited LiCoO{sub 2} thin films and glassy borate membranes

    Energy Technology Data Exchange (ETDEWEB)

    Stockhoff, Tobias; Gallasch, Tobias; Schmitz, Guido [Westfaelische Wilhelms-Universitaet Muenster, Institut fuer Materialphysik, Muenster (Germany)

    2010-07-01

    LiCoO{sub 2} membranes are key components of current battery technology. We investigate sputter-deposited thin films of these materials aiming at the application in all-solid-state thin film batteries. For this, LiCoO{sub 2} films (10-200 nm) were deposited onto ITO-coated glass substrates by ion beam sputtering. In addition, a part of these films are coated by an ion-conductive membrane of Li{sub 2}O-B{sub 2}O{sub 3} glasses in the thickness range of 50 to 300 nm. Structural, chemical and electrical properties of the layers are studied by means of TEM(EELS) and various electrical methods (cyclic voltammetry, chrono-amperometry/-potentiometry). Since the color of the LiCoO{sub 2} films changes from red-brown to grey during de-intercalation of Li and the substrate as well as the glassy membrane deposited on top are optical transparent, reversible Li de- and intercalation can be directly demonstrated and quantified by a measurement of light transmission through the layered system. Samples coated with an ion-conductive membrane reveal a characteristic delay in switching optical transparency which is due to the slower transport across the membrane. Varying the thickness of the glassy membrane, the d.c. ion-conductivity and permeation through the membrane is determined quantitatively. Using thin membranes in the range of a few tens of nanometers the critical current densities are way sufficient for battery applications.

  10. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    Science.gov (United States)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  11. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  12. Structural, electrical and magnetic studies of Co:SnO{sub 2} and (Co,Mo):SnO{sub 2} films prepared by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dalui, S., E-mail: ssdalui@fc.ul.pt [University of Lisbon, Physics Dept. and ICEMS, 1749-016 Lisboa (Portugal); Instituto Superior de Engenharia de Lisboa and ICEMS, 1959-007 Lisboa (Portugal); Rout, S. [University of Lisbon, Physics Dept. and ICEMS, 1749-016 Lisboa (Portugal); Silvestre, A.J. [Instituto Superior de Engenharia de Lisboa and ICEMS, 1959-007 Lisboa (Portugal); Lavareda, G. [New University of Lisbon, Mater. Sci. Dept. and CTS, 2829-516 Caparica (Portugal); Pereira, L.C.J. [Instituto Superior Técnico, ITN and CFMCUL, 2686-953 Sacavém (Portugal); Brogueira, P. [Instituto Superior Técnico, Physics Dept. and ICEMS, 1049-001 Lisboa (Portugal); Conde, O. [University of Lisbon, Physics Dept. and ICEMS, 1749-016 Lisboa (Portugal)

    2013-08-01

    Here we report on the structural, optical, electrical and magnetic properties of Co-doped and (Co,Mo)-codoped SnO{sub 2} thin films deposited on r-cut sapphire substrates by pulsed laser deposition. Substrate temperature during deposition was kept at 500 °C. X-ray diffraction analysis showed that the undoped and doped films are crystalline with predominant orientation along the [1 0 1] direction regardless of the doping concentration and doping element. Optical studies revealed that the presence of Mo reverts the blue shift trend observed for the Co-doped films. For the Co and Mo doping concentrations studied, the incorporation of Mo did not contribute to increase the conductivity of the films or to enhance the ferromagnetic order of the Co-doped films.

  13. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    Science.gov (United States)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  14. α Fe2O3 films grown by the spin-on sol-gel deposition method

    International Nuclear Information System (INIS)

    Avila G, A.; Carbajal F, G.; Tiburcio S, A.; Barrera C, E.; Andrade I, E.

    2003-01-01

    α-Fe 2 O 3 polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  15. Synthesis of nanocrystalline nickel-zinc ferrite (Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4}) thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Pawar, D.K. [Department of Chemistry, Shivaji University, Kolhapur 416 004 (M.S.) (India); Pawar, S.M. [Department of Materials Science and Engineering, Chonnam National University, 500 757 (Korea, Republic of); Patil, P.S. [Department of Physics, Shivaji University, Kolhapur 416 004 (M.S.) (India); Kolekar, S.S., E-mail: kolekarss2003@yahoo.co.in [Department of Chemistry, Shivaji University, Kolhapur 416 004 (M.S.) (India)

    2011-02-24

    Graphical abstract: Display Omitted Research highlights: > We have successfully synthesized nickel-zinc ferrite (Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4}) thin films on stainless steel substrates using a low temperature chemical bath deposition method. > The surface morphological study showed the compact flakes like morphology. > The as-deposited thin films are hydrophilic (10{sup o} < {theta} < 90{sup o}) whereas the annealed thin films are super hydrophilic ({theta} < 10{sup o}) in nature. > Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4} thin films could be used in supercapacitor. - Abstract: The nickel-zinc ferrite (Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4}) thin films have been successfully deposited on stainless steel substrates using a chemical bath deposition method from alkaline bath. The films were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), static water contact angle and cyclic voltammetry measurements. The X-ray diffraction pattern shows that deposited Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4} thin films were oriented along (3 1 1) plane. The FTIR spectra showed strong absorption peaks around 600 cm{sup -1} which are typical for cubic spinel crystal structure. SEM study revealed compact flakes like morphology having thickness {approx}1.8 {mu}m after air annealing. The annealed films were super hydrophilic in nature having a static water contact angle ({theta}) of 5{sup o}.The electrochemical supercapacitor study of Ni{sub 0.8}Zn{sub 0.2}Fe{sub 2}O{sub 4} thin films has been carried out in 6 M KOH electrolyte. The values of interfacial and specific capacitances obtained were 0.0285 F cm{sup -2} and 19 F g{sup -1}, respectively.

  16. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    Science.gov (United States)

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  17. Electrochemical deposition of carbon films on titanium in molten LiCl–KCl–K2CO3

    International Nuclear Information System (INIS)

    Song, Qiushi; Xu, Qian; Wang, Yang; Shang, Xujing; Li, Zaiyuan

    2012-01-01

    Electrodeposition of carbon films on the oxide-scale-coated titanium has been performed in a LiCl–KCl–K 2 CO 3 melt, which are characterized by scanning electron microscopy, Raman spectroscopy and X-ray diffraction analysis. The electrochemical process of carbon deposition is investigated by cyclic voltammetry on the graphite, titanium and oxide-scale-coated titanium electrodes. The particle-size-gradient carbon films over the oxide-scale-coated titanium can be achieved by electrodeposition under the controlled potentials for avoiding codeposition of lithium carbide. The deposited carbon films are comprised of micron-sized ‘quasi-spherical’ carbon particles with graphitized and amorphous phases. The cyclic voltammetry behavior on the graphite, titanium and oxide-scale-coated titanium electrodes shows that CO 3 2− ions are reduced most favorably on the graphite for the three electrodes. Lithium ions can discharge under the less negative potential on the electrode containing carbon compared with titanium electrode because of the formation of lithium carbide from the reaction between lithium and carbon. - Highlights: ► Carbon films are prepared on oxide-scale-coated titanium in a LiCl–KCl–K 2 CO 3 melt. ► The films comprise micron-size ‘quasi-spherical’ carbon particles. ► The films present particle-size-gradient. ► The particles contain graphitized and amorphous phases. ► The prepared carbon films are more electrochemically active than graphite.

  18. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  19. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    Science.gov (United States)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  20. Ammonia-free chemical bath method for deposition of microcrystalline cadmium selenide films

    International Nuclear Information System (INIS)

    Lokhande, C.D.; Lee, Eun-Ho; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    Chemical deposition of cadmium selenide (CdSe) films has been carried out from alkaline aqueous solution containing Cd 2+ and Se 2- ions. In general, the alkaline pH of the CdSe deposition bath has been adjusted by addition of liquid ammonia. However, the use of ammonia in large-scale chemical deposition method represents an environmental problem due to its volatility and toxicity. The volatility of ammonia changes the pH of deposition bath and results into irreproducible film properties. In the present paper, ammonia-free and weak alkaline (pH < 9.0) chemical method for cadmium selenide film has been developed. The cadmium selenide films are microcrystalline (grain size 0.5-0.7 μm) with hexagonal crystal structure. These films are photoactive and therefore, useful in photo conversion of light into electrical power

  1. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  2. Fabrication of cuprous chloride films on copper substrate by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)

    2015-09-30

    Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.

  3. Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition

    Science.gov (United States)

    Lykhach, Y.; Moroz, V.; Yoshitake, M.

    2005-02-01

    Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.

  4. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  5. Atmospheric pressure chemical vapour deposition of vanadium arsenide thin films via the reaction of VCl4 or VOCl3 with tBuAsH2

    International Nuclear Information System (INIS)

    Thomas, Tegan; Blackman, Christopher S.; Parkin, Ivan P.; Carmalt, Claire J.

    2013-01-01

    Thin films of vanadium arsenide were deposited via the dual-source atmospheric pressure chemical vapour deposition reactions of VCl 4 or VOCl 3 with t BuAsH 2 . Using the vanadium precursor VCl 4 , films were deposited at substrate temperatures of 550–600 °C, which were black-gold in appearance and were found to be metal-rich with high levels of chlorine incorporation. The use of VOCl 3 as the vanadium source resulted in films being deposited between 450 and 600 °C and, unlike when using VCl 4 , were silver in appearance. The films deposited using VOCl 3 demonstrated vanadium to arsenic ratios close to 1:1, and negligible chlorine incorporation. Films deposited using either vanadium precursor were identified as VAs using powder X-ray diffraction and possessed borderline metallic/semiconductor resistivities. - Highlights: • Formation of VAs films via atmospheric pressure chemical vapour deposition. • Films formed using VCl 4 or VOCl 3 and t BuAsH 2 . • Powder X-ray diffraction showed that crystalline VAs films were deposited. • Films from VOCl 3 had a V:As ratio close to 1 with negligible Cl incorporation. • Films were silver and possessed borderline metallic/semiconductor resistivities

  6. Growth and characterization of tin disulfide (SnS2) thin film deposited by successive ionic layer adsorption and reaction (SILAR) technique

    International Nuclear Information System (INIS)

    Deshpande, N.G.; Sagade, A.A.; Gudage, Y.G.; Lokhande, C.D.; Sharma, Ramphal

    2007-01-01

    Thin films of tin disulfide (SnS 2 ) have been deposited by using low cost successive ionic layer adsorption and reaction (SILAR) technique. The deposition parameters such as SILAR cycles (60), immersion time (20 s), rinsing time (10 s) and deposition temperature (27 o C) were optimized to obtain good quality of films. Physical investigations were made to study the structural, optical and electrical properties. X-ray diffraction (XRD) patterns reveal that the deposited SnS 2 thin films have hexagonal crystal structure. Energy dispersive X-ray analysis (EDAX) indicated elemental ratio close to those for tin disulfide (SnS (2.02) ). Uniform deposition of the material over the entire glass substrate was revealed by scanning electron microscopy (SEM). Atomic force microscopy (AFM) showed the film is uniform and the substrate surface is well covered with small spherical grains merged in each other. A direct band gap of 2.22 eV was obtained. Photoluminescence (PL) showed two strong peaks corresponding to green and red emission. Ag/SnS 2 junction showed Schottky diode like I-V characteristics. The barrier height calculated was 0.22 eV. Thermoelectric power (TEP) properties showed that tin disulfide exhibits n-type conductivity

  7. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  8. Composition and crystal structure of N doped TiO2 film deposited at different O2 flow rate by direct current sputtering.

    Science.gov (United States)

    Ding, Wanyu; Ju, Dongying; Chai, Weiping

    2011-06-01

    N doped Ti02 films were deposited by direct current pulse magnetron sputtering system at room temperature. The influence of 02 flow rate on the crystal structure of deposited films was studied by Stylus profilometer, X-ray photoelectron spectroscopy, and X-ray diffractometer. The results indicate that the 02 flow rate strongly controls the growth behavior and crystal structure of N doped Ti02 film. It is found that N element mainly exists as substitutional doped state and the chemical stiochiometry is near to TiO1.68±0.06N0.11±0.01 for all film samples. N doped Ti02 film deposited with 2 sccm (standard-state cubic centimeter per minute) 02 flow rate is amorphous structure with high growth rate, which contains both anatase phase and rutile phase crystal nucleuses. In this case, the film displays the mix-phase of anatase and rutile after annealing treatment. While N doped Ti02 film deposited with 12 cm(3)/min 02 flow rate displays anatase phase before and after annealing treatment. And it should be noticed that no TiN phase appears for all samples before and after annealing treatment. Copyright © 2011 The Research Centre for Eco-Environmental Sciences, Chinese Academy of Sciences. Published by Elsevier B.V. All rights reserved.

  9. Rocking disc electro-deposition of copper films on Mo/MoSe{sub 2} substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cummings, Charles Y.; Frith, Paul E. [Department of Chemistry, University of Bath, Claverton Down, Bath BA2 7AY (United Kingdom); Zoppi, Guillaume; Forbes, Ian [Northumbria Photovoltaics Applications Centre, Northumbria University, NE1 8ST (United Kingdom); Rogers, Keith D. [Cranfield Health, Cranfield University, Shrivenham Campus, Swindon, SN6 8LA (United Kingdom); Lane, David W. [Department of Applied Science, Security and Resilience, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Marken, Frank, E-mail: F.Marken@bath.ac.uk [Department of Chemistry, University of Bath, Claverton Down, Bath BA2 7AY (United Kingdom)

    2011-08-31

    A novel electro-deposition method based on a rocking disc system with {pi}/3 amplitude and variable frequency is introduced. Uniform copper films were deposited from a 0.1 M CuSO{sub 4}/3.0 M NaOH/0.2 M sorbitol bath directly onto 12.1 cm{sup 2} Mo/MoSe{sub 2} substrates with X-ray diffraction showing a thickness variation of {+-}5% over this area. Investigation of the mass transport conditions suggests (i) uniform diffusion over the sample, (ii) a rate of mass transport proportional to the square root of the rocking rate, and (iii) turbulent conditions, which are able to dislodge gas bubbles during electro-deposition.

  10. Visible light active TiO2 films prepared by electron beam deposition of noble metals

    International Nuclear Information System (INIS)

    Hou Xinggang; Ma Jun; Liu Andong; Li Dejun; Huang Meidong; Deng Xiangyun

    2010-01-01

    TiO 2 films prepared by sol-gel method were modified by electron beam deposition of noble metals (Pt, Pd, and Ag). Effects of noble metals on the chemical and surface characteristics of the films were studied using XPS, TEM and UV-Vis spectroscopy techniques. Photocatalytic activity of modified TiO 2 films was evaluated by studying the degradation of methyl orange dye solution under visible light UV irradiation. The result of TEM reveals that most of the surface area of TiO 2 is covered by tiny particles of noble metals with diameter less than 1 nm. Broad red shift of UV-Visible absorption band of modified photocatalysts was observed. The catalytic degradation of methyl orange in aqueous solutions under visible light illumination demonstrates a significant enhancement of photocatalytic activity of these films compared with the un-loaded films. The photocatalytic efficiency of modified TiO 2 films by this method is affected by the concentration of impregnating solution.

  11. Fabrication of highly conductive Ta-doped SnO2 polycrystalline films on glass using seed-layer technique by pulse laser deposition

    International Nuclear Information System (INIS)

    Nakao, Shoichiro; Yamada, Naoomi; Hitosugi, Taro; Hirose, Yasushi; Shimada, Toshihiro; Hasegawa, Tetsuya

    2010-01-01

    We discuss the fabrication of highly conductive Ta-doped SnO 2 (Sn 1-x Ta x O 2 ; TTO) thin films on glass by pulse laser deposition. On the basis of the comparison of X-ray diffraction patterns and resistivity (ρ) values between epitaxial films and polycrystalline films deposited on bare glass, we proposed the use of seed-layers for improving the conductivity of the TTO polycrystalline films. We investigated the use of rutile TiO 2 and NbO 2 as seed-layers; these are isostructural materials of SnO 2, which are expected to promote epitaxial-like growth of the TTO films. The films prepared on the 10-nm-thick seed-layers exhibited preferential growth of the TTO (110) plane. The TTO film with x = 0.05 on rutile TiO 2 exhibited ρ = 3.5 x 10 -4 Ω cm, which is similar to those of the epitaxial films grown on Al 2 O 3 (0001).

  12. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    International Nuclear Information System (INIS)

    Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali

    2014-01-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli

  13. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)

    2014-07-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.

  14. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  15. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  16. The effect of the partial pressure of H2 gas and atomic hydrogen on diamond films deposited using CH3OH/H2O gas

    International Nuclear Information System (INIS)

    Lee, Kwon-Jai; Koh, Jae-Gui; Shin, Jae-Soo; Kwon, Ki-Hong; Lee, Chang-Hee

    2006-01-01

    Diamond films were deposited on Si(100) substrates by hot filament chemical vapor deposition (HFCVD) with a CH 3 OH/H 2 O gas mixture while changing the gas ratio. The films were analyzed with scanning electron microscopy (SEM), Raman spectroscopy, and optical emission spectroscopy (OES). The diamond films were grown with CH 3 OH being 52 % by volume of the gas mixture. The effect of atomic hydrogen on the film was different from that of the CH 4 /H 2 gas mixture. Analysis with OES during film growth indicated that among the thermally dissociated hydrogen radicals, only H α contributed to the etching of graphite.

  17. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    Science.gov (United States)

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  18. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  19. Structural, electrical and optical properties of nanostructured ZrO2 thin film deposited by SILAR method

    Science.gov (United States)

    Salodkar, R. V.; Belkhedkar, M. R.; Nemade, S. D.

    2018-05-01

    Successive Ionic Layer Adsorption and Reaction (SILAR) method has been employed to deposit nanocrystalline ZrO2 thin film of thickness 91 nm onto glass substrates using ZrOCl2.8H2O and NaOH as cationic and anionic precursors respectively. The structural and surface morphological characterizations have been carried out by means of X-ray diffraction and field emission scanning electron microscopy confirms the nanocrystalline nature of ZrO2 thin film. The direct optical band gap and activation energy of the ZrO2 thin film are found to be 4.74 and 0.80eV respectively.

  20. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  1. Characterization of CuInS{sub 2} thin films prepared by chemical bath deposition and their implementation in a solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Lugo, S.; López, I. [Universidad Autónoma de Nuevo León, UANL, Facultad de Ciencias Químicas, Laboratorio de Materiales I, Av. Universidad, Cd. Universitaria 66451, San Nicolás de los Garza, Nuevo León, México (Mexico); Peña, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Facultad de Ciencias Químicas, Laboratorio de Materiales I, Av. Universidad, Cd. Universitaria 66451, San Nicolás de los Garza, Nuevo León, México (Mexico); Calixto, M. [Instituto de Energías Renovables, Universidad Nacional Autónoma de México, C.P. 62580, Temixco, Morelos, México (Mexico); Hernández, T. [Universidad Autónoma de Nuevo León, UANL, Facultad de Ciencias Químicas, Laboratorio de Materiales I, Av. Universidad, Cd. Universitaria 66451, San Nicolás de los Garza, Nuevo León, México (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo”, S/N C.P. 63155, Tepic, Nayarit, México (Mexico); and others

    2014-10-31

    CuInS{sub 2} thin films were formed by the sequential deposition of In{sub 2}S{sub 3}–CuS layers on glass substrates, by chemical bath deposition technique, and heating these multilayer 1 h at 350 °C and 400 mPa. The morphology and thickness of the CuInS{sub 2} thin films were analysed by scanning electron microscopy, showing particles with elongated shape and length about 40 nm, and thickness of 267 and 348 nm for samples from 15 and 24 h of deposition time in the chemical bath of In{sub 2}S{sub 3}, respectively. The energy band gap values of the films were around 1.4 eV, whereas the electrical conductivity showed values from 64.91 to 4.11 × 10{sup −3} Ω{sup −1} cm{sup −1} for the samples of 15 and 24 h of In{sub 2}S{sub 3} deposition bath, respectively. The obtained CuInS{sub 2} films showed appropriate values for their application as an absorbing layer in photovoltaic structures of the type: glass/SnO{sub 2}:F/CdS/Sb{sub 2}S{sub 3}/CuInS{sub 2}/PbS/C/Ag. The whole structure was obtained through chemical bath deposition technique. The solar cell corresponding to 15 h of In{sub 2}S{sub 3} deposition duration bath showed energy-conversion efficiency (η) of 0.53% with open circuit voltage (V{sub oc}) of 530 mV, short circuit current density (J{sub sc}) of 2.43 mA cm{sup −2}, and fill factor (FF) of 0.41. In the case of the structure with 24 h of deposition of In{sub 2}S{sub 3} bath, η = 0.43% was measured with the following parameters: V{sub oc} = 330 mV, J{sub sc} = 4.78 mA cm{sup −2} and FF = 0.27. - Highlights: • CuInS{sub 2} films were formed by chemical bath deposition followed by a heat treatment. • Prepared CuInS{sub 2} thin films can work as an effective absorbing layer in a solar cell. • A complete solar cell structure was made by a chemical bath deposition method.

  2. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    Directory of Open Access Journals (Sweden)

    Jing Guo

    2018-01-01

    Full Text Available This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2 pigment powders by extremely thin aluminum oxide (Al2O3 films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA and H2O as precursors. The deposition was performed on multiple grams of TiO2 powder at room temperature and atmospheric pressure in a fluidized bed reactor, resulting in the growth of uniform and conformal Al2O3 films with thickness control at sub-nanometer level. The as-deposited Al2O3 films exhibited excellent photocatalytic suppression ability. Accordingly, an Al2O3 layer with a thickness of 1 nm could efficiently suppress the photocatalytic activities of rutile, anatase, and P25 TiO2 nanoparticles without affecting their bulk optical properties. In addition, the influence of high-temperature annealing on the properties of the Al2O3 layers was investigated, revealing the possibility of achieving porous Al2O3 layers. Our approach demonstrated a fast, efficient, and simple route to coating Al2O3 films on TiO2 pigment powders at the multigram scale, and showed great potential for large-scale production development.

  3. Optoelectronic properties of cadmium sulfide thin films deposited by thermal evaporation technique

    International Nuclear Information System (INIS)

    Ali, N.; Iqbal, M.A.; Hussain, S.T.; Waris, M.; Munair, S.A.

    2011-01-01

    The substrate temperature in depositions of thin films plays a vital role in the characteristics of deposited films. We studied few characteristics of cadmium sulphide thin film deposited at different temperature (150 deg. C- 300 deg. C) on corning 7059 glass substrate. We measured transmittance, absorbance, band gap and reflectance via UV spectroscopy. It was found that the transmittance for 300 nm to 1100 nm was greater than 80%. The resistivity and mobility was calculated by Vander Pauw method which were 10-80 cm and 2-60 cm/sup 2/V/sup -1/S/sup -1/ respectively. The thermoelectric properties of the film were measured by hot and cold probe method which shows the N-type nature of the film. (author)

  4. Influence of annealing temperature on passivation performance of thermal atomic layer deposition Al2O3 films

    International Nuclear Information System (INIS)

    Zhang Xiang; Liu Bang-Wu; Li Chao-Bo; Xia Yang; Zhao Yan

    2013-01-01

    Chemical and field-effect passivation of atomic layer deposition (ALD) Al 2 O 3 films are investigated, mainly by corona charging measurement. The interface structure and material properties are characterized by transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS), respectively. Passivation performance is improved remarkably by annealing at temperatures of 450 °C and 500 °C, while the improvement is quite weak at 600 °C, which can be attributed to the poor quality of chemical passivation. An increase of fixed negative charge density in the films during annealing can be explained by the Al 2 O 3 /Si interface structural change. The Al—OH groups play an important role in chemical passivation, and the Al—OH concentration in an as-deposited film subsequently determines the passivation quality of that film when it is annealed, to a certain degree. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Light-emitting Si films formed by neutral cluster deposition in a thin O2 gas

    International Nuclear Information System (INIS)

    Honda, Y.; Takei, M.; Ohno, H.; Shida, S.; Goda, K.

    2005-01-01

    We have fabricated the light-emitting Si-rich and oxygen-rich amorphous SiO 2 (a-SiO 2 ) films using the neutral cluster deposition (NCD) method without and with oxygen gas admitted, respectively, and demonstrate for the first time that these films show a photoluminescent feature. The Si thin films were observed by atomic force microscopy and high-resolution transmission electron microscopy, and analyzed by means of X-ray photoelectron spectroscopy, photoluminescence (PL) and FTIR-attenuated total reflection measurements. All of the PL spectra show mountainous distribution with a peak around 620 nm. It is found that the increase in the oxygen termination in the a-SiO 2 films evidently makes the PL intensity increase. It is demonstrated that NCD technique is one of the hopeful methods to fabricate light-emitting Si thin films

  6. Characterization of photoluminescent (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3} thin-films prepared by metallorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A. [Univ. of California, San Diego, La Jolla, CA (United States); Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M. [Los Alamos National Lab., NM (United States). Materials Science and Technology Div.

    1998-12-01

    Europium doped yttrium oxide, (Y{sub 1{minus}x}Eu{sub x}){sub 2}O{sub 3}, thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y{sub 2}O{sub 3}, grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y{sub 2}O{sub 3}:Eu{sup 3+} was observed in x-ray diffraction for deposition temperatures {ge}600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra.

  7. Deposition of nanostructured photocatalytic zinc ferrite films using solution precursor plasma spraying

    International Nuclear Information System (INIS)

    Dom, Rekha; Sivakumar, G.; Hebalkar, Neha Y.; Joshi, Shrikant V.; Borse, Pramod H.

    2012-01-01

    Highlights: ► Highly economic solution precursor route capable of producing films/coating even for mass scale production. ► Pure spinel phase ZnFe 2 O 4 porous, immobilized films deposited in single step. ► Parameter optimization yields access to nanostructuring in SPPS method. ► The ecofriendly immobilized ferrite films were active under solar radiation. ► Such magnetic system display advantage w.r.t. recyclability after photocatalyst extraction. -- Abstract: Deposition of pure spinel phase, photocatalytic zinc ferrite films on SS-304 substrates by solution precursor plasma spraying (SPPS) has been demonstrated for the first time. Deposition parameters such as precursor solution pH, concentration, film thickness, plasma power and gun-substrate distance were found to control physico-chemical properties of the film, with respect to their crystallinity, phase purity, and morphology. Alkaline precursor conditions (7 2 O 4 film. Very high/low precursor concentrations yielded mixed phase, less adherent, and highly inhomogeneous thin films. Desired spinel phase was achieved in as-deposited condition under appropriately controlled spray conditions and exhibited a band gap of ∼1.9 eV. The highly porous nature of the films favored its photocatalytic performance as indicated by methylene blue de-coloration under solar radiation. These immobilized films display good potential for visible light photocatalytic applications.

  8. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    International Nuclear Information System (INIS)

    Lorusso, A.; Anni, M.; Caricato, A.P.; Gontad, F.; Perulli, A.; Taurino, A.; Perrone, A.; Chiadroni, E.

    2016-01-01

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  9. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    Energy Technology Data Exchange (ETDEWEB)

    Lorusso, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Anni, M. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Caricato, A.P. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Perulli, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Taurino, A. [National Research Council, Institute for Microelectronics & Microsystems, 73100 Lecce (Italy); Perrone, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Chiadroni, E. [Laboratori Nazionali di Frascati, Istituto Nazionale di Fisica Nucleare, 00044 Frascati (Italy)

    2016-03-31

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  10. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  11. Physical properties of very thin SnS films deposited by thermal evaporation

    International Nuclear Information System (INIS)

    Cheng Shuying; Conibeer, Gavin

    2011-01-01

    SnS films with thicknesses of 20–65 nm have been deposited on glass substrates by thermal evaporation. The physical properties of the films were investigated using X-ray diffraction (XRD), scanning electron microscopy, X-ray photoelectron spectroscopy (XPS), Raman spectroscopy, and ultraviolet–visible-near infrared spectroscopy at room temperature. The results from XRD, XPS and Raman spectroscopy analyses indicate that the deposited films mainly exhibit SnS phase, but they may contain a tiny amount of Sn 2 S 3 . The deposited SnS films are pinhole free, smooth and strongly adherent to the surfaces of the substrates. The color of the SnS films changes from pale yellow to brown with the increase of the film thickness from 20 nm to 65 nm. The very smooth surfaces of the thin films result in their high reflectance. The direct bandgap of the films is between 2.15 eV and 2.28 eV which is much larger than 1.3 eV of bulk SnS, this is deserving to be investigated further.

  12. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  13. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    Energy Technology Data Exchange (ETDEWEB)

    Deen, I. [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada); Zhitomirsky, I., E-mail: zhitom@mcmaster.ca [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada)

    2014-02-15

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties.

  14. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    International Nuclear Information System (INIS)

    Deen, I.; Zhitomirsky, I.

    2014-01-01

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties

  15. Optoelectronic Characterization of Ta-Doped ZnO Thin Films by Pulsed Laser Deposition.

    Science.gov (United States)

    Koo, Horng-Show; Peng, Jo-Chi; Chen, Mi; Chin, Hung-I; Chen, Jaw-Yeh; Wu, Maw-Kuen

    2015-11-01

    Transparent conductive oxide of Ta-doped ZnO (TZO) film with doping amount of 3.0 wt% have been deposited on glass substrates (Corning Eagle XG) at substrate temperatures of 100 to 500 degrees C by the pulsed laser deposition (PLD) technique. The effect of substrate temperature on the structural, optical and electronic characteristics of Ta-doped ZnO (TZO) films with 3.0 wt% dopant of tantalum oxide (Ta2O5) was measured and demonstrated in terms of X-ray diffraction (XRD), ultraviolet-visible spectrometer (UV-Vis), four-probe and Hall-effect measurements. X-ray diffraction pattern shows that TZO films grow in hexagonal crystal structure of wurtzite phase with a preferred orientation of the crystallites along (002) direction and exhibits better physical characteristics of optical transmittance, electrical conductivity, carrier concentration and mobility for the application of window layer in the optoelectronic devices of solar cells, OLEDs and LEDs. The lowest electrical resistivity (ρ) and the highest carrier concentration of the as-deposited film deposited at 300 degrees C are measured as 2.6 x 10(-3) Ω-cm and 3.87 x 10(-20) cm(-3), respectively. The highest optical transmittance of the as-deposited film deposited at 500 degrees C is shown to be 93%, compared with another films deposited below 300 degrees C. It is found that electrical and optical properties of the as-deposited TZO film are greatly dependent on substrate temperature during laser ablation deposition.

  16. Excimer Laser Deposition of PLZT Thin Films

    National Research Council Canada - National Science Library

    Petersen, GAry

    1991-01-01

    .... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.

  17. The Effects of Two Thick Film Deposition Methods on Tin Dioxide Gas Sensor Performance

    OpenAIRE

    Bakrania, Smitesh D.; Wooldridge, Margaret S.

    2009-01-01

    This work demonstrates the variability in performance between SnO2 thick film gas sensors prepared using two types of film deposition methods. SnO2 powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition meth...

  18. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  19. Structural and electrical transport properties of La2Mo2O9 thin films prepared by pulsed laser deposition

    Science.gov (United States)

    Paul, T.; Ghosh, A.

    2017-04-01

    We have studied the structure and electrical properties of La2Mo2O9 thin films of different thicknesses prepared by the laser deposition technique at different substrate temperatures. The structural properties of the thin films have been investigated using XRD, XPS, AFM, TEM, SEM, and Raman spectroscopy. The electrical transport properties of the thin films have been investigated in wide temperature and frequency ranges. The cubic nature of the thin films has been confirmed from structural analysis. An enhancement of the oxygen ion conductivity of the films up to five orders of magnitude is obtained compared to that of the bulk La2Mo2O9, suggesting usefulness of the thin films as electrolytes in micro-solid oxide fuel cells. The enhanced dc ionic conductivity of the thin films has been interpreted using the rule of the mixture model, while a power law model has been used to investigate the frequency and temperature dependences of the conductivity. The analysis of the results predicts the three-dimensional oxygen ion conduction in the thin films.

  20. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  1. Deposition and characterization of graded Cu(In{sub 1-x}Ga{sub x})Se{sub 2} thin films by spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Babu, B.J. [Department of Electrical Engineering-SEES, CINVESTAV-IPN, Avenida IPN 2508, San Pedro Zacatenco, D.F. C.P 07360 (Mexico); Institute of Molecules and Materials, UMR-CNRS 6283, Université du Maine, Avenue O. Messiaen, F-72085 Le Mans (France); Velumani, S., E-mail: velu@cinvestav.mx [Department of Electrical Engineering-SEES, CINVESTAV-IPN, Avenida IPN 2508, San Pedro Zacatenco, D.F. C.P 07360 (Mexico); College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Kassiba, A. [Institute of Molecules and Materials, UMR-CNRS 6283, Université du Maine, Avenue O. Messiaen, F-72085 Le Mans (France); Asomoza, R. [Department of Electrical Engineering-SEES, CINVESTAV-IPN, Avenida IPN 2508, San Pedro Zacatenco, D.F. C.P 07360 (Mexico); Chavez-Carvayar, J.A. [Instituto Investigaciones en Materiales-UNAM, Ciudad Universitario, D.F.Mexico (Mexico); Yi, Junsin, E-mail: yi@yurim.skku.ac.kr [College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2015-07-15

    Cu(In{sub 1-x}Ga{sub x})Se{sub 2} (CIGS) thin films and their graded (x = 1 to 0) layer were grown on soda lime glass substrates using chemical spray pyrolysis (CSP) at different substrate temperatures (T{sub s}). After optimization of T{sub s}, depositions were carried out at different gallium composition (x) at optimized temperature of 350 °C. All the films deposited at T{sub s} ≥ 350 °C were polycrystalline chalcopyrite structure, with a preferential orientation of (112), including the graded layer. With increase in x, lattice parameters a and c were observed to decrease. Line scan of the CIGS layer showed intersection of gallium and indium concentrations, revealing the graded nature of the film. Composition dependence of Raman peak for CuInSe{sub 2} (CIS) deposited by CSP was analyzed. Optical transmittance at a wavelength of 800 nm of the film with x = 0 (CIS) (30%) was found lower than that of the film grown with x = 0.82 (CIGS) (50%). Cusp-shape of the resistivity was observed with an increase of x leading to steep rise in resistivity of the films (1.61–71.68 Ω-cm) till x = 0.42 and then decreased to 4.78 Ω-cm at x = 0.82. Carrier concentrations of the films were evaluated in the order of 10{sup 16}–10{sup 19} cm{sup −3} with p-type conductivity. These results indicate that graded CIGS thin films with modulated gallium composition can be prepared by CSP. - Graphical abstract: Display Omitted - Highlights: • Optimization of the spray deposition system for device grade chalcopyrite CIGS films. • Optimized substrate temperature to obtain single-phase CIGS by spray deposition. • Detailed report on compositional dependence of CuInSe{sub 2} (CIS) thin films. • Systematic analysis of the influence of Ga in CIS by spray deposition. • Bowing parameter is extracted from the experiment values.

  2. Deposition and characterization of CuInSe{sub 2} films for solar cells using an optimized chemical route

    Energy Technology Data Exchange (ETDEWEB)

    Berruet, M. [Division Corrosion, INTEMA, CONICET, Facultad de Ingenieria, Universidad Nacional de Mar del Plata, Juan B. Justo 4302, B7608FDQ Mar del Plata (Argentina); Schreiner, W.H. [Laboratorio de Superficies e Interfases, Departamento de Fisica, Universidade Federal do Parana, 81531-990 Curitiba, PR (Brazil); Cere, S. [Division Corrosion, INTEMA, CONICET, Facultad de Ingenieria, Universidad Nacional de Mar del Plata, Juan B. Justo 4302, B7608FDQ Mar del Plata (Argentina); Vazquez, M., E-mail: mvazquez@fi.mdp.edu.ar [Division Corrosion, INTEMA, CONICET, Facultad de Ingenieria, Universidad Nacional de Mar del Plata, Juan B. Justo 4302, B7608FDQ Mar del Plata (Argentina)

    2011-02-10

    Research highlights: > CuInSe{sub 2} has been deposited on glass by optimizing some parameters in the SILAR method. > Renewing the precursors after 40 cycles improves the composition of the deposit. > Photoelectrochemical tests and Mott-Schottky analysis confirm p-type conduction. > The quality of the material shows potential for application in solar cell devices. - Abstract: CuInSe{sub 2} (CISe) thin films have been deposited on glass using successive ionic layer adsorption and reaction (SILAR). The as-deposited films are treated at 400 deg. C in argon atmosphere and etched in KCN solution to remove detrimental secondary phases. The preparation and temperature of the precursor solutions, the duration of the reaction cycles and the duration of the annealing stage have been optimized. The films have been characterized employing grazing incident X-ray diffraction, Raman spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy and energy dispersive scanning spectroscopy. Relevant semiconductor parameters have been calculated. Photoelectrochemical tests confirm p-type conduction. The films are crystalline and the stoichiometry can be improved by renewing the precursor solution after completing half of the cycles, annealing for 90 min and later etching in KCN. The quality of the material seems to be promising for application in solar cell devices.

  3. Thermal conductivity of nitride films of Ti, Cr, and W deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Jagannadham, Kasichainula

    2015-01-01

    Nitride films of Ti, Cr, and W were deposited using reactive magnetron sputtering from metal targets in argon and nitrogen plasma. TiN films with (200) orientation were achieved on silicon (100) at the substrate temperature of 500 and 600 °C. The films were polycrystalline at lower temperature. An amorphous interface layer was observed between the TiN film and Si wafer deposited at 600 °C. TiN film deposited at 600 °C showed the nitrogen to Ti ratio to be near unity, but films deposited at lower temperature were nitrogen deficient. CrN film with (200) orientation and good stoichiometry was achieved at 600 °C on Si(111) wafer but the film deposited at 500 °C showed cubic CrN and hexagonal Cr 2 N phases with smaller grain size and amorphous back ground in the x-ray diffraction pattern. An amorphous interface layer was not observed in the cubic CrN film on Si(111) deposited at 600 °C. Nitride film of tungsten deposited at 600 °C on Si(100) wafer was nitrogen deficient, contained both cubic W 2 N and hexagonal WN phases with smaller grain size. Nitride films of tungsten deposited at 500 °C were nonstoichiometric and contained cubic W 2 N and unreacted W phases. There was no amorphous phase formed along the interface for the tungsten nitride film deposited at 600 °C on the Si wafer. Thermal conductivity and interface thermal conductance of all the nitride films of Ti, Cr, and W were determined by transient thermoreflectance technique. The thermal conductivity of the films as function of deposition temperature, microstructure, nitrogen stoichiometry and amorphous interaction layer at the interface was determined. Tungsten nitride film containing both cubic and hexagonal phases was found to exhibit much higher thermal conductivity and interface thermal conductance. The amorphous interface layer was found to reduce effective thermal conductivity of TiN and CrN films

  4. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  5. High-rate deposition of high-quality Sn-doped In2O3 films by reactive magnetron sputtering using alloy targets

    International Nuclear Information System (INIS)

    Oka, Nobuto; Kawase, Yukari; Shigesato, Yuzo

    2012-01-01

    Sn-doped In 2 O 3 (ITO) films were deposited on heated (200 °C) fused silica glass substrates by reactive DC sputtering with mid-frequency pulsing (50 kHz) and a plasma control unit combined with a feedback system of the optical emission intensity for the atomic O* line at 777 nm. A planar In–Sn alloy target was connected to the switching unit, which was operated in the unipolar pulse mode. The power density on the target was maintained at 4.4 W cm −2 during deposition. The feedback system precisely controlled the oxidation of the target surface in “the transition region.” The ITO film with lowest resistivity (3.1 × 10 −4 Ω cm) was obtained with a deposition rate of 310 nm min −1 and transmittance in the visible region of approximately 80%. The deposition rate was about 6 times higher than that of ITO films deposited by conventional sputtering using an oxide target.

  6. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  7. Growth and microstructure of columnar Y-doped SrZrO{sub 3} films deposited on Pt-coated MgO by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Sijun, E-mail: sluo1@tulane.edu; Riggs, Brian C.; Shipman, Joshua T.; Adireddy, Shiva; Sklare, Samuel C.; Chrisey, Douglas B., E-mail: dchrisey@tulane.edu [Department of Physics and Engineering Physics, Tulane University, New Orleans, Louisiana 70118 (United States); Zhang, Xiaodong; Koplitz, Brent [Department of Chemistry, Tulane University, New Orleans, Louisiana 70118 (United States)

    2015-07-21

    Direct integration of proton conductor films on Pt-coated substrates opens the way to film-based proton transport devices. Columnar SrZr{sub 0.95}Y{sub 0.05}O{sub 3−δ} (SZY) films with dense microstructure were deposited on Pt-coated MgO(100) substrates at 830 °C by pulsed laser deposition. The optimal window of ambient O{sub 2} pressure for good crystallinity of SZY films is from 400 to 600 mTorr. The ambient O{sub 2} compresses the plasma plume of SZY and increases the deposition rate. The 10 nm thick Ti adhesion layer on MgO(100) greatly affects the orientation of the sputtered Pt layers. Pt deposited directly on MgO shows a highly (111)-preferred orientation and leads to preferentially oriented SZY films while the addition of a Ti adhesion layer makes Pt show a less preferential orientation that leads to randomly oriented SZY films. The RMS surface roughness of preferentially oriented SZY films is larger than that of randomly oriented SZY films deposited under the same ambient O{sub 2} pressure. As the O{sub 2} pressure increased, the RMS surface roughness of preferentially oriented SZY films increased, reaching 45.7 nm (2.61% of film thickness) at 600 mTorr. This study revealed the ambient O{sub 2} pressure and orientation dependent surface roughness of SZY films grown on Pt-coated MgO substrates, which provides the potential to control the surface microstructure of SZY films for electrochemical applications in film-based hydrogen devices.

  8. Microstructure and temperature coefficient of resistance of thin cermet resistor films deposited from CrSi2-Cr-SiC targets by S-gun magnetron

    International Nuclear Information System (INIS)

    Felmetsger, Valery V.

    2010-01-01

    Technological solutions for producing nanoscale cermet resistor films with sheet resistances above 1000 Ω/□ and low temperature coefficients of resistance (TCR) have been investigated. 2-40 nm thick cermet films were sputter deposited from CrSi 2 -Cr-SiC targets by a dual cathode dc S-gun magnetron. In addition to studying film resistance versus temperature, the nanofilm structural features and composition were analyzed using scanning electron microscopy, atomic force microscopy, high-resolution transmission electron microscopy, energy-dispersive x-ray spectroscopy, and electron energy loss spectroscopy. This study has revealed that all cermet resistor films deposited at ambient and elevated temperatures were amorphous. The atomic ratio of Si to Cr in these films was about 2 to 1. The film TCR displayed a significant increase when the deposited film thickness was reduced below 2.5 nm. An optimized sputter process consisting of wafer degassing, cermet film deposition at elevated temperature with rf substrate bias, and a double annealing in vacuum, consisting of in situ annealing following the film sputtering and an additional annealing following the exposure of the wafers to air, has been found to be very effective for the film thermal stabilization and for fine tuning the film TCR. Cermet films with thicknesses in the range of 2.5-4 nm deposited using this technique had sheet resistances ranging from 1800 to 1200 Ω/□ and TCR values from -50 ppm/ deg. C to near zero, respectively. A possible mechanism responsible for the high efficiency of annealing the cermet films in vacuum (after preliminary exposure to air), resulting in resistance stabilization and TCR reduction, is also discussed.

  9. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  10. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  11. A novel aptamer functionalized CuInS2 quantum dots probe for daunorubicin sensing and near infrared imaging of prostate cancer cells

    International Nuclear Information System (INIS)

    Lin, Zihan; Ma, Qiang; Fei, Xiaofang; Zhang, Hao; Su, Xingguang

    2014-01-01

    Graphical abstract: - Highlights: • The daunorubicin (DNR)-loaded MUC1 aptamer-NIR CuInS 2 QDs conjugates were developed. • DNR can intercalate into the double-stranded CG sequence of the MUC1 (CGA) 7 –QDs. The aptamer-QDs can sense DNR by the change of photoluminescence intensity of QDs. • The probe can image and sense the delivery of DNR to targeted prostate tumor cell. - Abstract: In this paper, a novel daunorubicin (DNR)-loaded MUC1 aptamer-near infrared (NIR) CuInS 2 quantum dot (DNR–MUC1–QDs) conjugates were developed, which can be used as a targeted cancer imaging and sensing system. After the NIR CuInS 2 QDs conjugated with the MUC1 aptamer–(CGA) 7 , DNR can intercalate into the double-stranded CG sequence of the MUC1–QDs. The incorporation of multiple CG sequences within the stem of the aptamers may further increase the loading efficiency of DNR on these conjugates. DNR–MUC1–QDs can be used to target prostate cancer cells. We evaluated the capacity of MUC1–CuInS 2 QDs for delivering DNR to cancer cells in vitro, and its binding affinity to MUC1-positive and MUC1-negative cells. This novel aptamer functionalized QDs bio-nano-system can not only deliver DNR to the targeted prostate cancer cells, but also can sense DNR by the change of photoluminescence intensity of CuInS 2 QDs, which concurrently images the cancer cells. The quenched fluorescence intensity of MUC1–QDs was proportional to the concentration of DNR in the concentration ranges of 33–88 nmol L −1 . The detection limit (LOD) for DNR was 19 nmol L −1 . We demonstrate the specificity and sensitivity of this DNR–MUC1–QDs probe as a cancer cell imaging, therapy and sensing system in vitro

  12. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  13. Effect of precursor concentration and film thickness deposited by layer on nanostructured TiO2 thin films

    Science.gov (United States)

    Affendi, I. H. H.; Sarah, M. S. P.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    Sol-gel spin coating method is used in the production of nanostructured TiO2 thin film. The surface topology and morphology was observed using the Atomic Force Microscopy (AFM) and Field Emission Scanning Electron Microscopy (FESEM). The electrical properties were investigated by using two probe current-voltage (I-V) measurements to study the electrical resistivity behavior, hence the conductivity of the thin film. The solution concentration will be varied from 14.0 to 0.01wt% with 0.02wt% interval where the last concentration of 0.02 to 0.01wt% have 0.01wt% interval to find which concentrations have the highest conductivity then the optimized concentration's sample were chosen for the thickness parameter based on layer by layer deposition from 1 to 6 layer. Based on the result, the lowest concentration of TiO2, the surface becomes more uniform and the conductivity will increase. As the result, sample of 0.01wt% concentration have conductivity value of 1.77E-10 S/m and will be advanced in thickness parameter. Whereas in thickness parameter, the 3layer deposition were chosen as its conductivity is the highest at 3.9098E9 S/m.

  14. Influence of O2 Flux on Compositions and Properties of ITO Films Deposited at Room Temperature by Direct-Current Pulse Magnetron Sputtering

    International Nuclear Information System (INIS)

    Wang Hua-Lin; Ding Wan-Yu; Liu Chao-Qian; Chai Wei-Ping

    2010-01-01

    Indium tin oxide (ITO) films were deposited on glass substrates at room temperature by dc pulse magnetron sputtering. Varying O 2 flux, ITO films with different properties are obtained. Both x-ray diffractometer and x-ray photoelectron spectrometer are used to study the change of crystalline structures and bonding structures of ITO films, respectively. Electrical properties are measured by four-point probe measurements. The results indicate that the chemical structures and compositions of ITO films strongly depend on the O 2 flux. With increasing O 2 flux, ITO films display better crystallization, which could decrease the resistivity of films. On the contrary, ITO films contain less O vacancies with increasing O 2 flux, which could worsen the conductive properties of films. Without any heat treatment onto the samples, the resistivity of the ITO film could reach 6.0 × 10 −4 Ω ·cm, with the optimal deposition parameter of 0.2 sccm O 2 flux. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  15. Initial deposition and electron paramagnetic resonance defects characterization of TiO{sub 2} films prepared using successive ionic layer adsorption and reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Wu Yiyong, E-mail: wuyiyong2001@yahoo.com.cn [National Key Laboratory of Materials Behaviors and Evaluation Technology in Space Environments, Harbin Institute of Technology, P.O. 432, Nan gang District, Harbin, 150080 (China); Shi Yaping [National Key Laboratory of Materials Behaviors and Evaluation Technology in Space Environments, Harbin Institute of Technology, P.O. 432, Nan gang District, Harbin, 150080 (China); Harbin University of Commerce, P.O. 493, Song bei District, Harbin, 150028 (China); Xu Xianbin; Sun Chengyue [National Key Laboratory of Materials Behaviors and Evaluation Technology in Space Environments, Harbin Institute of Technology, P.O. 432, Nan gang District, Harbin, 150080 (China)

    2012-06-01

    Successive ionic layer adsorption and reaction (SILAR) technique was considered promisingly to deposit ultra thin titanium dioxide (TiO{sub 2}) films under ambient condition. In this paper, the growth process, structures and paramagnetic defects of the films were characterized by complementary techniques of atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and electron paramagnetic resonance spectroscopy. The results indicate that on glass substrate the SILAR TiO{sub 2} film nucleates in an island mode within the initial five deposition cycles but grows in a layer-by-layer mode afterwards. The growth rate was measured as 4.6 A/cycle. In the as-deposited films, a kind of paramagnetic defects is detected at g (2.0029) and it can be attributed to oxygen vacancies. These as-received oxygen vacancies could be annealed out at 473 K. Ultraviolet irradiation on the as-deposited films can also decrease the density of the defects. The relative mechanisms on the phenomenon were discussed in this paper. - Highlights: Black-Right-Pointing-Pointer TiO{sub 2} films are deposited on glass at 25 Degree-Sign C by successive ionic layer adsorption and reaction method with a rate of 4.6 A/cycle. Black-Right-Pointing-Pointer The films nucleate in an island mode initially but grow in a layer mode afterwards. Black-Right-Pointing-Pointer The SILAR TiO{sub 2} films nucleation period is five cycles. Black-Right-Pointing-Pointer Electron paramagnetic resonance spectroscopy shows that TiO{sub 2} films paramagnetic defects are attributed to oxygen vacancies. Black-Right-Pointing-Pointer They will decrease by anneal or ultraviolet radiation and form hydroxyl or superoxide radicals.

  16. Bioactive glass and hydroxyapatite thin films obtained by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gyorgy, E. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania) and Consejo Superior de Investigaciones Cientificas, Instituto de Ciencia de Materiales de Barcelona, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: egyorgy@icmab.es; Grigorescu, S. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Socol, G. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Janackovic, D. [Faculty of Technology and Metallurgy, University of Belgrade, Karnegijeva 4, 11000 Belgrade (Serbia); Dindune, A. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Kanepe, Z. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Palcevskis, E. [Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Zdrentu, E.L. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania); Petrescu, S.M. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania)

    2007-07-31

    Bioactive glass (BG), calcium hydroxyapatite (HA), and ZrO{sub 2} doped HA thin films were grown by pulsed laser deposition on Ti substrates. An UV KrF{sup *} ({lambda} = 248 nm, {tau} {>=} 7 ns) excimer laser was used for the multi-pulse irradiation of the targets. The substrates were kept at room temperature or heated during the film deposition at values within the (400-550 deg. C) range. The depositions were performed in oxygen and water vapor atmospheres, at pressure values in the range (5-40 Pa). The HA coatings were heat post-treated for 6 h in a flux of hot water vapors at the same temperature as applied during deposition. The surface morphology, chemical composition, and crystalline quality of the obtained thin films were studied by scanning electron microscopy, atomic force microscopy, and X-ray diffractometry. The films were seeded for in vitro tests with Hek293 (human embryonic kidney) cells that revealed a good adherence on the deposited layers. Biocompatibility tests showed that cell growth was better on HA than on BG thin films.

  17. Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor

    Energy Technology Data Exchange (ETDEWEB)

    Pradhan, Debabrata [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)]. E-mail: dpradhan@sciborg.uwaterloo.ca; Sharon, Maheshwar [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)

    2007-06-30

    A simple thermal chemical vapor deposition technique is employed for the pyrolysis of a natural precursor 'camphor' and deposition of carbon films on alumina substrate at higher temperatures (600-900 deg. C). X-ray diffraction measurement reveals the amorphous structure of these films. The carbon films properties are found to significantly vary with the deposition temperatures. At higher deposition temperature, films have shown predominately sp{sup 2}-bonded carbon and therefore, higher conductivity and lower optical band gap (Tauc gap). These amorphous carbon (a-C) films are also characterized with Raman and X-ray photoelectron spectroscopy. In addition, electrical and optical properties are measured. The thermoelectric measurement shows these as-grown a-C films are p-type in nature.

  18. Structural and superconducting characteristics of YBa2Cu3O7 films grown by fluorine-free metal-organic deposition route

    DEFF Research Database (Denmark)

    Zhao, Yue; Chu, Jingyuan; Qureishy, Thomas

    2018-01-01

    Microstructure and superconducting performance of YBa2Cu3O7 (YBCO) films deposited on LaAlO3 single crystal (LAO) substrates by a fluorine-free metal-organic deposition (FF-MOD) technique, have been studied by means of X-ray reciprocal space mapping (RSM), cross-sectional transmission electron mi...... external magnetic field at 77 K. This work offers an in-depth insight into the correlation between the microstructure and superconductivity in the MOD YBCO films.......Microstructure and superconducting performance of YBa2Cu3O7 (YBCO) films deposited on LaAlO3 single crystal (LAO) substrates by a fluorine-free metal-organic deposition (FF-MOD) technique, have been studied by means of X-ray reciprocal space mapping (RSM), cross-sectional transmission electron....... It is suggested that associated partial dislocations formed at the boundary between the stacking faults and YBCO matrix act as strong linear (or dot) pinning centers. These structural characteristics are well in line with the better superconducting performance of the low fluorine-MOD film, in particular under...

  19. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  20. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  1. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  2. Heat treatment of cathodic arc deposited amorphous hard carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Anders, S.; Ager, J.W. III; Brown, I.G. [and others

    1997-02-01

    Amorphous hard carbon films of varying sp{sup 2}/sp{sup 3} fractions have been deposited on Si using filtered cathodic are deposition with pulsed biasing. The films were heat treated in air up to 550 C. Raman investigation and nanoindentation were performed to study the modification of the films caused by the heat treatment. It was found that films containing a high sp{sup 3} fraction sustain their hardness for temperatures at least up to 400 C, their structure for temperatures up to 500 C, and show a low thickness loss during heat treatment. Films containing at low sp{sup 3} fraction graphitize during the heat treatment, show changes in structure and hardness, and a considerable thickness loss.

  3. A review of basic phenomena and techniques for sputter-deposition of high temperature superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Auciello, O. (Microelectronics Center of North Carolina, Research Triangle Park, NC (USA) North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Ameen, M.S.; Kingon, A.I.; Lichtenwalner, D.J. (North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Krauss, A.R. (Argonne National Lab., IL (USA))

    1990-01-01

    The processes involved in plasma and ion beam sputter-deposition of high temperature superconducting thin films are critically reviewed. Recent advances in the development of these techniques are discussed in relation to basic physical phenomena, specific to each technique, which must be understood before high quality films can be produced. Control of film composition is a major issue in sputter-deposition of multicomponent materials. Low temperature processing of films is a common goal for each technique, particularly in relation to integrating high temperature superconducting films with the current microelectronics technology. It has been understood for some time that for Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} deposition, the most intensely studied high-{Tc} compound, incorporation of sufficient oxygen into the film during deposition is necessary to produce as-deposited superconducting films at relatively substrate temperatures. Recent results have shown that with the use of suitable buffer layers, high quality Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} sputtered films can be obtained on Si substrates without the need for post-deposition anneal processing. This review is mainly focussed on issues related to sputter-deposition of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} thin films, although representative results concerning the bismuth and thallium based compounds are included. 143 refs., 11 figs.

  4. Oxidation Properties of Nitrogen-Doped Silicon Films Deposited from Si2H6 and NH3

    Science.gov (United States)

    Scheid, Emmanuel; Boyer, Pierre; Samitier, Josep; Hassani, Ahmed

    1994-03-01

    Si2H6/NH3 gas mixture was employed to obtain, by low-pressure chemical vapor deposition (LPCVD) at low temperature, nitrogen-doped silicon (NIDOS) films with various N/Si ratios. Thermal oxide was grown in dry oxygen at 900°C and 1100°C on NIDOS films. The result indicates that the nitrogen content of NIDOS films, assessed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), greatly influences their oxidation rate.

  5. Measurement of Young’s modulus and residual stress of atomic layer deposited Al2O3 and Pt thin films

    Science.gov (United States)

    Purkl, Fabian; Daus, Alwin; English, Timothy S.; Provine, J.; Feyh, Ando; Urban, Gerald; Kenny, Thomas W.

    2017-08-01

    The accurate measurement of mechanical properties of thin films is required for the design of reliable nano/micro-electromechanical devices but is increasingly challenging for thicknesses approaching a few nanometers. We apply a combination of resonant and static mechanical test structures to measure elastic constants and residual stresses of 8-27 nm thick Al2O3 and Pt layers which have been fabricated through atomic layer deposition. Young’s modulus of poly-crystalline Pt films was found to be reduced by less than 15% compared to the bulk value, whereas for amorphous Al2O3 it was reduced to about half of its bulk value. We observed no discernible dependence of the elastic constant on thickness or deposition method for Pt, but the use of plasma-enhanced atomic layer deposition was found to increase Young’s modulus of Al2O3 by 10% compared to a thermal atomic layer deposition. As deposited, the Al2O3 layers had an average tensile residual stress of 131 MPa. The stress was found to be higher for thinner layers and layers deposited without the help of a remote plasma. No residual stress values could be extracted for Pt due to insufficient adhesion of the film without an underlying layer to promote nucleation.

  6. Indium oxide-based transparent conductive films deposited by reactive sputtering using alloy targets

    Science.gov (United States)

    Miyazaki, Yusuke; Maruyama, Eri; Jia, Junjun; Machinaga, Hironobu; Shigesato, Yuzo

    2017-04-01

    High-quality transparent conductive oxide (TCO) films, Sn-doped In2O3 (ITO) and In2O3-ZnO (IZO), were successfully deposited on either synthetic silica or polyethylene terephthalate (PET) substrates in the “transition region” by reactive dc magnetron sputtering using In-Zn and In-Sn alloy targets, respectively, with a specially designed plasma emission feedback system. The composition, crystallinity, surface morphology, and electrical and optical properties of the films were analyzed. All of the IZO films were amorphous, whereas the ITO films were polycrystalline over a wide range of deposition conditions. The minimum resistivities of the IZO and ITO films deposited on the heated PET substrates at 150 °C were 3.3 × 10-4 and 5.4 × 10-4 Ω·cm, respectively. By applying rf bias to unheated PET substrates, ITO films with a resistivity of 4.4 × 10-4 Ω·cm were deposited at a dc self-bias voltage of -60 V.

  7. Plasma-deposited a-C(N) H films

    CERN Document Server

    Franceschini, D E

    2000-01-01

    The growth behaviour, film structure and mechanical properties of plasma-deposited amorphous hydrogenated carbon-nitrogen films are shortly reviewed. The effect of nitrogen-containing gas addition to the deposition to the hydrocarbon atmospheres used is discussed, considering the modifications observed in the chemical composition growth kinetics, carbon atom hybridisation and chemical bonding arrangements of a-C(N):H films. The overall structure behaviour is correlated to the variation of the mechanical properties.

  8. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  9. Structural, mechanical, electrical and wetting properties of ZrNx films deposited by Ar/N2 vacuum arc discharge: Effect of nitrogen partial pressure

    Science.gov (United States)

    Abdallah, B.; Naddaf, M.; A-Kharroub, M.

    2013-03-01

    Non-stiochiometric zirconium nitride (ZrNx) thin films have been deposited on silicon substrates by vacuum arc discharge of (N2 + Ar) gas mixtures at different N2 partial pressure ratio. The microstructure, mechanical, electrical and wetting properties of these films are studied by means of X-ray diffraction (XRD), micro-Raman spectroscopy, Rutherford back scattering (RBS) technique, conventional micro-hardness testing, electrical resistivity, atomic force microscopy (AFM) and contact angle (CA) measurements. RBS results and analysis show that the (N/Zr) ratio in the film increases with increasing the N2 partial pressure. A ZrNx film with (Zr/N) ratio in the vicinity of stoichiometric ZrN is obtained at N2 partial pressure of 10%. XRD and Raman results indicate that all deposited films have strained cubic crystal phase of ZrN, regardless of the N2 partial pressure. On increasing the N2 partial pressure, the relative intensity of (1 1 1) orientation with respect to (2 0 0) orientation is seen to decrease. The effect of N2 partial pressure on micro-hardness and the resistivity of the deposited film is revealed and correlated to the alteration of grain size, crystallographic texture, stoichiometry and residual stress developed in the film. In particular, it is found that residual stress and nitrogen incorporation in the film play crucial role in the alteration of micro-hardness and resistivity respectively. In addition, CA and AFM results demonstrate that as N2 partial pressure increases, both the surface hydrophobicity and roughness of the deposited film increase, leading to a significant decrease in the film surface free energy (SFE).

  10. Nickel films: Nonselective and selective photochemical deposition and properties

    International Nuclear Information System (INIS)

    Smirnova, N.V.; Boitsova, T.B.; Gorbunova, V.V.; Alekseeva, L.V.; Pronin, V.P.; Kon'uhov, G.S.

    2006-01-01

    Nickel films deposited on quartz surfaces by the photochemical reduction of a chemical nickel plating solution were studied. It was found that the deposition of the films occurs after an induction period, the length of which depends on the composition of the photolyte and the light intensity. Ni particles with a mean diameter of 20-30 nm were detected initially by transmission electron microscopy. The particles then increased in size (50 nm) upon irradiation and grouped into rings consisting of 4-5 particles. Irradiation with high-intensity light produces three-dimensional films. The calculated extinction coefficient of the nickel film was found to be 4800 L mol -1 cm -1 . Electron diffraction revealed that the prepared amorphous nickel films crystallize after one day of storage. It was determined that the films exhibit catalytic activity in the process of nickel deposition from nickel plating solution. The catalytic action remains for about 5-7 min after exposure of the films to air. The processes of selective and nonselective deposition of the nickel films are discussed. The use of poly(butoxy titanium) in the process of selective photochemical deposition enables negative and positive images to be prepared on quartz surfaces

  11. Indium zinc oxide films deposited on PET by LF magnetron sputtering

    International Nuclear Information System (INIS)

    Kim, Eun Lyoung; Jung, Sang Kooun; Sohn, Sang Ho; Park, Duck Kyu

    2007-01-01

    Indium zinc oxide (IZO) has attracted much attention recently for use in transparent oxide films compared with the ITO film. We carried out the deposition of IZO on a polyethylene terapthalate (PET) substrate at room temperature by a low-frequency (LF) magnetron sputtering system. These films have amorphous structures with excellent electrical stability, surface uniformity and high optical transmittance. The effects of LF applied voltage and O 2 flow rate were investigated. The electrical and optical properties were studied. At optimal deposition conditions, thin films of IZO with a sheet resistance of 32 Ω/sq and an optical transmittance of over 80% in the visible spectrum range were achieved. The IZO thin films fabricated by this method do not require substrate heating during the film preparation of any additional post-deposition annealing treatment. The experimental results show that films with good qualities of surface morphology, transmittance and electrical conduction can be grown by the LF magnetron sputtering method on PET which is recommendable

  12. Pulsed laser deposition of HfO{sub 2} thin films on indium zinc oxide: Band offsets measurements

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D.; Craciun, V., E-mail: valentin.craciun@inflpr.ro

    2017-04-01

    Highlights: • High quality amorphous IZO and HfO{sub 2} films were obtained by PLD technique. • XPS measurements were used to obtain the valence band alignment in HfO{sub 2}/IZO heterostructure. • A valence band offset (ΔE{sub V}) of 1.75 eV was obtained for the HfO{sub 2}/IZO heterostructure. • A conduction band offset (ΔE{sub C}) of 0.65 eV was estimated for the HfO{sub 2}/IZO heterostructure. - Abstract: One of the most used dielectric films for amorphous indium zinc oxide (IZO) based thin films transistor is HfO{sub 2}. The estimation of the valence band discontinuity (ΔE{sub V}) of HfO{sub 2}/IZO heterostructure grown using the pulsed laser deposition technique, with In/(In + Zn) = 0.79, was obtained from X-ray photoelectron spectroscopy (XPS) measurements. The binding energies of Hf 4d5, Zn 2p3 and In 3d5 core levels and valence band maxima were measured for thick pure films and for a very thin HfO{sub 2} film deposited on a thick IZO film. A value of ΔE{sub V} = 1.75 ± 0.05 eV was estimated for the heterostructure. Taking into account the measured HfO{sub 2} and IZO optical bandgap values of 5.50 eV and 3.10 eV, respectively, a conduction band offset ΔE{sub C} = 0.65 ± 0.05 eV in HfO{sub 2}/IZO heterostructure was then obtained.

  13. Growth of centimeter-scale atomically thin MoS2 films by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Gene Siegel

    2015-05-01

    Full Text Available We are reporting the growth of single layer and few-layer MoS2 films on single crystal sapphire substrates using a pulsed-laser deposition technique. A pulsed KrF excimer laser (wavelength: 248 nm; pulse width: 25 ns was used to ablate a polycrystalline MoS2 target. The material thus ablated was deposited on a single crystal sapphire (0001 substrate kept at 700 °C in an ambient vacuum of 10−6 Torr. Detailed characterization of the films was performed using atomic force microscopy (AFM, Raman spectroscopy, UV-Vis spectroscopy, and photoluminescence (PL measurements. The ablation of the MoS2 target by 50 laser pulses (energy density: 1.5 J/cm2 was found to result in the formation of a monolayer of MoS2 as shown by AFM results. In the Raman spectrum, A1g and E12g peaks were observed at 404.6 cm−1 and 384.5 cm−1 with a spacing of 20.1 cm−1, confirming the monolayer thickness of the film. The UV-Vis absorption spectrum exhibited two exciton absorption bands at 672 nm (1.85 eV and 615 nm (2.02 eV, with an energy split of 0.17 eV, which is in excellent agreement with the theoretically predicted value of 0.15 eV. The monolayer MoS2 exhibited a PL peak at 1.85 eV confirming the direct nature of the band-gap. By varying the number of laser pulses, bi-layer, tri-layer, and few-layer MoS2 films were prepared. It was found that as the number of monolayers (n in the MoS2 films increases, the spacing between the A1g and E12g Raman peaks (Δf increases following an empirical relation, Δ f = 26 . 45 − 15 . 42 1 + 1 . 44 n 0 . 9 cm − 1 .

  14. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  15. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    International Nuclear Information System (INIS)

    Le Paven, C.; Lu, Y.; Nguyen, H.V.; Benzerga, R.; Le Gendre, L.; Rioual, S.; Benzegoutta, D.; Tessier, F.; Cheviré, F.

    2014-01-01

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO 3 and Pt(111)/TiO 2 /SiO 2 /(001)Si substrates by RF magnetron sputtering, using a La 2 Ti 2 O 7 homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La 2 Ti 2 O 7 films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti 4+ ions, with no trace of Ti 3+ , and provides a La/Ti ratio of 1.02. The depositions being performed from a La 2 Ti 2 O 7 target under oxygen rich plasma, the same composition (La 2 Ti 2 O 7 ) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2 1 space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO 3 substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La 2 Ti 2 O 7 orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La 2 Ti 2 O 7 films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La 2 Ti 2 O 7 chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing

  16. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  17. A comparative study of CdS thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pérez-Hernández, G., E-mail: german.perez@ujat.mx [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Pantoja-Enríquez, J. [Centro de Investigación y Desarrollo Tecnológico en Energías Renovables, UNICACH, Libramiento Norte No 1150, Tuxtla Gutiérrez, Chiapas 29039 (Mexico); Escobar-Morales, B. [Instituto Tecnológico de Cancún, Avenida Kábah Km 3, Cancún, Quintana Roo 77500 (Mexico); Martinez-Hernández, D.; Díaz-Flores, L.L.; Ricardez-Jiménez, C. [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Mathews, N.R.; Mathew, X. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico)

    2013-05-01

    Cadmium sulfide thin-films were deposited on glass slides and SnO{sub 2}:F coated glass substrates by chemical bath deposition, sputtering and close-spaced sublimation techniques. The films were studied for the structural and opto-electronic properties after annealing in an ambient identical to that employed in the fabrication of CdTe/CdS devices. Quantum efficiency of the CdTe/CdS solar cells fabricated with CdS buffer films prepared by the three methods were investigated to understand the role of CdS film preparation method on the blue response of the devices. The higher blue response observed for the devices fabricated with chemical bath deposited CdS film is discussed. - Highlights: ► CdS films were prepared by different techniques. ► Role of CdS on the blue response of device was studied. ► Structural and optical properties of CdS were analyzed. ► Chemically deposited CdS has high blue transmittance. ► CdS deposition method influences diffusion of S and Te.

  18. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    NARCIS (Netherlands)

    Guo, J.; Bui, H.V.; Valdesueiro Gonzalez, D.; Yuan, Shaojun; Liang, Bin; van Ommen, J.R.

    2018-01-01

    This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2) pigment powders by extremely thin aluminum oxide (Al2O3) films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA) and H2O as precursors. The deposition was performed on

  19. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  20. Structural, morphological and optical properties of spray deposited Mn-doped CeO2 thin films

    International Nuclear Information System (INIS)

    Pavan Kumar, CH.S.S.; Pandeeswari, R.; Jeyaprakash, B.G.

    2014-01-01

    Highlights: • Spray deposited undoped and Mn-doped CeO 2 thin films were polycrystalline. • Complete changeover of surface morphology upon 4 wt% Mn doping. • 4 wt% Mn-doped CeO 2 thin film exhibited a hydrophobic nature. • Optical band-gap decreases beyond 2 wt% Mn doping. - Abstract: Cerium oxide and manganese (Mn) doped cerium oxide thin films on glass substrates were prepared by home built spray pyrolysis system. The effect of Mn doping on the structural, morphological and optical properties of CeO 2 films were studied. It was found that both the undoped and doped CeO 2 films were polycrystalline in nature but the preferential orientation and grain size changed upon doping. Atomic force micrograph showed a complete changeover of surface morphology from spherical to flake upon doping. A water contact angle result displayed the hydrophobic nature of the doped CeO 2 film. Optical properties indicated an increase in band-gap and a decrease in transmittance upon doping owing to Moss–Burstein effect and inverse Moss–Burstein effects. Other optical properties such as refractive index, extinction coefficient and dielectric constant as a function of doping were analysed and reported

  1. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    International Nuclear Information System (INIS)

    Bao Quanhe; Chen Chuanzhong; Wang Diangang; Liu Junming

    2008-01-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 4 3- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA

  2. Visible light active TiO{sub 2} films prepared by electron beam deposition of noble metals

    Energy Technology Data Exchange (ETDEWEB)

    Hou Xinggang, E-mail: hou226@163.co [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Ma Jun [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Liu Andong [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China); Li Dejun; Huang Meidong; Deng Xiangyun [Department of Physics, Tianjin Normal University, Tianjin 300387 (China)

    2010-03-15

    TiO{sub 2} films prepared by sol-gel method were modified by electron beam deposition of noble metals (Pt, Pd, and Ag). Effects of noble metals on the chemical and surface characteristics of the films were studied using XPS, TEM and UV-Vis spectroscopy techniques. Photocatalytic activity of modified TiO{sub 2} films was evaluated by studying the degradation of methyl orange dye solution under visible light UV irradiation. The result of TEM reveals that most of the surface area of TiO{sub 2} is covered by tiny particles of noble metals with diameter less than 1 nm. Broad red shift of UV-Visible absorption band of modified photocatalysts was observed. The catalytic degradation of methyl orange in aqueous solutions under visible light illumination demonstrates a significant enhancement of photocatalytic activity of these films compared with the un-loaded films. The photocatalytic efficiency of modified TiO{sub 2} films by this method is affected by the concentration of impregnating solution.

  3. Electrochromism of the electroless deposited cuprous oxide films

    International Nuclear Information System (INIS)

    Neskovska, R.; Ristova, M.; Velevska, J.; Ristov, M.

    2007-01-01

    Thin cuprous oxide films were prepared by a low cost, chemical deposition (electroless) method onto glass substrates pre-coated with fluorine doped tin oxide. The X-ray diffraction pattern confirmed the Cu 2 O composition of the films. Visible transmittance spectra of the cuprous oxide films were studied for the as-prepared, colored and bleached films. The cyclic voltammetry study showed that those films exhibited cathode coloring electrochromism, i.e. the films showed change of color from yellowish to black upon application of an electric field. The transmittance across the films for laser light of 670 nm was found to change due to the voltage change for about 50%. The coloration memory of those films was also studied during 6 h, ex-situ. The coloration efficiency at 670 nm was calculated to be 37 cm 2 /C

  4. RBS characterisation of SrxBa1-xNb2O6 (SBN) thin films obtained by laser deposition

    International Nuclear Information System (INIS)

    Pantelica, D.; Petris, M.; Negoita, F.; Dinescu, M.; Dinu, R.; Bauerle, D.; Pedarnig, J.; Bauer-Gogonea, S.; Bauer, S.

    1999-01-01

    Sr x Ba 1-x Nb 2 O 6 (SBN) is an attractive material for many applications such as nonvolatile ferroelectric random-access memories. SBN thin films have been grown by different techniques, such as solid source metalorganic chemical vapor deposition, liquid phase epitaxy, sol-gel synthesis and rf-sputter deposition. Pulsed laser deposition (PLD) is a relatively new growth technique which is ideally suited to the epitaxial growth of multicomponent oxides, because complex target compositions can be stoichiometrically reproduced at the substrate. Multilayer SBN/LSCO/TiN/MgO was prepared as follows: the (100) MgO substrate was glued onto a Ni holder with silver paint and the whole assembly was heated radiatively to the deposition temperatures in the range 450-800 deg. C. MgO substrates were annealed in oxygen at 1050 deg. C for 12 hours prior to the deposition of films. A UV-excimer laser (KrF, λ = 248 nm, t(FWHM) = 25 ns) operating at a repetition rate of 5 Hz was used for ablation. The laser fluence was varied between 0.8-2.6 J/cm 2 ; 4000 pulses were given for the deposition of LSCO film and 8000 pulses for the deposition of SBN film. The composition of the film was analysed using RBS. The measurements were conducted using a 7 Li ++ beam at 4.5 MeV provided by the Van de Graaff Tandem accelerator of IFIN-HH. An ordinary backscattering setup was used. The backscattered particles were detected using a passivated ion implanted silicon detector, placed at 145 angle with respect with the beam. The energy resolution for 7 Li at 4 MeV was about 30 keV. The sample surface was perpendicular to the beam direction. For the quantitative analysis of RBS spectra we used the code RUMP. A typical RBS spectrum of a SBN/LSCO/TiN/MgO sample is shown. A simulation is plotted on the same graph. The simulation curve fit well the experimental data. The profiles for different element are flat topped, indicating that the composition is constant with depth. The sharp high and low energy

  5. Low temperature-pyrosol-deposition of aluminum-doped zinc oxide thin films for transparent conducting contacts

    Energy Technology Data Exchange (ETDEWEB)

    Rivera, M.J. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, Apartado Postal 70-360, Coyoacán, 04510 México, D.F. (Mexico); Ramírez, E.B. [Universidad Autónoma de la Ciudad de México, Calle Prolongación San Isidro Núm. 151, Col. San Lorenzo Tezonco, Iztapalapa, 09790 México, D.F. (Mexico); Juárez, B.; González, J.; García-León, J.M. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, Apartado Postal 70-360, Coyoacán, 04510 México, D.F. (Mexico); Escobar-Alarcón, L. [Departamento de Física, Instituto Nacional de Investigaciones Nucleares, Apdo. Postal 18-1027, México, D.F. 11801 (Mexico); Alonso, J.C., E-mail: alonso@unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, Apartado Postal 70-360, Coyoacán, 04510 México, D.F. (Mexico)

    2016-04-30

    Aluminum doped-zinc oxide (ZnO:Al) thin films with thickness ~ 1000 nm have been deposited by the ultrasonic spray pyrolysis technique using low substrate temperatures in the range from 285 to 360 °C. The electrical and optical properties of the ZnO:Al (AZO) films were investigated by Uv–vis spectroscopy and Hall effect measurements. The crystallinity and morphology of the films were analyzed using X-ray diffraction (XRD), atomic force microscopy (AFM), and high resolution scanning electron microcopy (SEM). XRD results reveal that all the films are nanocrystalline with a hexagonal wurtzite structure with a preferential orientation in the (002) plane. The size of the grains calculated from Scherrer's formula was in the range from 28 to 35 nm. AFM and SEM analysis reveals that the grains form round and hexagonal shaped aggregates at high deposition temperatures and larger rice shaped aggregates at low temperatures. All the films have a high optical transparency (~ 82%). According to the Hall measurements the AZO films deposited at 360 and 340 °C had resistivities of 2.2 × 10{sup −3}–4.3 × 10{sup −3} Ω cm, respectively. These films were n-type and had carrier concentrations and mobilities of 3.71–2.54 × 10{sup 20} cm{sup −3} and 7.4–5.7 cm{sup 2}/V s, respectively. The figure of merit of these films as transparent conductors was in the range of 2.6 × 10{sup −2} Ω{sup −1}–4.1 × 10{sup −2} Ω{sup −1}. Films deposited at 300 °C and 285 °C, had much higher resistivities. Based on the thermogravimetric analysis of the individual precursors used for film deposition, we speculate on possible film growing mechanisms that can explain the composition and electrical properties of films deposited under the two different ranges of temperatures. - Highlights: • Aluminum doped zinc oxide thin films were deposited at low temperatures by pyrosol. • Low resistivity was achieved from 340 °C substrate temperature. • All films deposited

  6. Shape memory characteristics of sputter-deposited Ti-Ni thin films

    International Nuclear Information System (INIS)

    Miyazaki, Shuichi; Ishida, Akira.

    1994-01-01

    Ti-Ni shape memory alloy thin films were deposited using an RF magnetron sputtering apparatus. The as-sputtered films were heat-treated in order to crystallize and memorize. After the heat treatment, the shape memory characteristics have been investigated using DSC and thermomechanical tests. Upon cooling the thin films, the solution-treated films showed a single peak in the DSC curve indicating a single stage transformation occurring from B2 to the martensitic phase, while the age-treated films showed double peaks indicating a two-stage transformation, i.e., from B2 to the R-phase, then to the martensitic phase. A perfect shape memory effect was achieved in these sputter-deposited Ti-Ni thin films in association both with the R-phase and martensitic transformations. Transformation temperatures increased linearly with increasing applied stress. The transformation strain also increased with increasing stress. The shape memory characteristics were strongly affected by heat-treatment conditions. (author)

  7. Effect of substrate type on the electrical and structural properties of TiO2 thin films deposited by reactive DC sputtering

    Science.gov (United States)

    Cheng, Xuemei; Gotoh, Kazuhiro; Nakagawa, Yoshihiko; Usami, Noritaka

    2018-06-01

    Electrical and structural properties of TiO2 thin films deposited at room temperature by reactive DC sputtering have been investigated on three different substrates: high resistivity (>1000 Ω cm) float zone Si(1 1 1), float zone Si(1 0 0) and alkali free glass. As-deposited TiO2 films on glass substrate showed extremely high resistivity of (∼5.5 × 103 Ω cm). In contrast, lower resistivities of ∼2 Ω cm and ∼5 Ω cm were obtained for films on Si(1 1 1) and Si(1 0 0), respectively. The as-deposited films were found to be oxygen-rich amorphous TiO2 for all the substrates as evidenced by X-ray photoemission spectroscopy and X-ray diffraction. Subsequent annealing led to appearance of anatase TiO2 on Si but not on glass. The surface of as-deposited TiO2 on Si was found to be rougher than that on glass. These results suggest that the big difference of electrical resistivity of TiO2 would be related with existence of more anatase nuclei forming on crystalline substrates, which is consistent with the theory of charged clusters that smaller clusters tend to adopt the substrate structure.

  8. Isotope analysis of diamond-surface passivation effect of high-temperature H2O-grown atomic layer deposition-Al2O3 films

    International Nuclear Information System (INIS)

    Hiraiwa, Atsushi; Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi

    2015-01-01

    The Al 2 O 3 film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H 2 O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D 2 O instead of H 2 O in the ALD and found that the Al 2 O 3 film formed at a conventional temperature (100 °C) incorporates 50 times more CH 3 groups than the high-temperature film. This CH 3 is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H 2 O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H 2 O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D 2 O-oxidant ALD but found that the mass density and dielectric constant of D 2 O-grown Al 2 O 3 films are smaller than those of H 2 O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al 2 O 3 films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of the aforementioned new isotope effect will be a basis for further enhancing ALD

  9. A Novel Semiconductor CIGS Photovoltaic Material and Thin-Film ED Technology

    Institute of Scientific and Technical Information of China (English)

    2001-01-01

    In order to achieve low-cost high-efficiency thin-film solar cells, a novel Semiconductor Photovoltaic (PV) active material CuIn1-xGaxSe2 (CIGS) and thin-film Electro-Deposition (ED) technology is explored. Firstly,the PV materials and technologies is investigated, then the detailed experimental processes of CIGS/Mo/glass structure by using the novel ED technology and the results are reported. These results shows that high quality CIGS polycrystalline thin-films can be obtained by the ED method, in which the polycrystalline CIGS is definitely identified by the (112), (204, 220) characteristic peaks of the tetragonal structure, the continuous CIGS thin-film layers with particle average size of about 2μm of length and around 1.6μm of thickness. The thickness and solargrade quality of CIGS thin-films can be produced with good repeatability. Discussion and analysis on the ED technique, CIGS energy band and sodium (Na) impurity properties, were also performed. The alloy CIGS exhibits not only increasing band-gap with increasing x, but also a change in material properties that is relevant to the device operation. The beneficial impurity Na originating from the low-cost soda-lime glass substrate becomes one prerequisite for high quality CIGS films. These novel material and technology are very useful for low-cost high-efficiency thin-film solar cells and other devices.

  10. Laser wavelength dependent properties of YBa2Cu3O7-δ thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Koren, G.; Gupta, A.; Baseman, R.J.; Lutwyche, M.I.; Laibowitz, R.B.

    1989-01-01

    YBa 2 Cu 3 O 7-δ thin films were deposited onto (100) SrTiO 3 substrates using 1064, 532, 355, 248, and 193 nm laser ablation. Transport measurements show lower normal-state resistivities and higher critical currents in films deposited by the shorter wavelength lasers. The surface morphology of the films was rough with large particulates when the 1064 nm laser was used whereas much smoother surfaces with fewer and smaller particulates were obtained with the UV lasers. It is suggested that the better film quality obtained when the UV lasers are used is due to a small absorption depth of the UV photons in the ceramic target and to higher absorption by the ablated fragments. This leads to smaller ablated species and further fragmentation in the hotter plume and, therefore, to smoother and denser films

  11. PREPARATION AND CHARACTERIZATION OF IRON SULPHIDE THIN FILMS BY CHEMICAL BATH DEPOSITION METHOD

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-06-01

    Full Text Available FeS2 thin films have been deposited by using low cost chemical bath deposition technique. The films obtained under deposition parameters such as bath temperature (90 °C, deposition period (90 min, electrolyte concentration (0.15 M and pH of the reactive mixture (pH 2.5. The thin films were characterized using X-ray diffraction and atomic force microscopy in order to study the structural and morphological properties. The band gap energy, transition type and absorption properties were determined using UV-Vis Spectrophotometer. X-ray diffraction displayed a pattern consistent with the formation of an orthorhombic structure, with a strong (110 preferred orientation. Atomic force microscopy image showed the substrate surface is well covered with irregular grains. A direct band gap of 1.85 eV was obtained according to optical absorption studies.   Keywords: Iron sulfide, X-ray diffraction, chemical bath deposition, thin films

  12. Effect of a SiO2 buffer layer on the characteristics of In2O3-ZnO-SnO2 films deposited on PET substrates

    International Nuclear Information System (INIS)

    Woo, B.-J.; Hong, J.-S.; Kim, S.-T.; Kim, H.-M.; Park, S.-H.; Kim, J.-J.; Ahn, J.-S.

    2006-01-01

    Transparent and conducting In 2 O 3 -ZnO-SnO 2 (IZTO) thin films were prepared on flexible PET substrates at room temperature by using an ion-gun-assisted sputtering technique. We mainly investigated the effect of a SiO 2 buffer layer, deposited in-between the film and the PET substrate, on the electrical stability of the film under various external stresses caused by moist-heat or violent temperature variations. The insertion of the SiO 2 layer improves structural, optical and electrical properties of the films: The IZTO/SiO 2 /PET film with a buffer shows a change (∼4 %) in the sheet resistance much smaller than that of the IZTO/PET film without a buffer (∼22 %), against a severe thermal stress of the repeated processes between quenching at -25 .deg. C and annealing at 100 .deg. C for 5 min at each process. Under a moist-heat stress at 90 % relative humidity at 80 .deg. C, the IZTO/SiO 2 /PET film responds with only a slight change (∼8.5 %) in the sheet resistance from 30.2 to 33.0 Ω/□ after being exposed for 240 h. The enhanced stability is understood to be the result of the buffer layers acting as a blocking barrier to water vapor or organic solvents diffusing from the PET substrate during deposition or annealing.

  13. Barium diffusion in metallo-organic solution deposited barrier layers and Y1Ba2Cu3O7-x films

    International Nuclear Information System (INIS)

    Lipeles, R.A.; Leung, M.S.; Thiede, D.A.

    1990-01-01

    This paper reports on barium silicate and barium aluminate films that were studied for use as chemical reaction and diffusion barrier layers for Y 1 Ba 2 Cu 3 O 7-x (YBC) deposited on sapphire and fused silica substrates by the sol-gel technique. Depth profiling by secondary ion mass spectrometry (SIMS) was used to characterize the abruptness of the interfaces between the barrier layer and the YBC film as well as the barrier layer and the substrate. The authors found that barium aluminate films reacted with fused silica substrates forming a coarse-grained barium silicate phase. Barium silicate, BaSiO 3 , also reacted with silica substrates forming a broad, amorphous reaction zone containing some BaSi 2 O 5 . Although barium silicate and barium aluminate deposited on sapphire formed a BaAl 12 O 19 phase, they provided a barrier to barium diffusion from sol-gel deposited YBC. Crystalline barium aluminate grown on c-cut sapphire was the most effective barrier layer for the growth of YBC films; compositionally uniform YBC films were made similar to that grown on strontium titanate substrates. These data show that chemically stable, crystalline films are more effective barrier layers than amorphous films

  14. Diamond-like carbon films deposited by a hybrid ECRCVD system

    International Nuclear Information System (INIS)

    Guo, C.T.; Dittrich, K.-H.

    2007-01-01

    A novel hybrid technique for diamond-like carbon (DLC) film deposition has been developed. This technique combines the electron cyclotron resonance chemical vapor deposition (ECRCVD) of C 2 H 2 and metallic magnetron sputtering. Here we described how DLC film is used for a variety of applications such as stamper, PCB micro-tools, and threading form-tools by taking advantage of hybrid ECRCVD system. The structure of the DLC films is delineated by a function of bias voltages by Raman spectroscopy. This function includes parameters such as dependence of G peak positions and the intensity ratio (I D /I G ). Atomic force microscope (AFM) examines the root-mean-square (R.M.S.) roughness and the surface morphology. Excellent adhesion and lower friction coefficients of a DLC film were also assessed

  15. The growth of nanostructured Cu{sub 2}ZnSnS{sub 4} films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Che Sulaiman, Nurul Suhada; Nee, Chen Hon [Faculty of Engineering, Multimedia University, 63100 Cyberjaya, Selangor (Malaysia); Yap, Seong Ling [Department of Physics, University of Malaya, 50603 Kuala Lumpur (Malaysia); Lee, Yen Sian [UM Power Energy Dedicated Advanced Centre (UMPEDAC), University of Malaya, 50603 Kuala Lumpur (Malaysia); Tou, Teck Yong [Faculty of Engineering, Multimedia University, 63100 Cyberjaya, Selangor (Malaysia); Yap, Seong Shan, E-mail: seongshan@gmail.com [UM Power Energy Dedicated Advanced Centre (UMPEDAC), University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2015-11-01

    Highlights: • Nanostructured CZTS films were grown at room temperature by using 355 nm laser. • CZTS films with E{sub g} of 1.9 eV have been obtained at 2 J cm{sup −2} at room temperature. • At high fluence, Cu/Sn rich droplets affected the overall quality of the films. • Improved crystallinity and E{sub g} of 1.5 eV was obtained at substrate temperature as low as 100 °C. - Abstract: In this work, we investigated on the growth of Cu{sub 2}ZnSnS{sub 4} films by using pulsed Nd:YAG laser (355 nm) ablation of a quaternary Cu{sub 2}ZnSnS{sub 4} target. Depositions were performed at laser fluence from 0.5 to 4 J cm{sup −2}. The films were grown at substrate temperature from 27 °C to 300 °C onto glass and silicon substrates. The dependence of the film morphology, composition, and optical properties are studied and discussed with respect to laser fluence and substrate temperature. Composition analysis from energy dispersive X-ray spectral results show that CZTS films with composition near stoichiometric were obtained at an optimized fluence at 2 J cm{sup −2} by 355 nm laser where the absorption coefficient is >10{sup 4} cm{sup −1}, and optical band gap from a Tauc plot was ∼1.9 eV. At high fluence, Cu and Sn rich droplets were detected which affect the overall quality of the films. The presence of the droplets was associated to the high degree of preferential and subsurface melting on the target during high fluence laser ablation. Crystallinity and optical band gap (1.5 eV) were improved when deposition was performed at substrate temperature of 100 °C.

  16. Growth and characterization of indium tin oxide thin films deposited on PET substrates

    International Nuclear Information System (INIS)

    Lee, Jaehyeong; Jung, Hakkee; Lee, Jongin; Lim, Donggun; Yang, Keajoon; Yi, Junsin; Song, Woo-Chang

    2008-01-01

    Transparent and conductive indium tin oxide (ITO) thin films were deposited onto polyethylene terephthalate (PET) by d.c. magnetron sputtering as the front and back electrical contact for applications in flexible displays and optoelectronic devices. In addition, ITO powder was used for sputter target in order to reduce the cost and time of the film formation processes. As the sputtering power and pressure increased, the electrical conductivity of ITO films decreased. The films were increasingly dark gray colored as the sputtering power increased, resulting in the loss of transmittance of the films. When the pressure during deposition was higher, however, the optical transmittance improved at visible region of light. ITO films deposited onto PET have shown similar optical transmittance and electrical resistivity, in comparison with films onto glass substrate. High quality films with resistivity as low as 2.5 x 10 -3 Ω cm and transmittance over 80% have been obtained on to PET substrate by suitably controlling the deposition parameters

  17. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  18. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  19. Growth of superconducting Bi2Sr2CaCu2O8+δ films by sedimentation deposition and liquid phase sintering and annealing technique

    International Nuclear Information System (INIS)

    Manahan, R.L.C.; Sarmago, R.V.

    2006-01-01

    We report on a technique of growing highly c-axis oriented Bi 2 Sr 2 CaCu 2 O 8+δ (Bi-2212) thick films on MgO substrate using a combined sedimentation-deposition and liquid phase sintering and annealing process. The temperature profiles employed partial melting followed by rapid cooling to temperature below the melting point. Scanning electron micrographs show that the films have a smooth surface. No evidence of grain boundaries on the film's surface can be seen. The critical temperatures of the samples range from ∼67 K to ∼81 K. This method presents a quick and easy preparation for high quality epitaxial Bi-2212 films

  20. Epitaxial thin-film growth of Ruddlesden-Popper-type Ba3Zr2O7 from a BaZrO3 target by pulsed laser deposition

    International Nuclear Information System (INIS)

    Butt, Shariqa Hassan; Rafique, M.S.; Siraj, K.; Latif, A.; Afzal, Amina; Awan, M.S.; Bashir, Shazia; Iqbal, Nida

    2016-01-01

    Ruddlesden-Popper Ba 3 Zr 2 O 7 thin films have been synthesized via pulsed laser deposition (PLD) technique. The optimization of deposition parameters in PLD enables the formation of thin film of metastable Ba 3 Zr 2 O 7 phase from BaZrO 3 target. In order to see the post-annealing effects on the structural and optical properties, the deposited Ba 3 Zr 2 O 7 thin films were annealed at 500, 600 and 800 C. X-ray diffraction (XRD) reveals the formation of Ba 3 Zr 2 O 7 phase with tetragonal structure. The changes in the surface of the deposited films were analysed by FE-SEM and AFM. The thin film post-annealed at 500 C exhibited the best structural, optical and surface properties. Furthermore, the chemical states and chemical composition of the films were determined by X-ray photoelectron spectroscopy (XPS) near the surface. The XPS results show that Ba, Zr and O exist mainly in the form of Ba 3 Zr 2 O 7 Ruddlesden-Popper-type perovskite structure. (orig.)