WorldWideScience

Sample records for cual-lpe-grown thin si

  1. GaIn As Quantum Dots (QD) grown by Liquid Phase Epitaxy (LPE)

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz Vazquez, F E; Mishurnyi, V A; Gorbatchev, A Yu; De Anda, F [Universidad Autonoma de San Luis Potosi, Instituto de Investigation en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico); Elyukhin, V A, E-mail: fcoe_ov@prodigy.net.m, E-mail: andre@cactus.iico.uaslp.m [CINVESTAV-IPN, Av. IPN 2508, Col. San Pedro Zacatenco, Mexico D.F., CP 07360 (Mexico)

    2009-05-01

    The majority of the semiconductor structures with QD today are grown by MBE and MOCVD. It is known that the best material quality can be achieved by LPE because, in contrast to MBE and MOCVD, this method is realized at near-equilibrium conditions. To develop QD LPE technology first of all it is necessary to find out a growth technique allowing the crystallization of epitaxial materials with very small volume. This can be done by means of different techniques. In this work we apply a low temperature short-time growth method, which allows the production not only of single, but also of multilayer heterostructures. We have grown Ga{sub x}In{sub 1-z}As QD on GaAs (100) substrates at 450 C. The details of the QD formation, depending on composition of the Ga{sub x}In{sub -x} As solid solutions, have been studied by atom-force microscopy. The photoluminescence spectra of investigated samples show, in addition to a short-wave GaAs related peak, a longer wavelength line, which disappears after removal of the grown GaInAs material using an etching solution. This fact, together with atom-force microscopy results can be interpreted as a proof that QD heterostructures were grown successfully by LPE.

  2. GaSb grown from Sn solvent at low temperatures by LPE

    Energy Technology Data Exchange (ETDEWEB)

    Compean, V H; Anda, F de; Mishurnyi, V A; Gorbatchev, A Yu, E-mail: fdeanda@cactus.iico.uaslp.m [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico)

    2009-05-01

    The LPE growth of GaSb using Sn as a solvent has been studied in the temperature range 250-370 C and using liquid solutions covering a wide range of compositions. In order to find the growth conditions the phase diagram has been determined experimentally around the same temperature region. It is shown the Sn incorporates into the grown layers and that it behaves as an acceptor. The photoluminescence spectra of the grown layers with different Sn contents show characteristic peaks that can be attributed to different recombination processes.

  3. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  4. The α-particle excited scintillation response of YAG:Ce thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Prusa, Petr; Nikl, Martin; Mares, Jiri A.; Nitsch, Karel; Beitlerova, Alena; Kucera, Miroslav

    2009-01-01

    Y 3 Al 5 O 12 :Ce (YAG:Ce) thin films were grown from PbO-,BaO-, and MoO 3 -based fluxes using the liquid phase epitaxy (LPE) method. Photoelectron yield, its time dependence within 0.5-10 μs shaping time, and energy resolution of these samples were measured under α-particle excitation. For comparison a sample of the Czochralski grown bulk YAG:Ce single crystal was measured as well. Photoelectron yield values of samples grown from the BaO-based flux were found superior to other LPE films and comparable with that of the bulk single crystal. The same is valid also for the time dependence of photoelectron yield. Obtained results are discussed taking into account the influence of the flux and technology used. Additionally, α particle energy deposition in very thin films is modelled and discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Defect-induced polytype transformations in LPE grown SiC epilayers on (1 1 1) 3C-SiC seeds grown by VLS on 6H-SiC

    International Nuclear Information System (INIS)

    Marinova, Maya; Zoulis, Georgios; Robert, Teddy; Mercier, Frederic; Mantzari, Alkioni; Galben, Irina; Kim-Hak, Olivier; Lorenzzi, Jean; Juillaguet, Sandrine; Chaussende, Didier; Ferro, Gabriel; Camassel, Jean; Polychroniadis, Efstathios K.

    2009-01-01

    The results of transmission electron microscopy (TEM) with low-temperature photoluminescence (LTPL) and Raman studies of liquid phase grown epilayers on top of a vapor liquid solid (VLS) grown 3C-SiC buffer layer are compared. While the 6H-SiC substrate was completely covered by the 3C-SiC seed after the first VLS process, degradation occurred during the early stage of the liquid phase epitaxy process. This resulted in polytype instabilities, such that several rhombohedral forms stabilized one after the other. These (21R-SiC, 57R-SiC) eventually led after few microns to a final transition back to 6H-SiC. This interplay of polytypes resulted in a complex optical signature, with specific LTPL and Raman features.

  6. Scintillation efficiency and X-ray imaging with the RE-Doped LuAG thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Tous, Jan; Blazek, Karel; Kucera, Miroslav; Nikl, Martin; Mares, Jiri A.

    2012-01-01

    Very thin scintillator imaging plates have recently become of great interest. In high resolution X-ray radiography, very thin scintillator layers of about 5–20 μm are used to achieve 2D-spatial resolutions below 1 μm. Thin screens can be prepared by mechanical polishing from single crystals or by epitaxial growth on single-crystal substrates using the Liquid Phase Epitaxy technique (LPE). Other types of screens (e.g. deposited powder) do no reach required spatial resolutions. This work compares LPE-grown YAG and LuAG scintillator films doped with different rare earth ions (Cerium, Terbium and Europium). Two different fluxes were used in the LPE growth procedure. These LPE films are compared to YAG:Ce and LuAG:Ce screens made from bulk single crystals. Relative light yield was detected by a highly sensitive CCD camera. Scintillator screens were excited by a micro-focus X-ray source and the generated light was gathered by the CCD camera’s optical system. Scintillator 2D-homogeneity is examined in an X-ray imaging setup also using the CCD camera.

  7. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    Science.gov (United States)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  8. Improved propagation margin in YIG coated LPE garnet films for bubble devices

    International Nuclear Information System (INIS)

    Hidaka, Y.; Yoshimi, K.; Hibiya, T.; Mikami, M.

    1975-01-01

    YIG thin layers grown on (Y,Eu,Yb) 3 (Fe,Ga) 5 O 12 LPE films were found to be very effective for improvement of bubble propagation margin as well as for hard bubble suppression. In the ion-implanted rare earth substituted Ga:YIG on (111) GGG with 8 micron bubble, T-bar propagation margin was diminished, because of stretching or oscillating of bubble along the patterns. A 600 A YIG thin layer with in-plane magnetization, grown by CVD at 1065 0 C, obviated these destructive shortcomings and guaranteed the minimum driving field for stable bubble propagation down to 10 Oe. This improvement can be attributed to the magnetostatic interaction between the YIG layer and the bubble supporting layer. (auth)

  9. Obtaining of bilateral high voltage epitaxial p—i—n Si structures by LPE method

    Directory of Open Access Journals (Sweden)

    Vakiv N. M.

    2013-12-01

    Full Text Available Silicon p—i—n-structures are usually obtained using conventional diffusion method or liquid phase epitaxy (LPE. In both cases, the formation of p- and n-layers occurs in two stages. This technological approach is quite complex. Moreover, when forming bilateral high-voltage epitaxial layers, their parameters significantly deteriorate as a result of prolonged heat treatment of active high-resistivity layer. Besides, when using diffusion method, it is impossible to provide good reproducibility of the process. In this paper a technique of growing bilateral high-voltage silicon p—i—n-structures by LPE in a single process is proposed. The authors have obtained the optimum compounds of silicon-undersaturated molten solutions for highly doped (5•1018 cm–3 contact layers: 0.4—0.8 at. % aluminum in gallium melt for growing p-Si-layers and 0.03—0.15 at. % ytterbium in tin melt for n-Si-layers. Parameters of such structures provide for manufacturing of high-voltage diodes on their basis. Such diodes can be used in navigational equipment, communication systems for household and special purposes, on-board power supply systems, radar systems, medical equipment, etc.

  10. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  11. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  12. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  13. Magnetic and structural properties of Co2FeAl thin films grown on Si substrate

    International Nuclear Information System (INIS)

    Belmeguenai, Mohamed; Tuzcuoglu, Hanife; Gabor, Mihai; Petrisor, Traian; Tiusan, Coriolan; Berling, Dominique; Zighem, Fatih; Mourad Chérif, Salim

    2015-01-01

    The correlation between magnetic and structural properties of Co 2 FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO 2 substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm 2 . - Highlights: • Various Co 2 FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness

  14. Co{sub 2}FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, M., E-mail: belmeguenai.mohamed@univ-paris13.fr; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P. [LSPM (CNRS-UPR 3407), 99 avenue Jean-Baptiste Clément, Université Paris 13, 93430 Villetaneuse (France); Gabor, M. S., E-mail: mihai.gabor@phys.utcluj.ro; Petrisor, T. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Tiusan, C. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F–54506 Vandoeuvre (France)

    2014-01-28

    10 nm and 50 nm Co{sub 2}FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T{sub a}), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T{sub a}, while the uniaxial anisotropy field is nearly unaffected by T{sub a} within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T{sub a}. Finally, the FMR linewidth decreases when increasing T{sub a}, due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10{sup −3} and 1.3×10{sup −3} for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  15. Magnetic and structural properties of Co{sub 2}FeAl thin films grown on Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, Mohamed, E-mail: belmeguenai.mohamed@univ-paris13.fr [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Tuzcuoglu, Hanife [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Gabor, Mihai; Petrisor, Traian [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Tiusan, Coriolan [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F-54506 Vandoeuvre (France); Berling, Dominique [IS2M (CNRS-LRC 7228), 15 rue Jean Starcky, Université de Haute-Alsace, BP 2488, 68057 Mulhouse-Cedex (France); Zighem, Fatih; Mourad Chérif, Salim [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France)

    2015-01-01

    The correlation between magnetic and structural properties of Co{sub 2}FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO{sub 2} substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm{sup 2}. - Highlights: • Various Co{sub 2}FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness.

  16. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  17. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  18. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    Science.gov (United States)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  19. Temperature-dependent photoluminescence and mechanism of CdS thin film grown on Si nanoporous pillar array

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Ling Ling [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); College of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Li, Yan Tao [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); School of Material Science and Engineering, Henan University of Technology, Zhengzhou 454052 (China); Hu, Chu Xiong [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); Li, Xin Jian, E-mail: lixj@zzu.edu.cn [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China)

    2015-09-15

    Highlights: • CdS/silicon nanoporous pillar array (CdS/Si-NPA) was prepared by a CBD method. • The PL spectrum of CdS/Si-NPA was measured at different temperatures, from 10 to 300 K. • The PL spectrum was composed of four emission bands, obeying different mechanisms. • The PL degradation with temperature was due to phonon-induced escape of carriers. - Abstract: Si-based cadmium sulfide (CdS) is a prospective semiconductor system in constructing optoelectronic nanodevices, and this makes the study on the factors which may affect its optical and electrical properties be of special importance. Here we report that CdS thin film was grown on Si nanoporous pillar array (Si-NPA) by a chemical bath deposition method, and the luminescent properties of CdS/Si-NPA as well as its mechanism were studied by measuring and analyzing its temperature-dependent photoluminescence (PL) spectrum. The low-temperature measurement disclosed that the PL spectrum of CdS/Si-NPA could be decomposed into four emission bands, a blue band, a green band, a red band and an infrared band. The blue band was due to the luminescence from Si-NPA substrate, and the others originate from the CdS thin film. With temperature increasing, the peak energy, PL intensity and peak profile shape for the PL bands from CdS evolves differently. Through theoretical and fitting analyses, the origins of the green, red and infrared band are attributed to the near band-edge emission, the radiative recombination from surface defects to Cd vacancies and those to S interstitials, respectively. The cause of PL degradation is due to the thermal quenching process, a phonon-induced electron escape but with different activation energies. These results might provide useful information for optimizing the preparing parameters to promote the performance of Si-based CdS optoelectronic devices.

  20. CVD growth and characterization of 3C-SiC thin films

    Indian Academy of Sciences (India)

    Unknown

    Cubic silicon carbide (3C-SiC) thin films were grown on (100) and (111) Si substrates by CVD technique using ... of grown films were studied using optical microscopy, scanning electron microscopy (SEM), X-ray diffraction (XRD) analysis and X-ray ... the oxide mask gets damaged (Edgar et al 1998). There- fore, lower ...

  1. Thin film pc-Si by aluminium induced crystallization on metallic substrate

    Directory of Open Access Journals (Sweden)

    Cayron C.

    2013-04-01

    Full Text Available Thin film polycrystalline silicon (pc-Si on flexible metallic substrates is promising for low cost production of photovoltaic solar cells. One of the attractive methods to produce pc-Si solar cells consists in thickening a large-grained seed layer by epitaxy. In this work, the deposited seed layer is made by aluminium induced crystallization (AIC of an amorphous silicon (a-Si thin film on metallic substrates (Ni/Fe alloy initially coated with a tantalum nitride (TaN conductive diffusion barrier layer. Effect of the thermal budget on the AIC grown pc-Si seed layer was investigated in order to optimize the process (i.e. the quality of the pc-Si thin film. Structural and optical characterizations were carried out using optical microscopy, μ-Raman and Electron Backscatter Diffraction (EBSD. At optimal thermal annealing conditions, the continuous AIC grown pc-Si thin film showed an average grain size around 15 μm. The grains were preferably (001 oriented which is favorable for its epitaxial thickening. This work proves the feasibility of the AIC method to grow large grains pc-Si seed layer on TaN coated metal substrates. These results are, in terms of grains size, the finest obtained by AIC on metallic substrates.

  2. Comparative study on stress in AlGaN/GaN HEMT structures grown on 6H-SiC, Si and on composite substrates of the 6H-SiC/poly-SiC and Si/poly-SiC

    International Nuclear Information System (INIS)

    Guziewicz, M; Kaminska, E; Piotrowska, A; Golaszewska, K; Domagala, J Z; Poisson, M-A; Lahreche, H; Langer, R; Bove, P

    2008-01-01

    The stresses in GaN-based HEMT structures grown on both single crystal 6H SiC(0001) and Si(111) have been compared to these in the HEMT structures grown on new composite substrates engendered as a thin monocrystalline film attached to polycrystalline 3C-SiC substrate. By using HRXRD technique and wafer curvature method we show that stress of monocrystalline layer in composite substrates of the type mono-Si/poly-SiC is lower than 100 MPa and residual stress of epitaxial GaN buffer grown on the composite substrate does not exceed 0.31 GPa, but in the cases of single crystal SiC or Si substrates the GaN buffer stress is compressive in the range of -0.5 to -0.75 GPa. The total stress of the HEMT structure calculated from strains is consistent with the averaged stress of the multilayers stack measured by wafer curvature method. The averaged stress of HEMT structure grown on single crystals is higher than those in structures grown on composites substrates

  3. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  4. Pinning of 1800 Bloch walls at etched nuclear tracks in LPE-grown iron garnet films

    International Nuclear Information System (INIS)

    Krumme, J.; Bartels, I.; Strocka, B.; Witter, K.; Schmelzer, C.; Spohr, R.

    1977-01-01

    For increasing the magnetic-wall coercivity H/sup w//sub c/ in liquid-phase epitaxial (LPE) ferrimagnetic garnet films of composition (Gd,Bi) 3 (Fe,Al,Ga) 5 O 12 , magnetic-wall ''traps'' are formed via bombardment by xenon ions with 180-MeV/ion energy and doses between 10 6 and 10 8 cm -2 . For efficient wall pinning, the width of the nuclear damage tracks associated with the ion trajectories in the film have been enlarged to about the wall width by using a selective (chemical) etchant that makes use of the drastically increased etching rate in the damaged track volume. Therefore, channels of cylindrical or prismatic cross section are created having a width of a few 10 2 to about 10 3 A and a length of more than 10 μm at the given etching conditions. The pinning capability of such channels can be further enhanced in films that are grown under planar compressive or tensile misfit strain. Then, strain relaxation occurs in the vicinity of these channels which results in steep gradients of the magnetic-wall energy via magnetostriction. These strain halos extend sufficiently beyond the channels so that efficient wall pinning is observed, even if the channel cross section is small compared with the wall width. Thermomagnetic compensation-point writing in LPE garnet film, that were treated accordingly, yield a pattern of stable magnetic domains of down to 8 μm in diameter in 3-μm-thick layers. The effect of etched nuclear tracks on the magnetic-wall coercivity can be interpreted satisfactorily with present models on H/sup w//sub c/

  5. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  6. Growth features of HgCdTe LPE layers

    International Nuclear Information System (INIS)

    Huseynov, E.K.; Eminov, Sh.O.; Ibragimov, T.I.; Ismaylov, N.J.; Rajabli, A.A.

    2010-01-01

    Full text : The results of growth of Hg 1 -xCd x Te (MCT) layers by liquid phase epitaxy (LPE) from Te-rich solutions (molar fraction (Hg 1 -zCd z )(1.y)Te y , z=0.054, y=0.805 for TL=501 degrees Celsium) obtained by the tipping method in closed system is presented. Epitaxial layers with different compositions (x=0.20-0.22) and thicknesses (10-20 μm) suitable for manufacturing the photodiode structures operable at 8-14 μm spectrum range were grown on B oriented Cd 0 .96Zn 0 ,04Te polished and repolished substrates. The growth was carried out in the temperature range 500-480 degrees Celsium with cooling rates 0.05-0.1 degrees Celsium/min in a sealed quartz ampoule using the original apparatus for LPE. The attention was paid mainly to the surface morphological quality, good decantation from the layers, uniformity of composition and thickness of films. One of the limitations of the most LPE growth apparatus (cassettes) with slider or tipping system is their impossibility to wipe the last drop of growth solution from the surface of just-grown epilayer. Some remnant or residual of the growth solution tends to adhere to the surface of the epilayer after growth in such apparatus and strongly affect the surface quality. The novel apparatus for LPE providing the surface without unwanted residual drops of melt solution of Hg, Cd and Te was developed with the aim of solving such a problem. The effect of different steps of LPE growth on morphology and composition of epitaxial layers was studied. By holding the CdZnTe substrate inside the growth ampoule at the melt homogenization temperature during of 15-50 min without contact with the melt resulted in visually (using the Leitzorthoplan microscopes x 500-1000) observed surface roughness. Using the expressions for the Te-angle of Hg-Cd-Te phase diagram the effect of the preliminary synthesis of the source on liquidus temperature and composition of the epilayers was numerically evaluated. HgCdTe layers were characterized using

  7. Separation of stress-free AlN/SiC thin films from Si substrate

    International Nuclear Information System (INIS)

    Redkov, A V; Osipov, A V; Mukhin, I S; Kukushkin, S A

    2016-01-01

    We separated AlN/SiC film from Si substrate by chemical etching of the AlN/SiC/Si heterostructure. The film fully repeats the size and geometry of the original sample and separated without destroying. It is demonstrated that a buffer layer of silicon carbide grown by a method of substitution of atoms may have an extensive hollow subsurface structure, which makes it easier to overcome the differences in the coefficients of thermal expansion during the growth of thin films. It is shown that after the separation of the film from the silicon substrate, mechanical stresses therein are almost absent. (paper)

  8. Transparent SiON/Ag/SiON multilayer passivation grown on a flexible polyethersulfone substrate using a continuous roll-to-roll sputtering system

    Science.gov (United States)

    2012-01-01

    We have investigated the characteristics of a silicon oxynitride/silver/silicon oxynitride [SiON/Ag/SiON] multilayer passivation grown using a specially designed roll-to-roll [R2R] sputtering system on a flexible polyethersulfone substrate. Optical, structural, and surface properties of the R2R grown SiON/Ag/SiON multilayer were investigated as a function of the SiON thickness at a constant Ag thickness of 12 nm. The flexible SiON/Ag/SiON multilayer has a high optical transmittance of 87.7% at optimized conditions due to the antireflection and surface plasmon effects in the oxide-metal-oxide structure. The water vapor transmission rate of the SiON/Ag/SiON multilayer is 0.031 g/m2 day at an optimized SiON thickness of 110 nm. This indicates that R2R grown SiON/Ag/SiON is a promising thin-film passivation for flexible organic light-emitting diodes and flexible organic photovoltaics due to its simple and low-temperature process. PMID:22221400

  9. Investigations on structural and electrical parameters of p-Si/ MgxZn1-xO thin film heterojunction diodes grown by RF magnetron sputtering technique

    Science.gov (United States)

    Singh, Satyendra Kumar; Hazra, Purnima

    2018-05-01

    This work reports fabrication and characterization of p-Si/ MgxZn1-xO thin film heterojunction diodes grown by RF magnetron sputtering technique. In this work, ZnO powder was mixed with MgO powder at per their weight percentage from 0 to 10% to prepare MgxZn1-xO target. The microstructural, surface morphological and optical properties of as-deposited p-Si/MgxZn1-xO heterostructure thin films have been studied using X-ray Diffraction, atomic force microscopy and variable angle ellipsometer. XRD spectra exhibit that undoped ZnO thin films has preferred crystal orientation in (002) plane. However, with increase in Mg-doping, ZnO (101) crystal plane is enhanced progressively due to phase segregation, even though preferred growth orientation of ZnO crystals is still towards (002) plane. The electrical characteristics of Si/ MgxZn1-xO heterojunction diodes with large area Al/Ti ohmic contacts are evaluated using semiconductor parameter analyzer. With rectification ratio of 27894, reverse saturation current of 20.5 nA and barrier height of 0.724 eV, Si/Mg0.5Zn0.95O thin film heterojunction diode is believed to have potential to be used in wider bandgap nanoelectronic device applications.

  10. Magnetron-sputter epitaxy of β-FeSi2(220)/Si(111) and β-FeSi2(431)/Si(001) thin films at elevated temperatures

    International Nuclear Information System (INIS)

    Liu Hongfei; Tan Chengcheh; Chi Dongzhi

    2012-01-01

    β-FeSi 2 thin films have been grown on Si(111) and Si(001) substrates by magnetron-sputter epitaxy at 700 °C. On Si(111), the growth is consistent with the commonly observed orientation of [001]β-FeSi 2 (220)//[1-10]Si(111) having three variants, in-plane rotated 120° with respect to one another. However, on Si(001), under the same growth conditions, the growth is dominated by [-111]β-FeSi 2 (431)//[110]Si(001) with four variants, which is hitherto unknown for growing β-FeSi 2 . Photoelectron spectra reveal negligible differences in the valance-band and Fe2p core-level between β-FeSi 2 grown on Si(111) and Si(001) but an apparent increased Si-oxidization on the surface of β-FeSi 2 /Si(001). This phenomenon is discussed and attributed to the Si-surface termination effect, which also suggests that the Si/Fe ratio on the surface of β-FeSi 2 (431)/Si(001) is larger than that on the surface of β-FeSi 2 (220)/Si(111).

  11. Electrically modulated lateral photovoltage in μc-SiOx:H/a-Si:H/c-Si p-i-n structure at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jihong; Qiao, Shuang, E-mail: sqiao@hbu.edu.cn; Wang, Jianglong; Wang, Shufang, E-mail: sfwang@hbu.edu.cn; Fu, Guangsheng

    2017-04-15

    Graphical abstract: In this paper, the temperature dependence of the LPE has been experimentally studied under illumination of different lasers ranging from visible to infrared for the μc-SiOx:H/a-Si:H/c-Si p-i-n structure. It was found that the position sensitivity increases nearly linearly with wavelength from 405 nm to 980 nm in the whole temperature range, and the saturated position sensitivity decreased quickly from 32.4 mV/mm to a very low value of 1.26 mV/mm and the nonlinearity improved from 7.01% to 3.54% with temperature decreasing from 296 K to 80 K for 532 nm laser illumination. By comparing the experiment results of μc-SiOx:H/a-Si:H/c-Si and ITO/c-Si, it is suggest that the position sensitivity was mainly determined by the temperature-dependent SB and the nonlinearity was directly related to the decreased resistivity of conductive layer. When an external bias voltage was applied, the LPE improved greatly and the position sensitivity of 361.35 mV/mm under illumination of 80 mW at 80 K is 286.7 times as large as that without biased voltage. More importantly, both the position sensitivity and the nonlinearity were independent of temperature again, which can be ascribed to the large constant transmission probability and diffusion length induced by the greatly increased SB. Our research provides an essential insight on the bias voltage-modulated LPE at different temperatures, and this temperature-independent greatly improved LPE is thought to be very useful for developing novel photoelectric devices. - Highlights: • The LPE is proportional to the laser wavelength in the whole temperature range. • The LPE decreases gradually with decreasing temperature from 296 K to 80 K. • Nonlinearity of the LPV curve improves a little with decreasing temperature. • The LPE improves dramatically and is independent of temperature with the aid of a bias voltage. - Abstract: The lateral photovoltaic effect (LPE) in μc-SiOx:H/a-Si:H/c-Si p-i-n structure is studied

  12. STM studies of GeSi thin layers epitaxially grown on Si(111)

    Science.gov (United States)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  13. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  14. Surface segregation as a means of gettering Cu in liquid-phase-epitaxy silicon thin layers grown from Al-Cu-Si solutions

    Energy Technology Data Exchange (ETDEWEB)

    Wang, T.H.; Ciszek, T.F.; Reedy, R.; Asher, S.; King, D. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    The authors demonstrate that, by using the natural surface segregation phenomenon, Cu can be gettered to the surface from the bulk of silicon layers so that its concentrations in the liquid-phase-epitaxy (LPE) layers are much lower than its solubility at the layer growth temperature and the reported 10{sup 17} cm{sup {minus}3} degradation threshold for solar-cell performance. Secondary-ion mass spectroscopy (SIMS) analysis indicates that, within a micron-deep sub-surface region, Cu accumulates even in as-grown LPE samples. Slower cooling after growth to room temperature enhances this Cu enrichment. X-ray photoelectron spectroscopy (XPS) measurement shows as much as 3.2% Cu in a surface region of about 50 {Angstrom}. More surface-sensitive, ion-scattering spectroscopy (ISS) analysis further reveals about 7% of Cu at the top surface. These results translate to an areal gettering capacity of about 1.0 x 10{sup 16} cm{sup {minus}2}, which is higher than the available total-area density of Cu in the layer and substrate (3.6 x 10{sup 15} cm{sup {minus}2} for a uniform 1.2 x 10{sup 17}cm{sup {minus}3} Cu throughout the layer and substrate with a total thickness of 300 {mu}m).

  15. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  16. Gracing incidence small angle neutron scattering of incommensurate magnetic structures in MnSi thin films

    Energy Technology Data Exchange (ETDEWEB)

    Wiedemann, Birgit; Pfleiderer, Christian; Boeni, Peter [Physik Department, Technische Universitaet Muenchen (Germany); Zhang, Shilei; Hesjedal, Thorsten [Clarendon Laboratory, Department of Physics, University of Oxford (United Kingdom); Khaydukov, Yury; Soltwedel, Olaf; Keller, Thomas [Max-Planck-Institut fuer Festkoerperforschung (Germany); Max Planck Society, Outstation at FRM-II (Germany); Muehlbauer, Sebastian [Forschungsneutronenquelle Heinz Maier Leibnitz, Technische Universitaet Muenchen (Germany); Chacon, Alfonso [Physik Department, Technische Universitaet Muenchen (Germany); Forschungsneutronenquelle Heinz Maier Leibnitz, Technische Universitaet Muenchen (Germany)

    2015-07-01

    The topological stability of skyrmions in bulk samples of MnSi and the observation of spin transfer torque effects at ultra-low current densities have generated great interest in skyrmions in chiral magnets as a new route towards next generation spintronics devices. Yet, the formation of skyrmions in MBE grown thin films of MnSi reported in the literature is highly controversial. We report gracing incidence small angle neutron scattering (GISANS) of the magnetic order in selected thin films of MnSi grown by state of the art MBE techniques. In combination with polarised neutron reflectometry (PNR) and magnetisation measurements of the same samples our data provide direct reciprocal space information of the incommensurate magnetic order, clarifying the nature of magnetic phase diagram.

  17. Fast X-ray detection systems based on GaAs diodes grown by LPE

    International Nuclear Information System (INIS)

    Rente, C.; Lauter, J.; Apetz, R.; Lueth, H.

    1996-01-01

    We report on the fabrication and characterization of GaAs based X-ray detectors. The detector structures are grown by liquid phase epitaxy (LPE) and show typical background doping in the order of 10 14 cm -3 (n-type) so that active regions up to 43 μm could be realized. Schottky diodes were processed with active areas up to 1mm 2 . Typical dark current densities are as low as 360pA/mm 2 at 100V. The energy resolution of the detector in combination with a charge sensitive preamplifier was determined to be 1.6keV (FWHM) for x-rays with an energy between 6 and 60keV. The time response of the devices coupled to a fast transimpedance amplifier with a bandwidth of 100MHz was investigated. Single photon detection at room temperature was achieved for X-rays having energies of 14 keV and higher. The measured time resolutions were 600ps (FWHM=1.4ns) and 430ps (FWHM=1.0ns) for X-ray photons of 14.4keV and 21.5keV, respectively. The efficiency of the detector having a 43μm thick depleted layer was determined to be 70% at 14.4 keV and 40% at 21.5keV. These detectors open a new field of X-ray spectroscopy especially for high rate applications and timing measurements at synchrotron radiation facilities

  18. Role of low O 2 pressure and growth temperature on electrical transport of PLD grown ZnO thin films on Si substrates

    Science.gov (United States)

    Pandis, Ch.; Brilis, N.; Tsamakis, D.; Ali, H. A.; Krishnamoorthy, S.; Iliadis, A. A.

    2006-06-01

    Undoped ZnO thin films have been grown on (100) Si substrates by pulsed laser deposition. The effect of growth parameters such as temperature, O 2 partial pressure and laser fluence on the structural and electrical properties of the films has been investigated. It is shown that the well-known native n-type conductivity, attributed to the activation of hydrogenic donor states, exhibits a conversion from n-type to p-type when the O 2 partial pressure is reduced from 10 -4 to 10 -7 Torr at growth temperatures lower than 400 °C. The p-type conductivity could be attributed to the dominant role of the acceptor Zn vacancies for ZnO films grown at very low O 2 pressures.

  19. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  20. Thermoelectric Properties of Nanograined Si-Ge-Au Thin Films Grown by Molecular Beam Deposition

    Science.gov (United States)

    Nishino, Shunsuke; Ekino, Satoshi; Inukai, Manabu; Omprakash, Muthusamy; Adachi, Masahiro; Kiyama, Makoto; Yamamoto, Yoshiyuki; Takeuchi, Tsunehiro

    2018-06-01

    Conditions to achieve extremely large Seebeck coefficient and extremely small thermal conductivity in Si-Ge-Au thin films formed of nanosized grains precipitated in amorphous matrix have been investigated. We employed molecular beam deposition to prepare Si1- x Ge x Au y thin films on sapphire substrate. The deposited films were annealed under nitrogen gas atmosphere at 300°C to 500°C for 15 min to 30 min. Nanocrystals dispersed in amorphous matrix were clearly observed by transmission electron microscopy. We did not observe anomalously large Seebeck coefficient, but very low thermal conductivity of nearly 1.0 W K-1 m-1 was found at around 0.2 Si-Ge bulk material for which dimensionless figure of merit of ZT ≈ 1 was reported at high temperature.

  1. Rutile TiO2 thin films grown by reactive high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Agnarsson, B.; Magnus, F.; Tryggvason, T.K.; Ingason, A.S.; Leosson, K.; Olafsson, S.; Gudmundsson, J.T.

    2013-01-01

    Thin TiO 2 films were grown on Si(001) substrates by reactive dc magnetron sputtering (dcMS) and high power impulse magnetron sputtering (HiPIMS) at temperatures ranging from 300 to 700 °C. Optical and structural properties of films were compared both before and after post-annealing using scanning electron microscopy, low angle X-ray reflection (XRR), grazing incidence X-ray diffractometry and spectroscopic ellipsometry. Both dcMS- and HiPIMS-grown films reveal polycrystalline rutile TiO 2 , even prior to post-annealing. The HiPIMS-grown films exhibit significantly larger grains compared to that of dcMC-grown films, approaching 100% of the film thickness for films grown at 700 °C. In addition, the XRR surface roughness of HiPIMS-grown films was significantly lower than that of dcMS-grown films over the whole temperature range 300–700 °C. Dispersion curves could only be obtained for the HiPIMS-grown films, which were shown to have a refractive index in the range of 2.7–2.85 at 500 nm. The results show that thin, rutile TiO 2 films, with high refractive index, can be obtained by HiPIMS at relatively low growth temperatures, without post-annealing. Furthermore, these films are smoother and show better optical characteristics than their dcMS-grown counterparts. - Highlights: • We demonstrate growth of rutile TiO 2 on Si (111) by high power impulse magnetron sputtering. • The films exhibit significantly larger grains than dc magnetron sputtered films • TiO 2 films with high refractive index are obtained without post-growth annealing

  2. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  3. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  4. Growth process and structure of Er/Si(100) thin film

    International Nuclear Information System (INIS)

    Fujii, S.; Michishita, Y.; Miyamae, N.; Suto, H.; Honda, S.; Okado, H.; Oura, K.; Katayama, M.

    2006-01-01

    The solid-phase reactive epitaxial growth processes and structures of Er/Si(100) thin films were investigated by coaxial impact-collision ion scattering spectroscopy (CAICISS), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The as-deposited Er film grown at room temperature was transformed into crystalline rectangular-shaped islands after annealing at 900 deg. C. These islands have a hexagonal AlB 2 -type structure and the epitaxial relationship is determined to be ErSi 2 (011-bar0)[0001]//Si(100)[011-bar]. It has been revealed that the surface of the Er silicide island is terminated with an Er plane

  5. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  6. Effect of Si ion irradiation on polycrystalline CdS thin film grown from novel photochemical deposition technique

    International Nuclear Information System (INIS)

    Soundeswaran, S.; Senthil Kumar, O.; Ramasamy, P.; Kabi Raj, D.; Avasthi, D.K.; Dhanasekaran, R.

    2005-01-01

    CdS thin films have been deposited from aqueous solution by photochemical reactions. The solution contains Cd(CH 3 COO) 2 and Na 2 S 2 O 3 , and pH is controlled in an acidic region by adding H 2 SO 4 . The solution is illuminated with light from a high-pressure mercury-arc lamp. CdS thin films are formed on a glass substrate by the heterogeneous nucleation and the deposited thin films have been subjected to high-energy Si ion irradiations. Si ion irradiation has been performed with an energy of 80 MeV at fluences of 1x10 11 , 1x10 12 , 1x10 13 and 1x10 14 ions/cm 2 using tandem pelletron accelerator. The irradiation-induced changes in CdS thin films are studied using XRD, Raman spectroscopy and photoluminescence. Broadening of the PL emission peak were observed with increasing irradiation fluence, which could be attributed to the band tailing effect of the Si ion irradiation. The lattice disorder takes place at high Si ion fluences

  7. Characterization of nano-powder grown ultra-thin film p-CuO/n-Si hetero-junctions by employing vapour-liquid-solid method for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Sultana, Jenifar; Das, Anindita [Centre for Research in Nanoscience and Nanotechnology (CRNN), Kolkata 700098 (India); Das, Avishek [Department of Electronic Science, University of Calcutta, Kolkata 700009 (India); Saha, Nayan Ranjan [Department of Polymer Science and Technology, University of Calcutta, Kolkata 700009 (India); Karmakar, Anupam [Department of Electronic Science, University of Calcutta, Kolkata 700009 (India); Chattopadhyay, Sanatan, E-mail: scelc@caluniv.ac.in [Department of Electronic Science, University of Calcutta, Kolkata 700009 (India)

    2016-08-01

    In this work, the CuO nano-powder has been synthesized by employing chemical bath deposition technique for its subsequent use to grow ultrathin film (20 nm) of p-CuO on n-Si substrate for the fabrication of p-CuO/n-Si hetero-junction diodes. The thin CuO film has been grown by employing vapour-liquid-solid method. The crystalline structure and chemical phase of the film are characterized by employing field-emission scanning electron microscopy and X-ray diffraction studies. Chemical stoichiometry of the film has been confirmed by using energy dispersive X-ray spectroscopy. The potential for photovoltaic applications of such films is investigated by measuring the junction current-voltage characteristics and by extracting the relevant parameters such as open circuit photo-generated voltage, short circuit current density, fill-factor and energy conversion efficiency. - Highlights: • Synthesis of CuO nano-powder by CBD method • Growth of ultra-thin film of CuO by employing VLS method for the first time • Physical and electrical characterization of such films for photovoltaic applications • Estimation of energy conversion efficiency of the p-CuO/n-Si p-n junction solar cell.

  8. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  9. Low-Temperature, Chemically Grown Titanium Oxide Thin Films with a High Hole Tunneling Rate for Si Solar Cells

    Directory of Open Access Journals (Sweden)

    Yu-Tsu Lee

    2016-05-01

    Full Text Available In this paper, we propose a chemically grown titanium oxide (TiO2 on Si to form a heterojunction for photovoltaic devices. The chemically grown TiO2 does not block hole transport. Ultraviolet photoemission spectroscopy was used to study the band alignment. A substantial band offset at the TiO2/Si interface was observed. X-ray photoemission spectroscopy (XPS revealed that the chemically grown TiO2 is oxygen-deficient and contains numerous gap states. A multiple-trap-assisted tunneling (TAT model was used to explain the high hole injection rate. According to this model, the tunneling rate can be 105 orders of magnitude higher for holes passing through TiO2 than for flow through SiO2. With 24-nm-thick TiO2, a Si solar cell achieves a 33.2 mA/cm2 photocurrent on a planar substrate, with a 9.4% power conversion efficiency. Plan-view scanning electron microscopy images indicate that a moth-eye-like structure formed during TiO2 deposition. This structure enables light harvesting for a high photocurrent. The high photocurrent and ease of production of chemically grown TiO2 imply that it is a suitable candidate for future low-cost, high-efficiency solar cell applications.

  10. Enhanced dielectric properties of thin Ta{sub 2}O{sub 5} films grown on 65 nm SiO{sub 2}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Kolkovsky, Vl.; Kurth, E.; Kunath, C. [IPMS Fraunhofer, Dresden, Maria-Reiche Str. 2, 01109 Dresden (Germany)

    2016-12-15

    The structural and electrical properties of Ta{sub 2}O{sub 5}/65 nm SiO{sub 2} structures with different thicknesses of Ta{sub 2}O{sub 5} varying in the range of 0-260 nm are investigated. We find that the stack structures grown by the magnetron sputtering technique and annealed at 1220 K in O and Ar atmosphere show one of the highest dielectric constant of Ta{sub 2}O{sub 5}(about 64) among those previously reported in the literature. The structure of the annealed polycrystalline Ta{sub 2}O{sub 5} films is orthorhombic, as obtained from X-ray diffraction measurements and we do not observe any preferential orientation of the annealed films. The Ta{sub 2}O{sub 5} films contain positively charged defects which become mobile at around 400 K and they are tentatively correlated with the oxygen vacancies. The leakage current in the stack structures is a factor of 20 higher compared to that in thin layers with 65 nm SiO{sub 2}. The conduction mechanism in the stack structures can be described by the Fowler-Nordheim model with a barrier height that decreases slightly (<10%) as a function of the thickness of the films. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. InGaAs quantum dots grown by molecular beam epitaxy for light emission on Si substrates.

    Science.gov (United States)

    Bru-Chevallier, C; El Akra, A; Pelloux-Gervais, D; Dumont, H; Canut, B; Chauvin, N; Regreny, P; Gendry, M; Patriarche, G; Jancu, J M; Even, J; Noe, P; Calvo, V; Salem, B

    2011-10-01

    The aim of this study is to achieve homogeneous, high density and dislocation free InGaAs quantum dots grown by molecular beam epitaxy for light emission on silicon substrates. This work is part of a project which aims at overcoming the severe limitation suffered by silicon regarding its optoelectronic applications, especially efficient light emission device. For this study, one of the key points is to overcome the expected type II InGaAs/Si interface by inserting the InGaAs quantum dots inside a thin silicon quantum well in SiO2 fabricated on a SOI substrate. Confinement effects of the Si/SiO2 quantum well are expected to heighten the indirect silicon bandgap and then give rise to a type I interface with the InGaAs quantum dots. Band structure and optical properties are modeled within the tight binding approximation: direct energy bandgap is demonstrated in SiO2/Si/InAs/Si/SiO2 heterostructures for very thin Si layers and absorption coefficient is calculated. Thinned SOI substrates are successfully prepared using successive etching process resulting in a 2 nm-thick Si layer on top of silica. Another key point to get light emission from InGaAs quantum dots is to avoid any dislocations or defects in the quantum dots. We investigate the quantum dot size distribution, density and structural quality at different V/III beam equivalent pressure ratios, different growth temperatures and as a function of the amount of deposited material. This study was performed for InGaAs quantum dots grown on Si(001) substrates. The capping of InGaAs quantum dots by a silicon epilayer is performed in order to get efficient photoluminescence emission from quantum dots. Scanning transmission electronic microscopy images are used to study the structural quality of the quantum dots. Dislocation free In50Ga50As QDs are successfully obtained on a (001) silicon substrate. The analysis of QDs capped with silicon by Rutherford Backscattering Spectrometry in a channeling geometry is also presented.

  12. LPCVD homoepitaxy of Si doped β-Ga2O3 thin films on (010) and (001) substrates

    Science.gov (United States)

    Rafique, Subrina; Karim, Md Rezaul; Johnson, Jared M.; Hwang, Jinwoo; Zhao, Hongping

    2018-01-01

    This paper presents the homoepitaxy of Si-doped β-Ga2O3 thin films on semi-insulating (010) and (001) Ga2O3 substrates via low pressure chemical vapor deposition with a growth rate of ≥1 μm/h. Both high resolution scanning transmission electron microscopy and X-ray diffraction measurements demonstrated high crystalline quality homoepitaxial growth of these thin films. Atomic resolution STEM images of the as-grown β-Ga2O3 thin films on (010) and (001) substrates show high quality material without extended defects or dislocations. The charge carrier transport properties of the as-grown Si-doped β-Ga2O3 thin films were characterized by the temperature dependent Hall measurement using van der Pauw patterns. The room temperature carrier concentrations achieved for the (010) and (001) homoepitaxial thin films were ˜1.2 × 1018 cm-3 and ˜9.5 × 1017 cm-3 with mobilities of ˜72 cm2/V s and ˜42 cm2/V s, respectively.

  13. Si/Fe flux ratio influence on growth and physical properties of polycrystalline β-FeSi2 thin films on Si(100) surface

    Science.gov (United States)

    Tarasov, I. A.; Visotin, M. A.; Aleksandrovsky, A. S.; Kosyrev, N. N.; Yakovlev, I. A.; Molokeev, M. S.; Lukyanenko, A. V.; Krylov, A. S.; Fedorov, A. S.; Varnakov, S. N.; Ovchinnikov, S. G.

    2017-10-01

    This work investigates the Si/Fe flux ratio (2 and 0.34) influence on the growth of β-FeSi2 polycrystalline thin films on Si(100) substrate at 630 °C. Lattice deformations for the films obtained are confirmed by X-ray diffraction analysis (XRD). The volume unit cell deviation from that of β-FeSi2 single crystal are 1.99% and 1.1% for Si/Fe =2 and Si/Fe =0.34, respectively. Absorption measurements show that the indirect transition ( 0.704 eV) of the Si/Fe =0.34 sample changes to the direct transition with a bandgap value of 0.816 eV for the sample prepared at Si/Fe =2. The absorption spectrum of the Si/Fe =0.34 sample exhibits an additional peak located below the bandgap energy value with the absorption maximum of 0.36 eV. Surface magneto-optic Kerr effect (SMOKE) measurements detect the ferromagnetic behavior of the β-FeSi2 polycrystalline films grown at Si/Fe =0.34 at T=10 K, but no ferromagnetism was observed in the samples grown at Si/Fe =2. Theoretical calculations refute that the cell deformation can cause the emergence of magnetization and argue that the origin of the ferromagnetism, as well as the lower absorption peak, is β-FeSi2 stoichiometry deviations. Raman spectroscopy measurements evidence that the film obtained at Si/Fe flux ratio equal to 0.34 has the better crystallinity than the Si/Fe =2 sample.

  14. Large lateral photovoltaic effect with ultrafast relaxation time in SnSe/Si junction

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xianjie; Zhao, Xiaofeng; Hu, Chang; Zhang, Yang; Song, Bingqian; Zhang, Lingli; Liu, Weilong; Lv, Zhe; Zhang, Yu; Sui, Yu, E-mail: suiyu@hit.edu.cn [Department of Physics, Harbin Institute of Technology, Harbin 150001 (China); Tang, Jinke [Department of Physics and Astronomy, University of Wyoming, Laramie, Wyoming 82071 (United States); Song, Bo, E-mail: songbo@hit.edu.cn [Department of Physics, Harbin Institute of Technology, Harbin 150001 (China); Academy of Fundamental and Interdisciplinary Sciences, Harbin Institute of Technology, Harbin 150001 (China)

    2016-07-11

    In this paper, we report a large lateral photovoltaic effect (LPE) with ultrafast relaxation time in SnSe/p-Si junctions. The LPE shows a linear dependence on the position of the laser spot, and the position sensitivity is as high as 250 mV mm{sup −1}. The optical response time and the relaxation time of the LPE are about 100 ns and 2 μs, respectively. The current-voltage curve on the surface of the SnSe film indicates the formation of an inversion layer at the SnSe/p-Si interface. Our results clearly suggest that most of the excited-electrons diffuse laterally in the inversion layer at the SnSe/p-Si interface, which results in a large LPE with ultrafast relaxation time. The high positional sensitivity and ultrafast relaxation time of the LPE make the SnSe/p-Si junction a promising candidate for a wide range of optoelectronic applications.

  15. H{sub 2}-Ar dilution for improved c-Si quantum dots in P-doped SiN{sub x}:H thin film matrix

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jia [Shaanxi Engineering Lab for Advanced Energy Technology, School of Materials Science and Engineering, Shaanxi Normal University, Xi’an 710119 (China); Zhang, Weijia, E-mail: zwjghx@126.com [Center of Condensed Matter and Material Physics, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing, 100191 (China); Liu, Shengzhong, E-mail: szliu@dicp.ac.cn [Shaanxi Engineering Lab for Advanced Energy Technology, School of Materials Science and Engineering, Shaanxi Normal University, Xi’an 710119 (China); State key Laboratory of Catalysis, iChEM, Dalian Institute of Chemical Physics, Dalian National Laboratory for Clean Energy, Chinese Academy of Sciences, Dalian 116023 (China)

    2017-02-28

    Highlights: • Phosphorous-doped SiN{sub x}:H thin films containing c-Si QDs were prepared by PECVD in H{sub 2}-Ar mixed dilution under low temperature. • QD density and QD size can be controlled by tuning H{sub 2}/Ar flow ratio. • The sample prepared at the H{sub 2}/Ar flow ratio of 100/100 possesses both wide band gap and excellent conductivity. • Detail discussion has been presented for illustrating the influence of H{sub 2}/Ar mixed dilution on the crystallization process and P-doping. - Abstract: Phosphorus-doped hydrogenated silicon nitride (SiN{sub x}:H) thin films containing crystalline silicon quantum dot (c-Si QD) was prepared by plasma enhanced chemical vapor deposition (PECVD) using hydrogen-argon mixed dilution. The effects of H{sub 2}/Ar flow ratio on the structural, electrical and optical characteristics of as-grown P-doped SiN{sub x}:H thin films were systematically investigated. Experimental results show that crystallization is promoted by increasing the H{sub 2}/Ar flow ratio in dilution, while the N/Si atomic ratio is higher for thin film deposited with argon-rich dilution. As the H{sub 2}/Ar flow ratio varies from 100/100 to 200/0, the samples exhibit excellent conductivity owing to the large volume fraction of c-Si QDs and effective P-doping. By adjusting the H{sub 2}/Ar ratio to 100/100, P-doped SiN{sub x}:H thin film containing tiny and densely distributed c-Si QDs can be obtained. It simultaneously possesses wide optical band gap and high dark conductivity. Finally, detailed discussion has been made to analyze the influence of H{sub 2}-Ar mixed dilution on the properties of P-doped SiN{sub x}:H thin films.

  16. Luminescent and scintillation properties of Bi{sup 3+} doped Y{sub 2}SiO{sub 5} and Lu{sub 2}SiO{sub 5} single crystalline films

    Energy Technology Data Exchange (ETDEWEB)

    Zorenko, Yu., E-mail: zorenko@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University in Bydgoszcz, 85-090 Bydgoszcz (Poland); Gorbenko, V.; Zorenko, T. [Institute of Physics, Kazimierz Wielki University in Bydgoszcz, 85-090 Bydgoszcz (Poland); Laboratory for Optoelectronic Materials (LOM), Department of Electronics of Ivan Franko National University of Lviv, 79017 Lviv (Ukraine); Malinowski, P. [Institute of Physics, Kazimierz Wielki University in Bydgoszcz, 85-090 Bydgoszcz (Poland); Jary, V.; Kucerkova, R.; Beitlerova, A.; Mares, J.A.; Nikl, M. [Institute of Physics AS CR, Cukrovarnicka 10, 16253 Prague (Czech Republic); Fedorov, A. [Institute for Single Crystals NAS of Ukraine, 60 Lenin ave., 61001 Kharkiv (Ukraine)

    2014-10-15

    In this paper we report our follow-up research on the Bi{sup 3+} luminescence in orthosilicate compounds, focusing on absorption, luminescent and scintillation properties of YSO:Bi and LSO:Bi SCFs with the Bi concentration ranging from 0.05 to 0.18 at%. For purpose of this research, single crystalline films (SCF) of Y{sub 2}SiO{sub 5}:Bi and Lu{sub 2}SiO{sub 5}:Bi have been grown by the LPE method onto YSO and LSO substrates from the melt-solution based on Bi{sub 2}O{sub 3} flux. - Highlights: • YSO:Bi and LSO:Bi films have been grown by liquid phase epitaxy. • Bi{sup 3+} absorption and luminescence depends on Bi concentration. • Scintillation properties of YSO:Bi and LSO:Bi films have been studied.

  17. Structural and electrical properties of Ge(111) films grown on Si(111) substrates and application to Ge(111)-on-Insulator

    Energy Technology Data Exchange (ETDEWEB)

    Sawano, K., E-mail: sawano@tcu.ac.jp [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Hoshi, Y.; Kubo, S. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Arimoto, K.; Yamanaka, J.; Nakagawa, K. [Center for Crystal Science and Technology, University of Yamanashi, 7 Miyamae-cho, Kofu (Japan); Hamaya, K. [Graduate School of Engineering Science, Osaka University, Toyonaka, Osaka (Japan); Miyao, M. [Department of Electronics, Kyushu University, 744 Motooka, Fukuoka (Japan); Shiraki, Y. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan)

    2016-08-31

    Structural and electrical properties of a Ge(111) layer directly grown on a Si(111) substrate are studied. Via optimized two-step growth manner, we form a high-quality relaxed Ge layer, where strain-relieving dislocations are confined close to a Ge/Si interface. Consequently, a density of holes, which unintentionally come from crystal defects, is highly suppressed below 4 × 10{sup 16} cm{sup −3}, which leads to significantly high hole Hall mobility exceeding 1500 cm{sup 2}/Vs at room temperature. By layer transfer of the grown Ge layer, we also fabricate a Ge(111)-on-Insulator, which is a promising template for high-performance Ge-based electronic and photonic devices. - Highlights: • A high-quality Ge layer is epitaxially grown on a Si(111) by two-step growth manner. • Growth conditions, such as growth temperatures, are optimized. • Very high hole mobility is obtained from Ge(111) grown on Si(111). • High-quality thin Ge-on-Insulator with (111) orientation is obtained.

  18. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    International Nuclear Information System (INIS)

    Cecchi, S.; Chrastina, D.; Frigerio, J.; Isella, G.; Gatti, E.; Guzzi, M.; Müller Gubler, E.; Paul, D. J.

    2014-01-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si 1−x Ge x buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si 1−x Ge x layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach

  19. On the dielectric and optical properties of surface-anchored metal-organic frameworks: A study on epitaxially grown thin films

    Science.gov (United States)

    Redel, Engelbert; Wang, Zhengbang; Walheim, Stefan; Liu, Jinxuan; Gliemann, Hartmut; Wöll, Christof

    2013-08-01

    We determine the optical constants of two highly porous, crystalline metal-organic frameworks (MOFs). Since it is problematic to determine the optical constants for the standard powder modification of these porous solids, we instead use surface-anchored metal-organic frameworks (SURMOFs). These MOF thin films are grown using liquid phase epitaxy (LPE) on modified silicon substrates. The produced SURMOF thin films exhibit good optical properties; these porous coatings are smooth as well as crack-free, they do not scatter visible light, and they have a homogenous interference color over the entire sample. Therefore, spectroscopic ellipsometry (SE) can be used in a straightforward fashion to determine the corresponding SURMOF optical properties. After careful removal of the solvent molecules used in the fabrication process as well as the residual water adsorbed in the voids of this highly porous solid, we determine an optical constant of n = 1.39 at a wavelength of 750 nm for HKUST-1 (stands for Hong Kong University of Science and Technology-1; and was first discovered there) or [Cu3(BTC)2]. After exposing these SURMOF thin films to moisture/EtOH atmosphere, the refractive index (n) increases to n = 1.55-1.6. This dependence of the optical properties on water/EtOH adsorption demonstrates the potential of such SURMOF materials for optical sensing.

  20. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  1. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  2. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  3. Marbled texture of sputtered Al/Si alloy thin film on Si

    Energy Technology Data Exchange (ETDEWEB)

    Gentile, M.G. [Physics Department and NIS Interdepartmental Center, University of Torino, via P. Giuria 1, 10125 Torino (Italy); Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Muñoz-Tabares, J.A.; Chiodoni, A. [Istituto Italiano di Tecnologia, Center for Space Human Robotics, Corso Trento 21, 10129 Torino (Italy); Sgorlon, C. [Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Para, I. [Department of Applied Science and Technology (DISAT), Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Torino (Italy); Carta, R.; Richieri, G. [Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Bejtka, K. [Istituto Italiano di Tecnologia, Center for Space Human Robotics, Corso Trento 21, 10129 Torino (Italy); Merlin, L. [Vishay Intertechnology, Diodes Division, Via Liguria 49, 10071 Borgaro Torinese, Turin (Italy); Vittone, E. [Physics Department and NIS Interdepartmental Center, University of Torino, via P. Giuria 1, 10125 Torino (Italy)

    2016-08-01

    DC magnetron sputtering is a commonly used technique for the fabrication of silicon based electronic devices, since it provides high deposition rates and uniform large area metallization. However, in addition to the thickness uniformity, coating optical uniformity is a crucial need for semiconductor industrial processes, due to the wide use of optical recognition tools. In the silicon-based technology, aluminum is one of the most used materials for the metal contact. Both the pre-deposition substrate cleaning and the sputtering conditions determine the quality and the crystalline properties of the final Al deposited film. In this paper is shown that not all the mentioned conditions lead to good quality and uniform Al films. In particular, it is shown that under certain standard process conditions, Al/Si alloy (1% Si) metallization on a [100] Si presents a non-uniform reflectivity, with a marbled texture caused by flakes with milky appearance. This optical inhomogeneity is found to be caused by the coexistence of randomly orient Al/Si crystal, with heteroepitaxial Al/Si crystals, both grown on Si substrate. Based on the microstructural analysis, some strategies to mitigate or suppress this marbled texture of the Al thin film are proposed and discussed. - Highlights: • Sputtered Al/Si layers deposited on Si present evident optical non-uniformity • It could be an issue for optical recognition tools used in semiconductor industries • Optical non-uniformity is due to randomly oriented growth of Al grains. • Substrate misorientation and process temperature can mitigate the problem.

  4. Kollektiv intelligens skal hjælpe topledelsen

    DEFF Research Database (Denmark)

    Lund Pedersen, Carsten; Kenneth, Andersen

    2015-01-01

    Et forskningsprojekt i TDC skal hjælpe organisationen med at træffe hurtigere og bedre beslutninger. Tesen er, at der hver dag går viden tabt blandt frontmedarbejderne, som har stor værdi for direktionen. Den viden skal frem i lyset og anvendes.......Et forskningsprojekt i TDC skal hjælpe organisationen med at træffe hurtigere og bedre beslutninger. Tesen er, at der hver dag går viden tabt blandt frontmedarbejderne, som har stor værdi for direktionen. Den viden skal frem i lyset og anvendes....

  5. Growth behavior of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films on graphene substrate grown by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Kang, Min A.; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kang, Seong Gu [School of Electrical Engineering and Computer Science, Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-03-15

    A comparative study of the substrate effect on the growth mechanism of chalcogenide Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was carried out. Obvious microstructural discrepancy in both the as-deposited Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was observed when grown on graphene or SiO{sub 2}/Si substrate. Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films deposited on the graphene substrate were observed to be grown epitaxially along c-axis and show very smooth surface compared to that on SiO{sub 2}/Si substrate. Based on the experimental results of this study, the initial adsorption sites on graphene substrate during deposition process, which had been discussed theoretically, could be demonstrated empirically. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Performance of RF sputtered p-Si/n-ZnO nanoparticle thin film heterojunction diodes in high temperature environment

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Satyendra Kumar, E-mail: satyndra.singh.eee09@itbhu.ac.in [Department of Electronics and Communication Engineering, Model Institute of Engineering and Technology, Jammu, 181122 (India); Department of Electronics and Communication Engineering, Motilal Nehru National Institute of Technology, Allahabad, Uttar Pradesh, 211004 (India); Hazra, Purnima, E-mail: purnima.hazra@smvdu.ac.in [Department of Electronics and Communication Engineering, Shri Mata Vaishno Devi University, Katra, Jammu and Kashmir, 182320 (India)

    2017-04-01

    Highlights: • Synthesize ZnO nanoparticle thin film on p-Si substrate using RF sputtering method. • I–V and C–V characteristics of Si/ZnO heterojunction diode are studied. • High temperature performance is analyzed accounting barrier height inhomogeneities. • Gaussian distribution of BH inhomogeneities is considered to modify Richardson plot. • Modified R constant is 33.06 Acm{sup −2}K{sup −2}, i.e. nearer to theoretical value 32 Acm{sup −2}K{sup −2}. - Abstract: In this article, temperature-dependent current-voltage characteristics of n-ZnO/p-Si nanoparticle thin film heterojunction diode grown by RF sputtering technique are analyzed in the temperature range of 300–433 k to investigate the performance of the device in high temperature environment. The microstructural, morphological, optical and temptrature dependent electrical properties of as-grown nanoparticle thin film were characterized by X-ray diffractometer (XRD), atomic force microscopy (AFM), field emmision scanning electron microscopy (FESEM), energy-dispersive X-ray spectroscopy (EDX), variable angle ellipsometer and semiconductor device analyzer. XRD spectra of as-grown ZnO films are exhibited that highly c-axis oriented ZnO nanostructures are grown on p- Si〈100〉 substrate whereas AFM and FESEM images confirm the homogeneous deposition of ZnO nanoparticles on surface of Si substratewith minimum roughness.The optical propertiesof as-grown ZnO nanoparticles have been measured in the spectral range of 300–800 nm using variable angle ellipsometer.To measure electrical parameters of the device prototype in the temperature range of room temperature (300 K) to 433 K, large area ohmic contacts were fabricated on both side of the ZnO/Si heterostructure. From the current-voltage charcteristics of ZnO/Si heterojunction device, it is observed that the device exhibits rectifing nature at room temperature. However, with increase in temperature, reverse saturation current and barrier

  7. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  8. The properties of TiN ultra-thin films grown on SiO{sub 2} substrate by reactive high power impulse magnetron sputtering under various growth angles

    Energy Technology Data Exchange (ETDEWEB)

    Shayestehaminzadeh, S., E-mail: ses30@hi.is [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Tryggvason, T.K. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Karlsson, L. [School of Engineering and Science, Jacobs University Bremen, Campus Ring 1, 28759 Bremen (Germany); Olafsson, S. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Gudmundsson, J.T. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); University of Michigan-Shanghai Jiao Tong University, University Joint Institute, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 200240 (China)

    2013-12-02

    Thin TiN films were grown on SiO{sub 2} by reactive high power impulse magnetron sputtering (HiPIMS) and conventional dc magnetron sputtering (dcMS) while varying the angle between the target and the substrate surface from 0° (on-axis growth) to 90° (off-axis growth). Surface morphology and structural characterization were carried out using X-ray diffraction and reflection methods and the film properties were compared. The dcMS process shows higher growth rate than the HiPIMS process for on-axis grown films but the dcMS growth rate drops drastically for off-axis growth while the HiPIMS growth rate decreases slowly with increased angle between target and substrate for off-axis growth and becomes comparable to the dcMS growth rate. The dcMS grown films exhibit angle dependence in the density and surface roughness while the HiPIMS process creates denser and smoother films that are less angle dependent in all aspects. It was observed that the HiPIMS grown films remain poly-crystalline for all angles of rotation while the dcMS grown films are somewhat amorphous after 60°. The [111] and [200] grain sizes are comparable to the total film thickness in the HiPIMS grown films for all angles of rotation. In the case of dcMS, the [111], [200] and [220] grain sizes are roughly of the same size and much smaller than the total thickness for all growth angles except at 60° and higher. - Highlights: • TiN films were grown on SiO{sub 2} by HiPIMS and dcMS under various growth angles. • Influence of growth angle α = 0–90° on deposition rate and film quality was studied. • The HiPIMS process produces denser and smoother films for all growth angles. • At α = 0°, the growth rate of HiPIMS is 25% of dcMS while it is 50% at 90°. • The HiPIMS grown films remain poly-crystalline for all growth angles.

  9. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  10. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wrocaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Drzik, Milan [International Laser Center, Ilkovicova 3, 841-04 Bratislava 4 (Slovakia)

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grown with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.

  11. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm

  12. PEALD grown high-k ZrO{sub 2} thin films on SiC group IV compound semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Khairnar, A. G., E-mail: agkhairnar@gmail.com; Patil, V. S.; Agrawal, K. S.; Salunke, R. S.; Mahajan, A. M., E-mail: ammahajan@nmu.ac.in [North Maharashtra University, Department of Electronics, School of Physical Sciences (India)

    2017-01-15

    The study of ZrO{sub 2} thin films on SiC group IV compound semiconductor has been studied as a high mobility substrates. The ZrO{sub 2} thin films were deposited using the Plasma Enhanced Atomic Layer Deposition System. The thickness of the thin films were measured using ellipsometer and found to be 5.47 nm. The deposited ZrO{sub 2} thin films were post deposition annealed in rapid thermal annealing chamber at temperature of 400°Ð¡. The atomic force microscopy and X-гау photoelectron spectroscopy has been carried out to study the surface topography, roughness and chemical composition of thin film, respectively.

  13. Ordered GeSi nanorings grown on patterned Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Ma Yingjie

    2011-01-01

    Full Text Available Abstract An easy approach to fabricate ordered pattern using nanosphere lithography and reactive iron etching technology was demonstrated. Long-range ordered GeSi nanorings with 430 nm period were grown on patterned Si (001 substrates by molecular beam epitaxy. The size and shape of rings were closely associated with the size of capped GeSi quantum dots and the Si capping processes. Statistical analysis on the lateral size distribution shows that the high growth temperature and the long-term annealing can improve the uniformity of nanorings. PACS code1·PACS code2·more Mathematics Subject Classification (2000 MSC code1·MSC code2·more

  14. Electrical, photoelectrical and morphological properties of ZnO nanofiber networks grown on SiO{sub 2} and on Si nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Vega, Nadia Celeste; Comedi, David [Universidad Nacional de Tucuman (FACET/UNT), (Argentina). Facultad de Ciencias Exactas y Tecnologia. Dept. de Fisica. Lab. de Fisica del Solido; Audebert, Fernando [Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET) (Argentina); Tirado, Monica, E-mail: mtirado@herrera.unt.edu.ar [Universidad Nacional de Tucuman (FACET/UNT), (Argentina). Facultad de Ciencias Exactas y Tecnologia. Dept. de Fisica. Lab. de Nanomateriales y de Propiedades Dielectricas; Rodriguez, Andres; Rodriguez, Tomas [Universidad Politecnica de Madrid (ETSIT/UPM), Madrid (Spain). Escuela Tecnica Superior de Ingenieros de Telecomucacion. Tecnologia Electronica; Hughes, Gareth M.; Grovenor, Chris R.M. [University of Oxford, Parks Road, OX (United Kingdom). Dept. of Materials

    2013-11-01

    ZnO nanofibre networks (NFNs) were grown by vapour transport method on Si-based substrates. One type of substrate was SiO{sub 2} thermally grown on Si and another consisted of a Si wafer onto which Si nanowires (NWs) had been grown having Au nanoparticles catalysts. The ZnO-NFN morphology was observed by scanning electron microscopy on samples grown at 600 Degree-Sign C and 720 Degree-Sign C substrate temperature, while an focused ion beam was used to study the ZnO NFN/Si NWs/Si and ZnO NFN/SiO{sub 2} interfaces. Photoluminescence, electrical conductance and photo conductance of ZnO-NFN was studied for the sample grown on SiO{sub 2}. The photoluminescence spectra show strong peaks due to exciton recombination and lattice defects. The ZnO-NFN presents quasi-persistent photoconductivity effects and ohmic I-V characteristics which become nonlinear and hysteretic as the applied voltage is increased. The electrical conductance as a function of temperature can be described by a modified three dimensional variable hopping model with nanometer-ranged typical hopping distances. (author)

  15. Multilayer epitaxial graphene grown on the (SiC 000 1-bar ) surface; structure and electronic properties

    International Nuclear Information System (INIS)

    Sprinkle, M; Hicks, J; Tinkey, H; Clark, M C; Hass, J; Conrad, E H; Tejeda, A; Taleb-Ibrahimi, A; Le Fevre, P; Bertran, F; Soukiassian, P; Martinotti, D

    2010-01-01

    We review the progress towards developing epitaxial graphene as a material for carbon electronics. In particular, we discuss improvements in epitaxial graphene growth, interface control and the understanding of multilayer epitaxial graphene's (MEG's) electronic properties. Although graphene grown on both polar faces of SiC will be discussed, our discussions will focus on graphene grown on the (0 0 0 1-bar ) C-face of SiC. The unique properties of C-face MEG have become apparent. These films behave electronically like a stack of nearly independent graphene sheets rather than a thin Bernal stacked graphite sample. The origins of multilayer graphene's electronic behaviour are its unique highly ordered stacking of non-Bernal rotated graphene planes. While these rotations do not significantly affect the inter-layer interactions, they do break the stacking symmetry of graphite. It is this broken symmetry that leads to each sheet behaving like isolated graphene planes.

  16. Chemical and structural properties of polymorphous silicon thin films grown from dichlorosilane

    Energy Technology Data Exchange (ETDEWEB)

    Álvarez-Macías, C.; Monroy, B.M.; Huerta, L.; Canseco-Martínez, M.A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico); Picquart, M. [Departamento de Física, Universidad Autónoma Metropolitana, Iztapalapa, A.P. 55-534, 09340 México, D.F. (Mexico); Santoyo-Salazar, J. [Departamento de Física, CINVESTAV-IPN, A.P. 14-740, C.P. 07000 México, D.F. (Mexico); Sánchez, M.F. García [Unidad Profesional Interdisciplinaria en Ingeniería y Tecnologías Avanzadas, Instituto Politécnico Nacional, Av. I.P.N. 2580, Gustavo A. Madero, 07340 México .D.F. (Mexico); Santana, G., E-mail: gsantana@iim.unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico)

    2013-11-15

    We have examined the effects of hydrogen dilution (R{sub H}) and deposition pressure on the morphological, structural and chemical properties of polymorphous silicon thin films (pm-Si:H), using dichlorosilane as silicon precursor in the plasma enhanced chemical vapor deposition (PECVD) process. The use of silicon chlorinated precursors enhances the crystallization process in as grown pm-Si:H samples, obtaining crystalline fractions from Raman spectra in the range of 65–95%. Atomic Force Microscopy results show the morphological differences obtained when the chlorine chemistry dominates the growth process and when the plasma–surface interactions become more prominent. Augmenting R{sub H} causes a considerable reduction in both roughness and topography, demonstrating an enhancement of ion bombardment and attack of the growing surface. X-ray Photoelectron Spectroscopy results show that, after ambient exposure, there is low concentration of oxygen inside the films grown at low R{sub H}, present in the form of Si-O, which can be considered as structural defects. Instead, oxidation increases with deposition pressure and dilution, along with film porosity, generating a secondary SiO{sub x} phase. For higher pressure and dilution, the amount of chlorine incorporated to the film decreases congruently with HCl chlorine extraction processes involving atomic hydrogen interactions with the surface. In all cases, weak silicon hydride (Si-H) bonds were not detected by infrared spectroscopy, while bonding configurations associated to the silicon nanocrystal surface were clearly observed. Since these films are generally used in photovoltaic devices, analyzing their chemical and structural properties such as oxygen incorporation to the films, along with chlorine and hydrogen, is fundamental in order to understand and optimize their electrical and optical properties.

  17. Magnetic properties of Fe3O4 thin films grown on different substrates by laser ablation

    International Nuclear Information System (INIS)

    Parames, M.L.; Viskadourakis, Z.; Rogalski, M.S.; Mariano, J.; Popovici, N.; Giapintzakis, J.; Conde, O.

    2007-01-01

    Magnetite thin films have been grown onto (1 0 0)Si (1 0 0)GaAs and (0 0 0 1)Al 2 O 3 , at substrate temperatures varying from 473 to 673 K, by UV pulsed laser ablation of Fe 3 O 4 targets in reactive atmospheres of O 2 and Ar, at working pressure of 8 x 10 -2 Pa. The influence of the substrate on stoichiometry, microstructure and the magnetic properties has been studied by X-ray diffraction (XRD), conversion electron Moessbauer spectroscopy (CEMS) and magnetic measurements. Magnetite crystallites, with stoichiometry varying from Fe 2.95 O 4 to Fe 2.99 O 4 , are randomly oriented for (1 0 0)GaAs and (1 0 0)Si substrates and exhibit (1 1 1) texture if grown onto (0 0 0 1)Al 2 O 3 . Interfacial Fe 3+ diffusion, which is virtually absent for (1 0 0)Si substrates, was found for both (0 0 0 1)Al 2 O 3 and (1 0 0)GaAs, with some deleterious effect on the subsequent microstructure and magnetic behaviour

  18. Effect of ozone treatment on the optical and electrical properties of HfSiO thin films

    International Nuclear Information System (INIS)

    Geng, Yang; Yang, Wen; Zhu, Shang-Bin; Zhang, Yuan; Sun, Qing-Qing; Lu, Hong-Liang; Zhang, David Wei

    2014-01-01

    The effect of room temperature ozone oxidation treatment on thin HfSiO film grown by atomic layer deposition (ALD) has been investigated. The optical and electrical properties with different post-ozone oxidation time were characterized. The evolution of ozone interacting with HfSiO films was clearly illuminated. Ozone can repair the lossy chemical bonds and vacancies, resulting in the improvement of packing density and polarizability of HfSiO films. With more ozone entering the HfSiO films, the refractive index, dielectric constant, and interfacial properties can be greatly upgraded. Furthermore, the frequency dispersion of ALD-HfSiO film can be improved after O 3 treatment time for 8 min. (orig.)

  19. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  20. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  1. Diode behavior in ultra-thin low temperature ALD grown zinc-oxide on silicon

    Directory of Open Access Journals (Sweden)

    Nazek El-Atab

    2013-10-01

    Full Text Available A thin-film ZnO(n/Si(p+ heterojunction diode is demonstrated. The thin film ZnO layer is deposited by Atomic Layer Deposition (ALD at different temperatures on a p-type silicon substrate. Atomic force microscopy (AFM AC-in-Air method in addition to conductive AFM (CAFM were used for the characterization of ZnO layer and to measure the current-voltage characteristics. Forward and reverse bias n-p diode behavior with good rectification properties is achieved. The diode with ZnO grown at 80°C exhibited the highest on/off ratio with a turn-on voltage (VON ∼3.5 V. The measured breakdown voltage (VBR and electric field (EBR for this diode are 5.4 V and 3.86 MV/cm, respectively.

  2. Effect of substrates and thickness on optical properties in atomic layer deposition grown ZnO thin films

    Science.gov (United States)

    Pal, Dipayan; Singhal, Jaya; Mathur, Aakash; Singh, Ajaib; Dutta, Surjendu; Zollner, Stefan; Chattopadhyay, Sudeshna

    2017-11-01

    Atomic Layer Deposition technique was used to grow high quality, very low roughness, crystalline, Zinc Oxide (ZnO) thin films on silicon (Si) and fused quartz (SiO2) substrates to study the optical properties. Spectroscopic ellipsometry results of ZnO/Si system, staggered type-II quantum well, demonstrate that there is a significant drop in the magnitudes of both the real and imaginary parts of complex dielectric constants and in near-band gap absorption along with a blue shift of the absorption edge with decreasing film thickness at and below ∼20 nm. Conversely, UV-vis absorption spectroscopy of ZnO/SiO2, thin type-I quantum well, consisting of a narrower-band gap semiconductor grown on a wider-band gap (insulator) substrate, shows the similar thickness dependent blue-shift of the absorption edge but with an increase in the magnitude of near-band gap absorption with decreasing film thickness. Thickness dependent blue shift, energy vs. 1/d2, in two different systems, ZnO/Si and ZnO/SiO2, show a difference in their slopes. The observed phenomena can be consistently explained by the corresponding exciton (or carrier/s) deconfinement and confinement effects at the ZnO/Si and ZnO/SiO2 interface respectively, where Tanguy-Elliott amplitude pre-factor plays the key role through the electron-hole overlap factor at the interface.

  3. Epitaxial growth and dielectric properties of Bi sub 2 VO sub 5 sub . sub 5 thin films on TiN/Si substrates with SrTiO sub 3 buffer layers

    CERN Document Server

    Lee, H Y; Choi, B C; Jeong, J H; Joseph, M; Tabata, H; Kawai, T

    2000-01-01

    Bi sub 2 VO sub 5 sub . sub 5 (BVO) thin films were epitaxially grown on SrTiO sub 3 /TiN/Si substrates by using pulsed laser ablation. A TiN thin film was prepared at 700 .deg. C as a bottom electrode. The TiN film exhibited a high alpha axis orientation and a very smooth morphology. Before the preparation of the BVO thin film, a crystallized SrTiO sub 3 thin film was deposited as a buffer layer on TiN/Si. The BVO thin film grown at a substrate temperature at 700 .deg. C and an oxygen pressure of 50 mTorr was found to be epitaxial along the c-axis. Also, BVO films were observed to have flat surfaces and the step-flow modes. The dielectric constant of the BVO film on STO/TiN/Si was constant at about 8 approx 4 in the applied frequency range between 10 sup 2 and 10 sup 6 Hz.

  4. Raman spectroscopy of ZnMnO thin films grown by pulsed laser deposition

    Science.gov (United States)

    Orozco, S.; Riascos, H.; Duque, S.

    2016-02-01

    ZnMnO thin films were grown by Pulsed Laser Deposition (PLD) technique onto Silicon (100) substrates at different growth conditions. Thin films were deposited varying Mn concentration, substrate temperature and oxygen pressure. ZnMnO samples were analysed by using Raman Spectroscopy that shows a red shift for all vibration modes. Raman spectra revealed that nanostructure of thin films was the same of ZnO bulk, wurzite hexagonal structure. The structural disorder was manifested in the line width and shape variations of E2(high) and E2(low) modes located in 99 and 434cm-1 respectively, which may be due to the incorporation of Mn ions inside the ZnO crystal lattice. Around 570cm-1 was found a peak associated to E1(LO) vibration mode of ZnO. 272cm-1 suggest intrinsic host lattice defects. Additional mode centred at about 520cm-1 can be overlap of Si and Mn modes.

  5. Influence of ion beam and geometrical parameters on properties of Si thin films grown by Ar ion beam sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Bundesmann, Carsten; Feder, Rene; Neumann, Horst [Leibniz-Institut fuer Oberflaechenmodifizierung e.V., Leipzig (Germany)

    2012-07-01

    Ion beam sputtering (IBS) offers, in contrast to other physical vapour deposition techniques, such as magnetron sputtering or electron beam evaporation, the opportunity to change the properties of the layer forming particles (sputtered and scattered particles) by varying ion beam parameters (ion species, ion energy) and geometrical parameters (ion incidence angle, emission angle). Consequently, these effects can be utilized to tailor thin film properties [1]. The goal is to study systematically the correlations between the primary and secondary parameters and, at last, the effects on the properties of Si thin films, such as optical properties, stress, surface topography and composition. First experimental results are presented for Ar-ion sputtering of Si.

  6. Band Alignment and Optical Properties of (ZrO20.66(HfO20.34 Gate Dielectrics Thin Films on p-Si (100

    Directory of Open Access Journals (Sweden)

    Dahlang Tahir

    2011-11-01

    Full Text Available (ZrO20.66(HfO20.34 dielectric films on p-Si (100 were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gaps were obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for (ZrO20.66(HfO20.34 dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of ZrO2. In addition, The dielectric function ε (k, ω, index of refraction n and the extinction coefficient k for the (ZrO20.66(HfO20.34 thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-ε (k,ω-REELS software package. These optical properties are similar with ZrO2 dielectric thin films.

  7. Structural and optical properties of (Sr,Ba)2SiO4:Eu2+ thin films grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Li, Leliang; Zheng, Jun; Zuo, Yuhua; Cheng, Buwen; Wang, Qiming

    2014-01-01

    (Sr,Ba) 2 SiO 4 :Eu 2+ thin films were deposited on Si at different substrate temperatures by magnetron sputtering. The morphology and crystalline phases of the films were studied by scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, respectively. The silicate crystal phase was presented when films were annealed above 900 °C and the annealing temperature had great impact on the film morphology. The samples annealed at 1000 °C in a non-reducing atmosphere for 30 s show intense room temperature Eu 2+ emission. These findings may open a promising way to prepare efficient phosphor thin films for on-chip light emitting diodes application. - Highlights: • The (Sr, Ba) 2 SiO 4 :Eu 2+ films are fabricated by magnetron sputtering. • A very strong RT PL emission at 540 nm is achieved. • The morphology and optical properties dependent on temperature are studied

  8. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  9. Photoluminescence studies of cubic phase GaN grown by molecular beam epitaxy on (001) silicon covered with SiC layer

    International Nuclear Information System (INIS)

    Godlewski, M.; Ivanov, V.Yu.; Bergman, J.P.; Monemar, B.; Barski, A.; Langer, R.

    1997-01-01

    In this work we evaluate optical properties of cubic phase GaN epilayers grown on top of (001) silicon substrate prepared by new process. Prior to the growth Si substrate was annealed at 1300-1400 o C in propane. The so-prepared substrate is covered within a thin (∼ 4 nm) SiC wafer, which allowed a successful growth of good morphological quality cubic phase GaN epilayers. The present results confirm recent suggestion on smaller ionization energies of acceptors in cubic phase GaN epilayers. (author)

  10. Substrates effect on Zn1-xMnxO thin films grown by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Elanchezhiyan, J.; Bhuvana, K.P.; Gopalakrishnan, N.; Balasubramanian, T.

    2008-01-01

    In this paper, we have presented the surface effect of the substrates on Mn doped ZnO (Zn 1-x Mn x O) thin films grown on Si(1 0 0) and sapphire [i.e. Al 2 O 3 (0 0 0 1)] by RF magnetron sputtering. These grown films have been characterized by X-ray diffraction (XRD), photoluminescence (PL) and vibrating sample magnetometer (VSM) to know its structural, optical and magnetic properties. All these properties have been found to be strongly influenced by the substrate surface on which the films have been deposited. The XRD results show that the Mn doped ZnO films deposited on Si(1 0 0) exhibit a polycrystalline nature whereas the films on sapphire substrate have only (0 0 2) preferential orientations indicating that the films are single crystalline. The studies of room temperature PL spectra reveal that the Zn 1-x Mn x O/Si(1 0 0) system is under severe compressive strain while the strain is almost relaxed in Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system. It has been observed from VSM studies that Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system shows ferromagnetic nature while the paramagnetic behaviour observed in Zn 1-x Mn x O/Si(1 0 0) system

  11. High-Performance Flexible Thin-Film Transistors Based on Single-Crystal-like Silicon Epitaxially Grown on Metal Tape by Roll-to-Roll Continuous Deposition Process.

    Science.gov (United States)

    Gao, Ying; Asadirad, Mojtaba; Yao, Yao; Dutta, Pavel; Galstyan, Eduard; Shervin, Shahab; Lee, Keon-Hwa; Pouladi, Sara; Sun, Sicong; Li, Yongkuan; Rathi, Monika; Ryou, Jae-Hyun; Selvamanickam, Venkat

    2016-11-02

    Single-crystal-like silicon (Si) thin films on bendable and scalable substrates via direct deposition are a promising material platform for high-performance and cost-effective devices of flexible electronics. However, due to the thick and unintentionally highly doped semiconductor layer, the operation of transistors has been hampered. We report the first demonstration of high-performance flexible thin-film transistors (TFTs) using single-crystal-like Si thin films with a field-effect mobility of ∼200 cm 2 /V·s and saturation current, I/l W > 50 μA/μm, which are orders-of-magnitude higher than the device characteristics of conventional flexible TFTs. The Si thin films with a (001) plane grown on a metal tape by a "seed and epitaxy" technique show nearly single-crystalline properties characterized by X-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction, and transmission electron microscopy. The realization of flexible and high-performance Si TFTs can establish a new pathway for extended applications of flexible electronics such as amplification and digital circuits, more than currently dominant display switches.

  12. Yttria and ceria doped zirconia thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saporiti, F.; Juarez, R. E., E-mail: cididi@fi.uba.ar [Grupo de Materiales Avanzados, Facultad de Ingenieria, Universidad de Buenos Aires (Argentina); Audebert, F. [Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET) (Argentina); Boudard, M. [Laboratoire des Materiaux et du Genie Physique (CNRS), Grenoble (France)

    2013-11-01

    The Yttria stabilized Zirconia (YSZ) is a standard electrolyte for solid oxide fuel cells (SOFCs), which are potential candidates for next generation portable and mobile power sources. YSZ electrolyte thin films having a cubic single phase allow reducing the SOFC operating temperature without diminishing the electrochemical power density. Films of 8 mol% Yttria stabilized Zirconia (8YSZ) and films with addition of 4 weight% Ceria (8YSZ + 4CeO{sub 2}) were grown by pulsed laser deposition (PLD) technique using 8YSZ and 8YSZ + 4CeO{sub 2} targets and a Nd-YAG laser (355 nm). Films have been deposited on Soda-Calcia-Silica glass and Si(100) substrates at room temperature. The morphology and structural characteristics of the samples have been studied by means of X-ray diffraction and scanning electron microscopy. Films of a cubic-YSZ single phase with thickness in the range of 1-3 Micro-Sign m were grown on different substrates (author)

  13. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  14. Recent progress in Si thin film technology for solar cells

    Science.gov (United States)

    Kuwano, Yukinori; Nakano, Shoichi; Tsuda, Shinya

    1991-11-01

    Progress in Si thin film technology 'specifically amorphous Si (a-Si) and polycrystalline Si (poly-Si) thin film' for solar cells is summarized here from fabrication method, material, and structural viewpoints. In addition to a-Si, primary results on poly-Si thin film research are discussed. Various applications for a-Si solar cells are mentioned, and consumer applications and a-Si solar cell photovoltaic systems are introduced. New product developments include see-through solar cells, solar cell roofing tiles, and ultra-light flexible solar cells. As for new systems, air conditioning equipment powered by solar cells is described. Looking to the future, the proposed GENESIS project is discussed.

  15. Energy variable monoenergetic positron beam study of oxygen atoms in Czochralski grown Si

    International Nuclear Information System (INIS)

    Tanigawa, S.; Wei, L.; Tabuki, Y.; Nagai, R.; Takeda, E.

    1992-01-01

    A monoenergetic positron beam has been used to investigate the state of interstitial oxygen in Czochralski-grown Si with the coverage of SiO 2 (100 nm) and poly-Si (200 nm)/SiO 2 (100 nm), respectively. It was found that (i) the growth of SiO 2 gives rise to a strong Doppler broadening of positron annihilation radiations in the bulk of Si, (ii) such a broadening can be recovered to the original level by annealing at 450degC, by the removal of overlayers using chemical etching and long-term aging at room temperature, (iii) the film stress over the CZ-grown Si is responsible for the rearrangement of oxygen atoms in S and (iv) only tensile stress gives rise to the clustering of oxygen atoms. The observed broadening was assigned to arise from the positron trapping by oxygen interstitial clusters. It was concluded that film stress is responsible for the rearrangement of oxygen atoms in CZ-grown Si. (author)

  16. Quantum corrections to temperature dependent electrical conductivity of ZnO thin films degenerately doped with Si

    International Nuclear Information System (INIS)

    Das, Amit K.; Ajimsha, R. S.; Kukreja, L. M.

    2014-01-01

    ZnO thin films degenerately doped with Si (Si x Zn 1−x O) in the concentrations range of ∼0.5% to 5.8% were grown by sequential pulsed laser deposition on sapphire substrates at 400 °C. The temperature dependent resistivity measurements in the range from 300 to 4.2 K revealed negative temperature coefficient of resistivity (TCR) for the 0.5%, 3.8%, and 5.8% doped Si x Zn 1−x O films in the entire temperature range. On the contrary, the Si x Zn 1−x O films with Si concentrations of 1.0%, 1.7%, and 2.0% showed a transition from negative to positive TCR with increasing temperature. These observations were explained using weak localization based quantum corrections to conductivity

  17. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  18. Residual carrier density in GaSb grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2006-01-01

    The relationships between the densities of residual carriers and those of dislocation in GaSb films grown on Si substrates were investigated. Dislocation density was evaluated by cross-sectional transmission electron microscopy (TEM). The TEM images indicated that the dislocation density after a 5-μm-thick GaSb film was grown was below 1 x 10 8 /cm 2 although the density near the interface between the Si substrate and the GaSb film was about 3 x 10 9 /cm 2 . Forming a dislocation loop by growing a thick GaSb layer may decrease the dislocation density. The density and mobility of the residual carrier were investigated by Hall measurement using the van der Pauw method. The residual carriers in GaSb grown on Si substrates were holes, and their densities decreased significantly from 4.2 x 10 18 to 1.4 x 10 17 /cm 3 as GaSb thickness was increased from 500 to 5500 nm

  19. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    International Nuclear Information System (INIS)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V; Lai, Z; Henry, A; Janzen, E; Pippel, E; Woltersdorf, J

    2011-01-01

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T C ) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T C = 11.3 K and critical current density of about 2.5 MA cm -2 at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  20. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V [Group for Advanced Receiver Development, Department of Earth and Space Sciences, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Lai, Z [Nanofabrication Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Henry, A; Janzen, E [Department of Physics, Chemistry and Biology, Linkoeping University, SE-581 83 Linkoeping (Sweden); Pippel, E; Woltersdorf, J, E-mail: dimitar.dochev@chalmers.se [Max-Planck-Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Germany)

    2011-03-15

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T{sub C}) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T{sub C} = 11.3 K and critical current density of about 2.5 MA cm{sup -2} at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  1. Co-sputtered ZnO:Si thin films as transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Faure, C. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Clatot, J. [LRCS, 33 Rue St Leu, F-80039 Amiens (France); Teule-Gay, L.; Campet, G. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Labrugere, C. [CeCaMA, Universite de Bordeaux, ICMCB, 87 avenue du Dr. A. Schweitzer, Pessac, F-33608 (France); Nistor, M. [National Institute for Lasers, Plasmas and Radiation Physics, L22, PO Box MG-36, 77125 Bucharest-Magurele (Romania); Rougier, A., E-mail: rougier@icmcb-bordeaux.cnrs.fr [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France)

    2012-12-01

    Silicon doped Zinc Oxide thin films, so-called SZO, were deposited at room temperature on glass and plastic substrates by co-sputtering of ZnO and SiO{sub 2} targets. The influence of the SiO{sub 2} target power supply (from 30 to 75 W) on the SZO thin film composition and crystallinity is discussed. Si/Zn atomic ratio, determined by X-ray microprobe, increases from 1.2 to 8.2 at.%. For Si/Zn ratio equal and lower than 3.9%, SZO (S{sub 3.9}ZO) thin films exhibit the Wurzite structure with the (0 0 2) preferred orientation. Larger Si content leads to a decrease in crystallinity. With Si addition, the resistivity decreases down to 3.5 Multiplication-Sign 10{sup -3} Ohm-Sign {center_dot}cm for SZO thin film containing 3.9 at.% of Si prior to an increase. The mean transmittance of S{sub 3.9}ZO thin film on glass substrate approaches 80% (it is about 90% for the film itself) in the visible range (from 400 to 750 nm). Co-sputtered SZO thin films are suitable candidates for large area transparent conductive oxides. - Highlights: Black-Right-Pointing-Pointer Si doped ZnO thin films by co-sputtering of ZnO and SiO{sub 2} targets. Black-Right-Pointing-Pointer Minimum of resistivity for Si doped ZnO thin films containing 3.9% of Si. Black-Right-Pointing-Pointer Si and O environments by X-ray Photoelectron Spectroscopy.

  2. Tuning the optical properties of RF-PECVD grown μc-Si:H thin films using different hydrogen flow rate

    Science.gov (United States)

    Dushaq, Ghada; Nayfeh, Ammar; Rasras, Mahmoud

    2017-07-01

    In this paper we study the effect of H2/SiH4 dilution ratio (R) on the structural and optical properties of hydrogenated microcrystalline silicon embedded in amorphous matrix thin films. The thin films are prepared using standard RF-PECVD process at substrate temperature of 200 °C. The effect of hydrogen dilution ratio on the optical index of refraction and the absorption coefficient were investigated. It was observed that by incorporating higher hydrogen flow rate in the films with low SiH4 concentration, the optical index of refraction can be tuned over a broad range of wavelengths due to the variation of crystalline properties of the produced films. By varying the hydrogen flow of μc-Si:H samples, ∼8% and 12% reduction in the index of refraction at 400 nm and at 1500 nm can be achieved, respectively. In addition a 78% reduction in surface roughness is obtained when 60sccm of H2 is used in the deposition compared to the sample without any H2 incorporation.

  3. Theoretical investigation on structural stability of InN thin films on 3C-SiC(0 0 1)

    International Nuclear Information System (INIS)

    Ito, Takumi; Akiyama, Toru; Nakamura, Kohji; Ito, Tomonori

    2008-01-01

    The structural stability of InN thin films on 3C-SiC(0 0 1) substrate is systematically investigated based on an empirical interatomic potential, which incorporates electrostatic energy due to covalent bond charges and ionic charges. The calculated energy differences among coherently grown 3C-InN(0 0 1), 3C-InN(0 0 1) with misfit dislocations (MDs), and 2H-InN(0 0 0 1) imply that the coherently grown 3C-InN(0 0 1) is stable when the film thickness is less than 7 monolayers (MLs) while 2H-InN(0 0 0 1) is stabilized for the thickness beyond 8 MLs. This is because InN layers in 2H-InN(0 0 0 1) are fully relaxed by one MD. The analysis of atomic configuration at the 3C-InN(0 0 1)/3C-SiC(0 0 1) interfaces reveals that the coordination number of interfacial atoms is quite different from that in the bulk region. Thus, 3C-InN(0 0 1) with MDs on 3C-SiC(0 0 1) is always metastable over entire range of film thickness, consistent with the successful fabrication of 2H-InN(0 0 0 1) on 3C-SiC(0 0 1) by the molecular beam epitaxy. These results suggest that the mismatch in atomic arrangements at the interface crucially affects the structural stability of InN thin films on 3C-SiC(0 0 1) substrate

  4. Magnetron-sputter deposition of high-indium-content n-AlInN thin film on p-Si(001) substrate for photovoltaic applications

    International Nuclear Information System (INIS)

    Liu, H. F.; Tan, C. C.; Dalapati, G. K.; Chi, D. Z.

    2012-01-01

    Al 0.278 In 0.722 N thin films have been grown on p-type Si(001) and c-plane sapphire substrates by employing radio-frequency magnetron-sputter deposition at elevated temperatures. High-resolution x-ray diffraction, as well as pole-figure measurements, reveals no phase separation of the thin films. The Al 0.278 In 0.722 N film grown on p-Si(001) substrate is a typical fiber-texture with AlInN(0001)//Si(001) while that on the c-sapphire exhibits the onset of epitaxy. Microscopic studies reveal that the growth is dominated by a columnar mechanism and the average columnar grain diameter is about 31.5 and 50.8 nm on p-Si(001) and c-sapphire substrates, respectively. Photoluminescence at room-temperature exhibits a strong emission peak at 1.875 eV, smaller than the optical absorption edge (2.102 eV) but larger than the theoretical bandgap energy (1.70 eV), which is attributable to the band-filling effect, as is supported by the high electron density of 4.5 × 10 20 cm −3 . The n-Al 0.278 In 0.722 N/p-Si(001) heterostructure is tested for solar cells and the results are discussed based on the I-V characteristics and their fittings.

  5. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Xiangbin, E-mail: eexbzeng@mail.hust.edu.cn; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-04-30

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B{sub 2}H{sub 6} flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10{sup −3} Ω cm, mobility of 16.5–25.5 cm{sup 2}/Vs, and carrier concentration of 2.2–2.7 × 10{sup 20} cm{sup −3} were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n{sup +}-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm{sup 2} and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm{sup 2} and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  6. Boron-doped zinc oxide thin films grown by metal organic chemical vapor deposition for bifacial a-Si:H/c-Si heterojunction solar cells

    International Nuclear Information System (INIS)

    Zeng, Xiangbin; Wen, Xixing; Sun, Xiaohu; Liao, Wugang; Wen, Yangyang

    2016-01-01

    Boron-doped zinc oxide (BZO) films were grown by metal organic chemical vapor deposition. The influence of B_2H_6 flow rate and substrate temperature on the microstructure, optical, and electrical properties of BZO films was investigated by X-ray diffraction spectrum, scanning electron microscope, optical transmittance spectrum, and Hall measurements. The BZO films with optical transmittance above 85% in the visible and infrared light range, resistivity of 0.9–1.0 × 10"−"3 Ω cm, mobility of 16.5–25.5 cm"2/Vs, and carrier concentration of 2.2–2.7 × 10"2"0 cm"−"3 were deposited under optimized conditions. The optimum BZO films were applied on the bifacial BZO/p-type a-Si:H/i-type a-Si:H/n-type c-Si/i-type a-Si:H/n"+-type a-Si:H/BZO heterojunction solar cell as both front and back transparent electrodes. Meanwhile, the bifacial heterojunction solar cell with indium tin oxide (ITO) as both front and back transparent electrodes was fabricated. The efficiencies of 17.788% (open-circuit voltage: 0.628 V, short-circuit current density: 41.756 mA/cm"2 and fill factor: 0.678) and 16.443% (open-circuit voltage: 0.590 V, short-circuit current density: 36.515 mA/cm"2 and fill factor: 0.762) were obtained on the a-Si/c-Si heterojunction solar cell with BZO and ITO transparent electrodes, respectively. - Highlights: • Boron-doped zinc oxide films with low resistivity were fabricated. • The boron-doped zinc oxide films have the high transmittance. • B-doped ZnO film was applied in a-Si:H/c-Si solar cell as transparent electrodes. • The a-Si:H/c-Si solar cell with efficiency of 17.788% was obtained.

  7. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  8. Formation of ferromagnetic interface between β-FeSi2 and Si(111) substrate

    International Nuclear Information System (INIS)

    Hattori, Azusa N.; Hattori, Ken; Kodama, Kenji; Hosoito, Nobuyoshi; Daimon, Hiroshi

    2007-01-01

    Epitaxial β-FeSi 2 thin films were grown on Si(111)7x7 clean surfaces by solid phase epitaxy in ultrahigh vacuum: iron deposition at low temperature and subsequent annealing. We found that a ferromagnetic interface layer of iron-rich silicides forms between a β-FeSi 2 surface layer and a Si(111) substrate spontaneously from transmission electron microscopy observations and magnetization measurements

  9. The clinical usefulness of the new LPE specifier for subtyping adolescents with conduct disorder in the DSM 5.

    Science.gov (United States)

    Jambroes, Tijs; Jansen, Lucres M C; Vermeiren, Robert R J M; Doreleijers, Theo A H; Colins, Olivier F; Popma, Arne

    2016-08-01

    In DSM 5, conduct disorder (CD) has been expanded with a new specifier 'with Limited Prosocial Emotions' (LPE) in addition to the age-of-onset (AoO) subtyping, and is thought to identify a severe antisocial subgroup of CD. However, research in clinical practice has been scarce. Therefore, the current study will examine differences in clinical symptoms between subtypes of CD, based on both subtyping schemes. Subsequently, it will investigate whether the LPE specifier explains unique variance in aggression, added to the AoO subtyping. A sample of 145 adolescents with CD (51 % male, mean age 15.0) from a closed treatment institution participated in this study. CD diagnoses and AoO subtype were assessed using a structured diagnostic interview. The LPE specifier was assessed using the callous-unemotional dimension of the Youth Psychopathy Traits Inventory (YPI). Self-reported proactive and reactive aggression, rule-breaking behavior and internalizing problems within the subtypes were compared. Youth with childhood-onset CD and LPE showed significantly more aggression than adolescent-onset CD without LPE (proactive aggression: F = 3.1, p < 0.05, reactive aggression: F = 3.7, p < 0.05). Hierarchical regression revealed that the LPE specifier uniquely explained 7 % of the variance in reactive aggression, additionally to the AoO subtyping. For proactive aggression, the interaction between AoO and the LPE added 4.5 % to the explained variance. Although the LPE specifier may help to identify a more aggressive subtype of CD in adolescents, the incremental utility seems to be limited. Therefore, clinical relevance of the LPE specifier in high-risk adolescent samples still needs to be investigated thoroughly.

  10. Annealing Heat Treatment of ZnO Nanoparticles Grown on Porous Si Substrate Using Spin-Coating Method

    Directory of Open Access Journals (Sweden)

    K. A. Eswar

    2014-01-01

    Full Text Available ZnO nanoparticles were successfully deposited on porous silicon (PSi substrate using spin-coating method. In order to prepare PSi, electrochemical etching was employed to modify the Si surface. Zinc acetate dihydrate was used as a starting material in ZnO sol-gel solution preparation. The postannealing treatments were investigated on morphologies and photoluminescence (PL properties of the ZnO thin films. Field emission scanning electron microscopy (FESEM results indicate that the thin films composed by ZnO nanoparticles were distributed uniformly on PSi. The average sizes of ZnO nanoparticle increase with increasing annealing temperature. Atomic force microscopic (AFM analysis reveals that ZnO thin films annealed at 500°C had the smoothest surface. PL spectra show two peaks that completely correspond to nanostructured ZnO and PSi. These findings indicate that the ZnO nanostructures grown on PSi are promising for application as light emitting devices.

  11. Simulation, fabrication and characterization of ZnO based thin film transistors grown by radio frequency magnetron sputtering.

    Science.gov (United States)

    Singh, Shaivalini; Chakrabarti, P

    2012-03-01

    We report the performance of the thin film transistors (TFTs) using ZnO as an active channel layer grown by radio frequency (RF) magnetron sputtering technique. The bottom gate type TFT, consists of a conventional thermally grown SiO2 as gate insulator onto p-type Si substrates. The X-ray diffraction patterns reveal that the ZnO films are preferentially orientated in the (002) plane, with the c-axis perpendicular to the substrate. A typical ZnO TFT fabricated by this method exhibits saturation field effect mobility of about 0.6134 cm2/V s, an on to off ratio of 102, an off current of 2.0 x 10(-7) A, and a threshold voltage of 3.1 V at room temperature. Simulation of this TFT is also carried out by using the commercial software modeling tool ATLAS from Silvaco-International. The simulated global characteristics of the device were compared and contrasted with those measured experimentally. The experimental results are in fairly good agreement with those obtained from simulation.

  12. Deposition of stress free c-axis oriented LiNbO3 thin film grown on (002) ZnO coated Si substrate

    International Nuclear Information System (INIS)

    Shandilya, Swati; Gupta, Vinay; Tomar, Monika

    2012-01-01

    C-axis oriented lithium niobate thin films have been deposited on Si substrate using RF sputtering technique. A thin buffer layer of c-axis (002) oriented ZnO on Si substrate has been used as a nucleating layer to promote the growth of (006) oriented LiNbO 3 film. The processing gas composition and pressure are found to be very critical in obtaining stress free LiNbO 3 film having desired (006) orientation. The LiNbO 3 films deposited under unique combination of sputtering pressure (10 mTorr) and argon percentage (80%) in reactive gas (Ar + O 2 ) composition become almost stress free having lattice parameter (1.3867 A) close to the bulk value. The observed variation in the structural properties and optical phonon modes observed by Raman spectroscopic studies of the oriented LiNbO 3 thin film with stress has been correlated with growth kinetics.

  13. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  14. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  15. Characterization of Si(100) homoepitaxy grown in the STM at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Grube, H. (Holger); Brown, G. W. (Geoffrey W.); Pomeroy, J. M. (Joshua M.); Hawley, M. E. (Marilyn E.)

    2002-01-01

    We explore the growth of low-temperature bulk-like Si(100) homoepitaxy with regard to microscopic surface roughness and defects We characterize films grown at different temperatures up to 500K in-situ by means of an effusion cell added to our UHVSTM. The development of novel architectures for future generation computers calls for high-quality homoepitaxial (WOO) grown at low temperature. Even though Si(100) can be grown crystalline up to a limited thickness: the microstructure reveals significant small-scale surface roughness and defects specific to low-temperature growth. Both can he detrimental to fabrication and operation of small-scale electronic devices.

  16. Enhanced photovoltaic performances of graphene/Si solar cells by insertion of a MoS₂ thin film.

    Science.gov (United States)

    Tsuboi, Yuka; Wang, Feijiu; Kozawa, Daichi; Funahashi, Kazuma; Mouri, Shinichiro; Miyauchi, Yuhei; Takenobu, Taishi; Matsuda, Kazunari

    2015-09-14

    Transition-metal dichalcogenides exhibit great potential as active materials in optoelectronic devices because of their characteristic band structure. Here, we demonstrated that the photovoltaic performances of graphene/Si Schottky junction solar cells were significantly improved by inserting a chemical vapor deposition (CVD)-grown, large MoS2 thin-film layer. This layer functions as an effective electron-blocking/hole-transporting layer. We also demonstrated that the photovoltaic properties are enhanced with the increasing number of graphene layers and the decreasing thickness of the MoS2 layer. A high photovoltaic conversion efficiency of 11.1% was achieved with the optimized trilayer-graphene/MoS2/n-Si solar cell.

  17. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  18. Direct insight into grains formation in Si layers grown on 3C-SiC by chemical vapor deposition

    International Nuclear Information System (INIS)

    Khazaka, Rami; Portail, Marc; Vennéguès, Philippe; Alquier, Daniel; Michaud, Jean François

    2015-01-01

    Graphical abstract: In this contribution, we demonstrated the influence of the 3C-SiC layer on the subsequent growth of Si epilayers. We were able to give a direct evidence that the rotation in the Si epilayer of 90° around the growth direction occurs exactly on the termination of an antiphase boundary in the 3C-SiC layer as shown in the figure above. Thus, increasing the layer thickness of the 3C-SiC leads to a direct improvement of the crystalline quality of the subsequent Si epilayer. (a) Cross-section bright-field TEM image of the Si/3C-SiC layer stack along two 3C-SiC zone axes [1 −1 0] and [1 1 0] (equivalent to [1 −1 1] and [1 1 2] in Si, respectively), (b) dark field image selecting a (2 0 −2) electron diffraction spot indicated by the black circle in the SAED shown as inset, (c) dark field image selecting a (−1 1 −1) electron diffraction spot indicated by the black circle in the SAED shown as inset. The dotted white line in the images show the position of the defect in the 3C-SiC layer. - Abstract: This work presents a structural study of silicon (Si) thin films grown on cubic silicon carbide (3C-SiC) by chemical vapor deposition. The presence of grains rotated by 90° around the growth direction in the Si layer is directly related to the presence of antiphase domains on the 3C-SiC surface. We were able to provide a direct evidence that the 90° rotation of Si grains around the growth direction occurs exactly on the termination of antiphase boundaries (APBs) in 3C-SiC layer. Increasing the 3C-SiC thickness reduces the APBs density on 3C-SiC surface leading to a clear improvement of the uppermost Si film crystal quality. Furthermore, we observed by high resolution plan-view TEM images the presence of hexagonal Si domains limited to few nm in size. These hexagonal Si domains are inclusions in small Si grains enclosed in larger ones rotated by 90°. Finally, we propose a model of grains formation in the Si layer taking into consideration the effect

  19. Highly textured Sr, Nb co-doped BiFeO3 thin films grown on SrRuO3/Si substrates by rf- sputtering

    International Nuclear Information System (INIS)

    Ostos, C.; Raymond, O.; Siqueiros, J. M.; Suarez-Almodovar, N.; Bueno-Baques, D.; Mestres, L.

    2011-01-01

    In this study, (011)-highly oriented Sr, Nb co-doped BiFeO 3 (BFO) thin films were successfully grown on SrRuO 3 /Si substrates by rf-magnetron sputtering. The presence of parasite magnetic phases was ruled out based on the high resolution x-ray diffraction data. BFO films exhibited a columnar-like grain growth with rms surface roughness values of ≅5.3 nm and average grain sizes of ≅65-70 nm for samples with different thicknesses. Remanent polarization values (2P r ) of 54 μC cm -2 at room temperature were found for the BFO films with a ferroelectric behavior characteristic of an asymmetric device structure. Analysis of the leakage mechanisms for this structure in negative bias suggests Schottky injection and a dominant Poole-Frenkel trap-limited conduction at room temperature. Oxygen vacancies and Fe 3+ /Fe 2+ trap centers are consistent with the surface chemical bonding states analysis from x-ray photoelectron spectroscopy data. The (011)-BFO/SrRuO 3 /Si film structure exhibits a strong magnetic interaction at the interface between the multiferroic film and the substrate layer where an enhanced ferromagnetic response at 5 K was observed. Zero-field cooled (ZFC) and field cooled (FC) magnetization curves of this film system revealed a possible spin glass behavior at spin freezing temperatures below 30 K depending on the BFO film thickness.

  20. Fabrication of the heterojunction diode from Y-doped ZnO thin films on p-Si substrates by sol-gel method

    Science.gov (United States)

    Sharma, Sanjeev K.; Singh, Satendra Pal; Kim, Deuk Young

    2018-02-01

    The heterojunction diode of yttrium-doped ZnO (YZO) thin films was fabricated on p-Si(100) substrates by sol-gel method. The post-annealing process was performed at 600 °C in vacuum for a short time (3 min) to prevent inter-diffusion of Zn, Y, and Si atoms. X-ray diffraction (XRD) pattern of as-grown and annealed (600 °C in vacuum) films showed the preferred orientation along the c-axis (002) regardless of dopant concentrations. The uniform surface microstructure and the absence of other metal/oxide peaks in XRD pattern confirmed the excellence of films. The increasing bandgap and carrier concentration of YZO thin films were interpreted by the BM shift, that is, the Fermi level moves towards the conduction band edge. The current-voltage characteristics of the heterojunction diode, In/n-ZnO/p-Si/Al, showed a rectification behavior. The turn-on voltage and ideality factor of n-ZnO/p-Si and n-YZO/p-Si were observed to be 3.47 V, 2.61 V, and 1.97, 1.89, respectively. Y-dopant in ZnO thin films provided more donor electrons caused the shifting of Fermi-energy level towards the conduction band and strengthen the interest for heterojunction diodes.

  1. Light output improvement of GaN-based light-emitting diodes grown on Si (111) by a via-thin-film structure

    Science.gov (United States)

    Li, Zengcheng; Feng, Bo; Deng, Biao; Liu, Legong; Huang, Yingnan; Feng, Meixin; Zhou, Yu; Zhao, Hanmin; Sun, Qian; Wang, Huaibing; Yang, Xiaoli; Yang, Hui

    2018-04-01

    This work reports the fabrication of via-thin-film light-emitting diode (via-TF-LED) to improve the light output power (LOP) of blue/white GaN-based LEDs grown on Si (111) substrates. The as-fabricated via-TF-LEDs were featured with a roughened n-GaN surface and the p-GaN surface bonded to a wafer carrier with a silver-based reflective electrode, together with an array of embedded n-type via pillar metal contact from the p-GaN surface etched through the multiple-quantum-wells (MQWs) into the n-GaN layer. When operated at 350 mA, the via-TF-LED gave an enhanced blue LOP by 7.8% and over 3.5 times as compared to the vertical thin-film LED (TF-LED) and the conventional lateral structure LED (LS-LED). After covering with yellow phosphor that converts some blue photons into yellow light, the via-TF-LED emitted an enhanced white luminous flux by 13.5% and over 5 times, as compared with the white TF-LED and the white LS-LED, respectively. The significant LOP improvement of the via-TF-LED was attributed to the elimination of light absorption by the Si (111) epitaxial substrate and the finger-like n-electrodes on the roughened emitting surface. Project supported by the National Key R&D Program (Nos. 2016YFB0400100, 2016YFB0400104), the National Natural Science Foundation of China (Nos. 61534007, 61404156, 61522407, 61604168, 61775230), the Key Frontier Scientific Research Program of the Chinese Academy of Sciences (No. QYZDB-SSW-JSC014), the Science and Technology Service Network Initiative of the Chinese Academy of Sciences, the Key R&D Program of Jiangsu Province (No. BE2017079), the Natural Science Foundation of Jiangsu Province (No. BK20160401), and the China Postdoctoral Science Foundation (No. 2016M591944). This work was also supported by the Open Fund of the State Key Laboratory of Luminescence and Applications (No. SKLA-2016-01), the Open Fund of the State Key Laboratory on Integrated Optoelectronics (Nos. IOSKL2016KF04, IOSKL2016KF07), and the Seed Fund from SINANO

  2. Ni3Si2 nanowires grown in situ on Ni foam for high-performance supercapacitors

    Science.gov (United States)

    Jiang, Yizhe; Li, Zhihui; Li, Beibei; Zhang, Jinying; Niu, Chunming

    2016-07-01

    Ni3Si2 nanowires and nanoawls have grown in situ on the surface of Ni foams by a controlled low pressure chemical vapor deposition process. Structural characterization shows that the individual Ni3Si2 nanowire is single crystal covered with a thin layer (1-2 nm) of SiO2 with a diameter of ∼20-30 nm and length of ten's micrometers. Individual nanoawl with a circular cone shape is polycrystalline. Both Ni3Si2 nanowire and nanoawl samples are evaluated as potential electrode materials for supercapacitors. The nanowire electrode delivers a very high specific capacitance and excellent rate capability. A specific capacitance of 760 F g-1 is measured at current density of 0.5 A g-1, which decreases to 518 F g-1 when the current density increases to 10 A g-1. The capacitance is dominated by pseudocapacitance with a mechanism similar to that of NiO or Ni(OH)2 widely studied in the literature. An asymmetric supercapacitor fabricated by pairing Ni3Si2 nanowire electrode with an activated carbon electrode exhibits energy densities of 17.5 Wh kg-1 and 8.8 Wh kg-1 at power densites of 301 W kg-1 and 3000 W kg-1.

  3. Engineering helimagnetism in MnSi thin films

    Directory of Open Access Journals (Sweden)

    S. L. Zhang

    2016-01-01

    Full Text Available Magnetic skyrmion materials have the great advantage of a robust topological magnetic structure, which makes them stable against the superparamagnetic effect and therefore a candidate for the next-generation of spintronic memory devices. Bulk MnSi, with an ordering temperature of 29.5 K, is a typical skyrmion system with a propagation vector periodicity of ∼18 nm. One crucial prerequisite for any kind of application, however, is the observation and precise control of skyrmions in thin films at room-temperature. Strain in epitaxial MnSi thin films is known to raise the transition temperature to 43 K. Here we show, using magnetometry and x-ray spectroscopy, that the transition temperature can be raised further through proximity coupling to a ferromagnetic layer. Similarly, the external field required to stabilize the helimagnetic phase is lowered. Transmission electron microscopy with element-sensitive detection is used to explore the structural origin of ferromagnetism in these Mn-doped substrates. Our work suggests that an artificial pinning layer, not limited to the MnSi/Si system, may enable room temperature, zero-field skyrmion thin-film systems, thereby opening the door to device applications.

  4. Engineering helimagnetism in MnSi thin films

    Science.gov (United States)

    Zhang, S. L.; Chalasani, R.; Baker, A. A.; Steinke, N.-J.; Figueroa, A. I.; Kohn, A.; van der Laan, G.; Hesjedal, T.

    2016-01-01

    Magnetic skyrmion materials have the great advantage of a robust topological magnetic structure, which makes them stable against the superparamagnetic effect and therefore a candidate for the next-generation of spintronic memory devices. Bulk MnSi, with an ordering temperature of 29.5 K, is a typical skyrmion system with a propagation vector periodicity of ˜18 nm. One crucial prerequisite for any kind of application, however, is the observation and precise control of skyrmions in thin films at room-temperature. Strain in epitaxial MnSi thin films is known to raise the transition temperature to 43 K. Here we show, using magnetometry and x-ray spectroscopy, that the transition temperature can be raised further through proximity coupling to a ferromagnetic layer. Similarly, the external field required to stabilize the helimagnetic phase is lowered. Transmission electron microscopy with element-sensitive detection is used to explore the structural origin of ferromagnetism in these Mn-doped substrates. Our work suggests that an artificial pinning layer, not limited to the MnSi/Si system, may enable room temperature, zero-field skyrmion thin-film systems, thereby opening the door to device applications.

  5. Engineering helimagnetism in MnSi thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, S. L.; Hesjedal, T., E-mail: Thorsten.Hesjedal@physics.ox.ac.uk [Department of Physics, Clarendon Laboratory, University of Oxford, Oxford, OX1 3PU (United Kingdom); Chalasani, R.; Kohn, A. [Department of Materials Science and Engineering, Tel Aviv University, Ramat Aviv 6997801, Tel Aviv (Israel); Baker, A. A. [Department of Physics, Clarendon Laboratory, University of Oxford, Oxford, OX1 3PU (United Kingdom); Magnetic Spectroscopy Group, Diamond Light Source, Didcot, OX11 0DE (United Kingdom); Steinke, N.-J. [ISIS, Harwell Science and Innovation Campus, Didcot, Oxfordshire, OX11 0QX (United Kingdom); Figueroa, A. I.; Laan, G. van der [Magnetic Spectroscopy Group, Diamond Light Source, Didcot, OX11 0DE (United Kingdom)

    2016-01-15

    Magnetic skyrmion materials have the great advantage of a robust topological magnetic structure, which makes them stable against the superparamagnetic effect and therefore a candidate for the next-generation of spintronic memory devices. Bulk MnSi, with an ordering temperature of 29.5 K, is a typical skyrmion system with a propagation vector periodicity of ∼18 nm. One crucial prerequisite for any kind of application, however, is the observation and precise control of skyrmions in thin films at room-temperature. Strain in epitaxial MnSi thin films is known to raise the transition temperature to 43 K. Here we show, using magnetometry and x-ray spectroscopy, that the transition temperature can be raised further through proximity coupling to a ferromagnetic layer. Similarly, the external field required to stabilize the helimagnetic phase is lowered. Transmission electron microscopy with element-sensitive detection is used to explore the structural origin of ferromagnetism in these Mn-doped substrates. Our work suggests that an artificial pinning layer, not limited to the MnSi/Si system, may enable room temperature, zero-field skyrmion thin-film systems, thereby opening the door to device applications.

  6. Influence of Si-doping on heteroepitaxially grown a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Bastek, Barbara; Noltemeyer, Martin; Hempel, Thomas; Rohrbeck, Antje; Witte, Hartmut; Veit, Peter; Blaesing, Juergen; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-Universitaet Magdeburg, FNW/IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2011-07-01

    Si-doped a-plane GaN samples with nominal doping levels up to 10{sup 20} cm{sup -3} were grown on r-plane sapphire by metal organic vapor phase epitaxy. Silane flow rates higher than 59 nmol/min lead to three dimensional grown crystallites as revealed by scanning electron microscopy. High resolution X-ray diffraction, photoluminescence and cathodoluminescence suggest considerably reduced defect densities in the large micrometer-sized GaN crystallites. Especially, transmission electron microscopy images verify a very low density of basal plane stacking faults less than 10{sup 4} cm{sup -1} in these crystallites consisting of heteroepitaxially grown a-plane GaN. In our presentation the influence of the Si doping on the basal plane stacking faults will be discussed.

  7. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  8. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  9. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  10. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  11. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  12. Investigations of Si Thin Films as Anode of Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Qingliu [Department of Chemical; Shi, Bing; Bareño, Javier; Liu, Yuzi; Maroni, Victor A.; Zhai, Dengyun; Dees, Dennis W.; Lu, Wenquan

    2018-01-22

    Amorphous silicon thin films having various thicknesses were investigated as a negative electrode material for lithium-ion batteries. Electrochemical characterization of the 20 nm thick thin silicon film revealed a very low first cycle Coulombic efficiency, which can be attributed to the silicon oxide layer formed on both the surface of the as-deposited Si thin film and the interface between the Si and the substrate. Among the investigated films, the 100 nm Si thin film demonstrated the best performance in terms of first cycle efficiency and cycle life. Observations from scanning electron microscopy demonstrated that the generation of cracks was inevitable in the cycled Si thin films, even as the thickness of the film was as little as 20 nm, which was not predicted by previous modeling work. However, the cycling performance of the 20 and 100 nm silicon thin films was not detrimentally affected by these cracks. The poor capacity retention of the 1 mu m silicon thin film was attributed to the delamination.

  13. Interfacial stability of CoSi2/Si structures grown by molecular beam epitaxy

    Science.gov (United States)

    George, T.; Fathauer, R. W.

    1992-01-01

    The stability of CoSi2/Si interfaces was examined in this study using columnar silicide structures grown on (111) Si substrates. In the first set of experiments, Co and Si were codeposited using MBE at 800 C and the resulting columnar silicide layer was capped by epitaxial Si. Deposition of Co on the surface of the Si capping layer at 800 C results in the growth of the buried silicide columns. The buried columns grow by subsurface diffusion of the deposited Co, suppressing the formation of surface islands of CoSi2. The column sidewalls appear to be less stable than the top and bottom interfaces, resulting in preferential lateral growth and ultimately in the coalescence of the columns to form a continuous buried CoSi2 layer. In the second set of experiments, annealing of a 250 nm-thick buried columnar layer at 1000 C under a 100 nm-thick Si capping layer results in the formation of a surface layer of CoSi2 with a reduction in the sizes of the CoSi2 columns. For a sample having a thicker Si capping layer the annealing leads to Ostwald ripening producing buried equiaxed columns. The high CoSi2/Si interfacial strain could provide the driving force for the observed behavior of the buried columns under high-temperature annealing.

  14. GaN thin films on SiC substrates studied using variable energy positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Hu, Y.F.; Shan, Y.Y.; Beling, C.D.; Fung, S.; Xie, M.H.; Cheung, S.H.; Tu, J.; Tong, D.S.Y.

    2001-01-01

    A variety of GaN epilayers, grown on 6H-SiC substrates using different growth conditions, have been studied using variable energy positron annihilation spectroscopy. In the S-E plots, a peak structure in the S-parameter is seen which is related to the GaN/substrate heterojunction. The position of the peak is found to be much closer to the sample surface than expected from simple mean implantation depth arguments. This anomaly is attributed to the fact that there is a rectifying potential step that prevents diffusing positrons in the GaN from entering the SiC substrate. This effect has been successfully mimicked by inserting an artificial electric field into the thin interfacial region in the VEPFIT analysis. (orig.)

  15. Ellipsometry study on Pd thin film grown by atomic layer deposition with Maxwell–Garnett effective medium approximation model

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yihang; Zhou, Xueqi; Cao, Kun [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Xiuguo; Deng, Zhang [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan, E-mail: shyliu@hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Shan, Bin [State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Rong, E-mail: rongchen@mail.hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2015-10-30

    Maxwell–Garnett effective medium approximation (MG-EMA) model is chosen to study Pd ultrathin film grown on Si substrate, as well as its growth on self-assembled monolayers (SAMs) modified substrate respectively. The general oscillator (GO) model with one Drude and two Lorentz oscillators is firstly applied to fix the optical constants of Pd. Compared with Pd bulk model, MG-EMA model with GO is more reliable to predict the film thickness verified by X-ray reflection test. The stable growth rate on Si substrate reveals our methods are feasible and the quartz crystal microbalance measurement confirms the stability of the ALD chamber. For Pd coverage, MG-EMA fitting result is similar to the statistical computation from scanning electron microscope when Pd ALD cycles are over 400, while large bias exists for cycles under 400, might be due to that air is not the proper filling medium between nanoparticles. Then we change the filling medium into SAMs as a comparison, better fitting performance is obtained. It is demonstrated that the filling medium between nanoparticles is important for the application of MG-EMA model. - Highlights: • Ultrathin Pd thin films were grown by atomic layer deposition. • The measurement of thin film was important to understand initial growth behavior. • Maxwell–Garnett effective medium approximation model was applied. • Pd nanoparticle size and coverage were studied. • The filling medium between nanoparticles was important for model application.

  16. Electrical and optical properties of a n-type ZnO thin film deposited on a Si substrate by using a double RF Co-sputtering method

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jonghyun; Choi, Wonjoon; Kim, Chaeok; Hong, Jinpyo; Nahm, Tschanguh [Hanyang University, Seoul (Korea, Republic of); Cheong, Hyeonsik [Sogang University, Seoul (Korea, Republic of)

    2006-09-15

    Outstanding n-type ZnO thin films were prepared on Si substrates by utilizing a double RF cosputtering method. Our unique double RF technique has many attractive merits for synthesizing ZnO thin films with excellent optoelectronic properties at various temperatures. The ZnO thin films were also post-annealed at various temperatures. The X-ray diffraction patterns and X-ray photoelectron spectroscopy indicated well-grown ZnO films with a (002) orientation and with gorgeous chemically bond states, respectively. In addition, photoluminescence measurements indicated a band-gap of 3.4 eV in the ZnO films. The scanning electron microscopy images showed that the as-grown ZnO thin film had hexagonal column shapes, such as hexagonal rods. The ZnO film exhibited an UV light response with a cut-off wavelength of {approx}370 nm at room temperature.

  17. Optical performance of thin films produced by the pulsed laser deposition of SiAlON and Er targets

    Energy Technology Data Exchange (ETDEWEB)

    Camps, I., E-mail: camps@io.cfmac.csic.es [Laser Processing Group, Instituto de Óptica, CSIC, C/Serrano 121, 28006 Madrid (Spain); Ramírez, J.M. [MIND-IN2UB, Departament d’Electrònica, Universitat de Barcelona, c/Martí i Franqués 1, 08028 Barcelona (Spain); Mariscal, A.; Serna, R. [Laser Processing Group, Instituto de Óptica, CSIC, C/Serrano 121, 28006 Madrid (Spain); Garrido, B. [MIND-IN2UB, Departament d’Electrònica, Universitat de Barcelona, c/Martí i Franqués 1, 08028 Barcelona (Spain); Perálvarez, M.; Carreras, J. [IREC, Fundació Privada Institut de Recerca en Energia de Catalunya (Spain); Barradas, N.P.; Alves, L.C. [C" 2TN, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10, 2695-066 Bobadela (Portugal); Alves, E. [IPFN, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10, 2695-066 Bobadela (Portugal)

    2015-05-01

    Highlights: • PLD production of Er-doped thin films from a low cost commercial SiAlON target. • The role of the ablation fluence on the composition, optical properties as well as on the light emission performance at 1.5 μm. • The optimized performance is obtained for the samples deposited at the higher used ablation energy density. Further improvement was achieved through annealing. - Abstract: We report the preparation and optical performance of thin films produced by pulsed laser deposition in vacuum at room temperature, by focusing an ArF excimer laser onto two separate targets: a commercial ceramic SiAlON and a metallic Er target. As a result of the alternate deposition Er:SiAlON films were formed. The as grown films exhibited an Er-related emission peaking at 1532 nm. The role of the PLD energy density during deposition on the final matrix film was investigated, in order to achieve an optimized matrix composition with enhanced optical properties, and its effect on the light emission performance.

  18. Deposition of stress free c-axis oriented LiNbO{sub 3} thin film grown on (002) ZnO coated Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Shandilya, Swati; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Tomar, Monika [Miranda House, University of Delhi, Delhi 110007 (India)

    2012-05-15

    C-axis oriented lithium niobate thin films have been deposited on Si substrate using RF sputtering technique. A thin buffer layer of c-axis (002) oriented ZnO on Si substrate has been used as a nucleating layer to promote the growth of (006) oriented LiNbO{sub 3} film. The processing gas composition and pressure are found to be very critical in obtaining stress free LiNbO{sub 3} film having desired (006) orientation. The LiNbO{sub 3} films deposited under unique combination of sputtering pressure (10 mTorr) and argon percentage (80%) in reactive gas (Ar + O{sub 2}) composition become almost stress free having lattice parameter (1.3867 A) close to the bulk value. The observed variation in the structural properties and optical phonon modes observed by Raman spectroscopic studies of the oriented LiNbO{sub 3} thin film with stress has been correlated with growth kinetics.

  19. Thick Bi2Sr2CaCu2O8+δ films grown by liquid-phase epitaxy for Josephson THz applications

    Science.gov (United States)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.; Benseman, T.; Hao, Y.; Kesgin, I.; Claus, H.; Pearson, J.; Kwok, W.-K.; Welp, U.

    2018-01-01

    Theoretical and experimental studies of intrinsic Josephson junctions (IJJs) that naturally occur in high-T c superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid-phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature of underdamped IJJs, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.

  20. Strong white and blue photoluminescence from silicon nanocrystals in SiNx grown by remote PECVD using SiCl4/NH3

    International Nuclear Information System (INIS)

    Benami, A; Santana, G; Ortiz, A; Ponce, A; Romeu, D; Aguilar-Hernandez, J; Contreras-Puente, G; Alonso, J C

    2007-01-01

    Strong white and blue photoluminescence (PL) from as-grown silicon nanocrystals (nc-Si) in SiN x films prepared by remote plasma enhanced chemical vapour deposition using SiCl 4 /NH 3 mixtures is reported. The colour and intensity of the PL could be controlled by adjusting the NH 3 flow rate. Samples with white emission were annealed at 1000 deg. C, obtaining a strong improvement of the PL intensity with a blue colour. The PL can be attributed to quantum confinement effect in nc-Si embedded in SiN x matrix, which is improved when a better passivation of nc-Si surface with chlorine and nitrogen atoms is obtained. The size, density and structure of the nc-Si in the as-grown and annealed films were confirmed and measured by high-resolution transmission electron microscopy

  1. Textured surface boron-doped ZnO transparent conductive oxides on polyethylene terephthalate substrates for Si-based thin film solar cells

    International Nuclear Information System (INIS)

    Chen Xinliang; Lin Quan; Ni Jian; Zhang Dekun; Sun Jian; Zhao Ying; Geng Xinhua

    2011-01-01

    Textured surface boron-doped zinc oxide (ZnO:B) thin films were directly grown via low pressure metal organic chemical vapor deposition (LP-MOCVD) on polyethylene terephthalate (PET) flexible substrates at low temperatures and high-efficiency flexible polymer silicon (Si) based thin film solar cells were obtained. High purity diethylzinc and water vapors were used as source materials, and diborane was used as an n-type dopant gas. P-i-n silicon layers were fabricated at ∼ 398 K by plasma enhanced chemical vapor deposition. These textured surface ZnO:B thin films on PET substrates (PET/ZnO:B) exhibit rough pyramid-like morphology with high transparencies (T ∼ 80%) and excellent electrical properties (Rs ∼ 10 Ω at d ∼ 1500 nm). Finally, the PET/ZnO:B thin films were applied in flexible p-i-n type silicon thin film solar cells (device structure: PET/ZnO:B/p-i-n a-Si:H/Al) with a high conversion efficiency of 6.32% (short-circuit current density J SC = 10.62 mA/cm 2 , open-circuit voltage V OC = 0.93 V and fill factor = 64%).

  2. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rui [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Han, Lihao [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Huang, Zhuangqun; Ferrer, Ivonne M. [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Smets, Arno H.M.; Zeman, Miro [Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Brunschwig, Bruce S., E-mail: bsb@caltech.edu [Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Lewis, Nathan S., E-mail: nslewis@caltech.edu [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA 91125 (United States)

    2015-07-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe{sub 3} and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films.

  3. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    International Nuclear Information System (INIS)

    Liu, Rui; Han, Lihao; Huang, Zhuangqun; Ferrer, Ivonne M.; Smets, Arno H.M.; Zeman, Miro; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe 3 and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films

  4. Structural, morphological and electronic properties of pulsed laser grown Eu2O3 thin films

    Science.gov (United States)

    Kumar, Sandeep; Prakash, Ram; Choudhary, R. J.; Phase, D. M.

    2018-05-01

    Herein, we report the growth, structural, morphological and electronic properties of Europium sesquioxide (Eu2O3) thin films on Si [1 0 0] substrate using pulsed laser deposition technique. The films were deposited at ˜750 °C substrate temperature while the oxygen partial pressure (OPP) was varied (vacuum,˜1 mTorr, ˜10 mTorr and ˜300 mTorr). X-ray diffraction results confirm the single phase cubic structure of the film grown at ˜300 mTorr. The XRD results are also supported by the Raman's spectroscopy results. Eu-3d XPS core level spectra confirms the dominant contributions from the "3+" states of Eu in the film.

  5. BACK TO THE ORIGINS OF THE REPUDIATION OF WUNDT: OSWALD KÜLPE AND RICHARD AVENARIUS.

    Science.gov (United States)

    Russo Krauss, Chiara

    2017-01-01

    This essay provides a fresh account of the break between Oswald Külpe and his master Wilhelm Wundt. Kurt Danziger's reconstruction of the "repudiation" of Wundt, which has become the canon for this significant episode of history of psychology, focused on the supposed influence of Ernst Mach on this set of events, overshadowing the other exponent of Empiriocriticism: Richard Avenarius. Analyzing archival documents and examining anew the primary sources, the paper shows that Avenarius was himself a member of Wundt's circle, and that his "repudiation" of the master paved the way for Külpe. The essay points out the original anti-Wundtian aspects of Avenarius' notion of psychology, thus showing how they were then adopted by Külpe. © 2016 Wiley Periodicals, Inc.

  6. Research of morphology and structure of 3C–SiC thin films on silicon by electron microscopy and X-ray diffractometry

    Directory of Open Access Journals (Sweden)

    Alexander S. Gusev

    2015-12-01

    Full Text Available Thin films of silicon carbide possessing unique properties attract increasing attention of researchers both in the field of semiconductor physics and in the technology of new semiconductor devices for high power, RF and optoelectronics. The growth of the production of silicon carbide based devices promotes the search for more resource saving and safe SiC layer synthesis technologies. Potential method is pulse laser deposition (PLD in vacuum. This technology does not require the use of chemically aggressive and explosive gases and allows forming thin and continuous coatings with thicknesses of from several nanometers at relatively low substrate temperatures. Submicron thickness silicon carbide films have been grown on single crystal silicon by vacuum laser ablation of a ceramic target. The physical and technological parameters of silicon carbide thin film low temperature synthesis by PLD have been studied and, in particular, the effect of temperature and substrate crystalline orientation on the composition, structural properties and morphology of the surface of the experimental specimens has been analyzed. At above 500 °C the crystalline β-SiC phase forms on Si (100 and (111. At a substrate temperature of 950 °C the formation of textured heteroepitaxial 3C–SiC films was observed.

  7. TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films

    International Nuclear Information System (INIS)

    Lomenzo, Patrick D.; Nishida, Toshikazu; Takmeel, Qanit; Zhou, Chuanzhen; Fancher, Chris M.; Jones, Jacob L.; Lambers, Eric; Rudawski, Nicholas G.; Moghaddam, Saeed

    2015-01-01

    Ferroelectric HfO 2 -based thin films, which can exhibit ferroelectric properties down to sub-10 nm thicknesses, are a promising candidate for emerging high density memory technologies. As the ferroelectric thickness continues to shrink, the electrode-ferroelectric interface properties play an increasingly important role. We investigate the TaN interface properties on 10 nm thick Si-doped HfO 2 thin films fabricated in a TaN metal-ferroelectric-metal stack which exhibit highly asymmetric ferroelectric characteristics. To understand the asymmetric behavior of the ferroelectric characteristics of the Si-doped HfO 2 thin films, the chemical interface properties of sputtered TaN bottom and top electrodes are probed with x-ray photoelectron spectroscopy. Ta-O bonds at the bottom electrode interface and a significant presence of Hf-N bonds at both electrode interfaces are identified. It is shown that the chemical heterogeneity of the bottom and top electrode interfaces gives rise to an internal electric field, which causes the as-grown ferroelectric domains to preferentially polarize to screen positively charged oxygen vacancies aggregated at the oxidized bottom electrode interface. Electric field cycling is shown to reduce the internal electric field with a concomitant increase in remanent polarization and decrease in relative permittivity. Through an analysis of pulsed transient switching currents, back-switching is observed in Si-doped HfO 2 thin films with pinched hysteresis loops and is shown to be influenced by the internal electric field

  8. Reduction in the formation temperature of Poly-SiGe alloy thin film in Si/Ge system

    Science.gov (United States)

    Tah, Twisha; Singh, Ch. Kishan; Madapu, K. K.; Sarguna, R. M.; Magudapathy, P.; Ilango, S.

    2018-04-01

    The role of deposition temperature in the formation of poly-SiGe alloy thin film in Si/Ge system is reported. For the set ofsamples deposited without any intentional heating, initiation of alloying starts upon post annealingat ˜ 500 °C leading to the formation of a-SiGe. Subsequently, poly-SiGe alloy phase could formonly at temperature ≥ 800 °C. Whereas, for the set of samples deposited at 500 °C, in-situ formation of poly-SiGe alloy thin film could be observed. The energetics of the incoming evaporated atoms and theirsubsequent diffusionsin the presence of the supplied thermal energy is discussed to understand possible reasons for lowering of formation temperature/energyof the poly-SiGe phase.

  9. pH Dependent Studies of Chemical Bath Deposition Grown ZnO-SiO{sub 2} Core-Shell Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Seth, Rajni; Panwar, Sanjay [Maharishi Markandeshwar University, Ambala (India); Kumar, Sunil; Kang, T. W.; Jeon, H. C. [Dongguk University, Seoul (Korea, Republic of)

    2017-01-15

    ZnO-SiO{sub 2} core-shell thin films were synthesized using chemical-bath deposition at different pH. Optical studies were done to optimize the thin films to find suitable parameters for solar cell buffer layers. These studies were done by measuring the transmission at 500 nm, which is the peak of the solar spectrum. All the parameters were seen to be highly pH dependent. The transmittance for a sample synthesized with a pH of 10.8 reached 85%. The transmittance was found not to depend on the bandgap values, but it was found possibly to depend on the fewer defect states created at a particular pH, as shown by Urbach energy and scanning electron microscopy (SEM) surface structure. An appreciable transmittance was observed in the blue region of the spectrum which had been missing until now in commercial CdS-based buffer layers. The Fourier-transform infrared and the energy dispersive X-ray spectra confirmed that the films were composed of only ZnO and silica only : no impurities were found. The urbach energy values and the SEM image of sample S3 clearly indicate the creation of fewer of defects, leading to higher crystallintiy and higher transmittance. Therefore, this shortcoming can be resolved by the substituted buffer layer of ZnO:SiO{sub 2} nano-composite thin film, which can enhance the blue response of the photovoltaic cells.

  10. Prospects of III-nitride optoelectronics grown on Si

    International Nuclear Information System (INIS)

    Zhu, D; Wallis, D J; Humphreys, C J

    2013-01-01

    The use of III-nitride-based light-emitting diodes (LEDs) is now widespread in applications such as indicator lamps, display panels, backlighting for liquid-crystal display TVs and computer screens, traffic lights, etc. To meet the huge market demand and lower the manufacturing cost, the LED industry is moving fast from 2 inch to 4 inch and recently to 6 inch wafer sizes. Although Al 2 O 3 (sapphire) and SiC remain the dominant substrate materials for the epitaxy of nitride LEDs, the use of large Si substrates attracts great interest because Si wafers are readily available in large diameters at low cost. In addition, such wafers are compatible with existing processing lines for 6 inch and larger wafers commonly used in the electronics industry. During the last decade, much exciting progress has been achieved in improving the performance of GaN-on-Si devices. In this contribution, the status and prospects of III-nitride optoelectronics grown on Si substrates are reviewed. The issues involved in the growth of GaN-based LED structures on Si and possible solutions are outlined, together with a brief introduction to some novel in situ and ex situ monitoring/characterization tools, which are especially useful for the growth of GaN-on-Si structures. (review article)

  11. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  12. Distribution of Al and in impurities along homogeneous Ge-Si crystals grown by the Czochralski method using Si feeding rod

    Science.gov (United States)

    Kyazimova, V. K.; Alekperov, A. I.; Zakhrabekova, Z. M.; Azhdarov, G. Kh.

    2014-05-01

    A distribution of Al and In impurities in Ge1 - x Si x crystals (0 ≤ x ≤ 0.3) grown by a modified Czochralski method (with continuous feeding of melt using a Si rod) have been studied experimentally and theoretically. Experimental Al and In concentrations along homogeneous crystals have been determined from Hall measurements. The problem of Al and In impurity distribution in homogeneous Ge-Si single crystals grown in the same way is solved within the Pfann approximation. A set of dependences of Al and In concentrations on the crystal length obtained within this approximation demonstrates a good correspondence between the experimental and theoretical data.

  13. Strain-symmetrized Si/SiGe multi-quantum well structures grown by molecular beam epitaxy for intersubband engineering

    International Nuclear Information System (INIS)

    Zhao, M.; Karim, A.; Ni, W.-X.; Pidgeon, C.R.; Phillips, P.J.; Carder, D.; Murdin, B.N.; Fromherz, T.; Paul, D.J.

    2006-01-01

    Three strain-symmetrized Si/SiGe multi-quantum well structures, designed for probing the carrier lifetime of intrawell intersubband transitions between heavy hole 1 (HH1) and light hole 1 (LH1) states with transition energies below the optical phonon energy, were grown by molecular beam epitaxy at low temperature on fully relaxed SiGe virtual substrates. The grown structures were characterized by using various experimental techniques, showing a high crystalline quality and very precise growth control. The lifetime of the LH1 excited state was determined directly with pump-probe spectroscopy. The measurements indicated an increase of the lifetime by a factor of ∼2 due to the increasingly unconfined LH1 state, which agreed very well with the design. It also showed a very long lifetime of several hundred picoseconds for the holes excited out of the well to transit back to the well through a diagonal process

  14. Nanocrystalline magnetite thin films grown by dual ion-beam sputtering

    International Nuclear Information System (INIS)

    Prieto, Pilar; Ruiz, Patricia; Ferrer, Isabel J.; Figuera, Juan de la; Marco, José F.

    2015-01-01

    Highlights: • We have grown tensile and compressive strained nanocrystalline magnetite thin films by dual ion beam sputtering. • The magnetic and thermoelectric properties can be controlled by the deposition conditions. • The magnetic anisotropy depends on the crystalline grain size. • The thermoelectric properties depend on the type of strain induced in the films. • In plane uniaxial magnetic anisotropy develops in magnetite thin films with grain sizes ⩽20 nm. - Abstract: We have explored the influence of an ion-assisted beam in the thermoelectric and magnetic properties of nanocrystalline magnetite thin films grown by ion-beam sputtering. The microstructure has been investigated by XRD. Tensile and compressive strained thin films have been obtained as a function of the parameters of the ion-assisted beam. The evolution of the in-plane magnetic anisotropy was attributed to crystalline grain size. In some films, magneto-optical Kerr effect measurements reveal the existence of uniaxial magnetic anisotropy induced by the deposition process related with a small grain size (⩽20 nm). Isotropic magnetic properties have observed in nanocrystalline magnetite thin film having larger grain sizes. The largest power factor of all the films prepared (0.47 μW/K 2 cm), obtained from a Seebeck coefficient of −80 μV/K and an electrical resistivity of 13 mΩ cm, is obtained in a nanocrystalline magnetite thin film with an expanded out-of-plane lattice and with a grain size ≈30 nm

  15. Cell adhesion to cathodic arc plasma deposited CrAlSiN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun Kyu, E-mail: skim@ulsan.ac.kr [School of Materials Science and Engineering, University of Ulsan, Ulsan 680-749 (Korea, Republic of); Pham, Vuong-Hung [Department of Materials Science and Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Kim, Chong-Hyun [Department of Food Science, Cornell University, Ithaca, NY 14853 (United States)

    2012-07-01

    Osteoblast cell response (cell adhesion, actin cytoskeleton and focal contact adhesion as well as cell proliferation) to CrN, CrAlSiN and Ti thin films was evaluated in vitro. Cell adhesion and actin stress fibers organization depended on the film composition significantly. Immunofluorescent staining of vinculin in osteoblast cells showed good focal contact adhesion on the CrAlSiN and Ti thin films but not on the CrN thin films. Cell proliferation was significantly greater on the CrAlSiN thin films as well as on Ti thin films than on the CrN thin films.

  16. Surface acoustic wave devices on AlN/3C–SiC/Si multilayer structures

    International Nuclear Information System (INIS)

    Lin, Chih-Ming; Lien, Wei-Cheng; Riekkinen, Tommi; Senesky, Debbie G; Pisano, Albert P; Chen, Yung-Yu; Felmetsger, Valery V

    2013-01-01

    Surface acoustic wave (SAW) propagation characteristics in a multilayer structure including a piezoelectric aluminum nitride (AlN) thin film and an epitaxial cubic silicon carbide (3C–SiC) layer on a silicon (Si) substrate are investigated by theoretical calculation in this work. Alternating current (ac) reactive magnetron sputtering was used to deposit highly c-axis-oriented AlN thin films, showing the full width at half maximum (FWHM) of the rocking curve of 1.36° on epitaxial 3C–SiC layers on Si substrates. In addition, conventional two-port SAW devices were fabricated on the AlN/3C–SiC/Si multilayer structure and SAW propagation properties in the multilayer structure were experimentally investigated. The surface wave in the AlN/3C–SiC/Si multilayer structure exhibits a phase velocity of 5528 m s −1 and an electromechanical coupling coefficient of 0.42%. The results demonstrate the potential of AlN thin films grown on epitaxial 3C–SiC layers to create layered SAW devices with higher phase velocities and larger electromechanical coupling coefficients than SAW devices on an AlN/Si multilayer structure. Moreover, the FWHM values of rocking curves of the AlN thin film and 3C–SiC layer remained constant after annealing for 500 h at 540 °C in air atmosphere. Accordingly, the layered SAW devices based on AlN thin films and 3C–SiC layers are applicable to timing and sensing applications in harsh environments. (paper)

  17. High-power AlGaN-based near-ultraviolet light-emitting diodes grown on Si(111)

    Science.gov (United States)

    Li, Zengcheng; Liu, Legong; Huang, Yingnan; Sun, Qian; Feng, Meixin; Zhou, Yu; Zhao, Hanmin; Yang, Hui

    2017-07-01

    High-power AlGaN-based 385 nm near-ultraviolet light-emitting diodes (UVA-LEDs) grown on Si(111) substrates are reported. The threading dislocation (TD) density of AlGaN was reduced by employing an Al-composition step-graded AlN/AlGaN multilayer buffer. V-shaped pits were intentionally incorporated into the active region to screen the carriers from the nonradiative recombination centers (NRCs) around the TDs and to facilitate hole injection. The light extraction efficiency was enhanced by the surface roughening of a thin-film (TF) vertical chip structure. The as-fabricated TF-UVA-LED exhibited a light output power of 960 mW at 500 mA, corresponding to an external quantum efficiency of 59.7%.

  18. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Hazra, Purnima; Singh, Satyendra Kumar [Department of Electronics and Communication Engineering, Motilal Neheru National Institute of Technology, Allahabad 211004 (India); Jit, Satyabrata, E-mail: sjit.ece@itbhu.ac.in [Department of Electronics Engineering, Indian Institute of Technology (BHU), Varanasi 221005 (India)

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance (<3%) in the visible wavelength region compared to Si/ZnO heterojunctions (>20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the

  19. Impact of surface morphology of Si substrate on performance of Si/ZnO heterojunction devices grown by atomic layer deposition technique

    International Nuclear Information System (INIS)

    Hazra, Purnima; Singh, Satyendra Kumar; Jit, Satyabrata

    2015-01-01

    In this paper, the authors have investigated the structural, optical, and electrical characteristics of silicon nanowire (SiNW)/zinc oxide (ZnO) core–shell nanostructure heterojunctions and compared their characteristics with Si/ZnO planar heterojunctions to investigate the effect of surface morphology of Si substrate in the characteristics of Si/ZnO heterojunction devices. In this work, ZnO thin film was conformally deposited on both p-type 〈100〉 planar Si substrate and substrate with vertically aligned SiNW arrays by atomic layer deposition (ALD) method. The x-ray diffraction spectra show that the crystalline structures of Si/ZnO heterojunctions are having (101) preferred orientation, whereas vertically oriented SiNW/ZnO core–shell heterojunctions are having (002)-oriented wurtzite crystalline structures. The photoluminescence (PL) spectra of Si/ZnO heterojunctions show a very sharp single peak at 377 nm, corresponding to the bandgap of ZnO material with no other defect peaks in visible region; hence, these devices can have applications only in UV region. On the other hand, SiNW/ZnO heterojunctions are having band-edge peak at 378 nm along with a broad emission band, spreading almost throughout the entire visible region with a peak around 550 nm. Therefore, ALD-grown SiNW/ZnO heterojunctions can emit green and red light simultaneously. Reflectivity measurement of the heterojunctions further confirms the enhancement of visible region peak in the PL spectra of SiNW/ZnO heterojunctions, as the surface of the SiNW/ZnO heterojunctions exhibits extremely low reflectance ( 20%). The current–voltage characteristics of both Si/ZnO and SiNW/ZnO heterojunctions are measured with large area ohmic contacts on top and bottom of the structure to compare the electrical characteristics of the devices. Due to large surface to-volume ratio of SiNW/ZnO core–shell heterojunction devices, the output current rating is about 130 times larger compared to their planar

  20. Effects of SiO2 encapsulation and laser processing on single CdTe/ZnTe quantum dots grown on Si (001) substrates

    International Nuclear Information System (INIS)

    Lee, Hong Seok; Rastelli, Armando; Schmidt, Oliver G.; Kim, Tae Whan; Lee, In Won

    2011-01-01

    Micro-photoluminescence (μ-PL) measurements are carried out to investigate the effects of SiO 2 encapsulation and laser processing of single CdTe/ZnTe quantum dots (QDs) grown on Si (001) substrates by using molecular beam epitaxy and atomic layer epitaxy. After laser processing, the μ-PL peak shift for the 200-nm SiO 2 capped single QD is larger than that of the as-grown sample. The large μ-PL peak shift in the 200-nm SiO 2 capped sample is related to the compressive stress induced by the ZnTe cap layer during laser processing. These results indicate that SiO 2 encapsulation and laser processing represent effective methods for achieving local wavelength tuning in single QDs.

  1. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  2. Study on grown-in defects in CZ-Si by positron annihilation

    International Nuclear Information System (INIS)

    Nakagawa, S.; Hori, F.; Oshima, R.

    2004-01-01

    In order to study the nature of grown-in microdefects of a silicon wafer taken from a czochralski-grown single crystal (CZ-Si) in which ring oxidation-induced stacking faults (ring-OSF) are formed after oxidation heat treatment, positron annihilation coincidence Doppler broadening experiments (CDB) have been performed. Vacancy-type defects were detected in the central region of a wafer of an as-grown crystal, and they were changed with annealing. It was confirmed that different types of defects were formed in the regions of outside and inside of the ring-OSF. (orig.)

  3. Ultra-high current density thin-film Si diode

    Science.gov (United States)

    Wang, Qi [Littleton, CO

    2008-04-22

    A combination of a thin-film .mu.c-Si and a-Si:H containing diode structure characterized by an ultra-high current density that exceeds 1000 A/cm.sup.2, comprising: a substrate; a bottom metal layer disposed on the substrate; an n-layer of .mu.c-Si deposited the bottom metal layer; an i-layer of .mu.c-Si deposited on the n-layer; a buffer layer of a-Si:H deposited on the i-layer, a p-layer of .mu.c-Si deposited on the buffer layer; and a top metal layer deposited on the p-layer.

  4. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Thermal stability of iron silicide nanowires epitaxially grown on Si(110) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zou, Zhi-Qiang, E-mail: zouzhq@shanghaitech.edu.cn [School of Physical Science and Technology, ShanghaiTech University, 100 Haike Road, Pudong, Shanghai, 201210 (China); Li, Xu; Liu, Xiao-Yong; Shi, Kai-Juan; Guo, Xin-Qiu [Analytical and Testing Center, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai 200240 (China)

    2017-03-31

    Highlights: • The α-FeSi{sub 2} nanowires epitaxially grown on Si(110) can be stable up to 750 °C. • The stable temperature of the nanowires is much lower than that of the bulk α-FeSi{sub 2} due to their small size and high relative surface area. • With increasing annealing temperature, the α-FeSi{sub 2} nanowires undergo an Ostwald ripening process and transform into large β-FeSi{sub 2} nanorods or three-dimensional nanocrystals. • The reduction in surface energy drives the transformation from metallic α-FeSi{sub 2} phase to semiconducting β-FeSi{sub 2} phase. - Abstract: Metallic α-FeSi{sub 2} nanowires (NWs) are epitaxially grown on Si(110) at 650 °C. Their evolution as a function of annealing temperature has been studied in situ by scanning tunneling microscopy. The NWs are stable up to 750 °C, which is much lower than that of the bulk α-FeSi{sub 2}. With further increasing the annealing temperature, some NWs begin to shrink in length and transform into wider and higher semiconducting β-FeSi{sub 2} nanorods or three-dimensional (3D) islands at 925 °C. The phase transformation is driven by the reduction in surface energy. On the other hand, some α-FeSi{sub 2} NWs begin to dissolve and become thinner until disappearing. The growth of the β-FeSi{sub 2} nanorods or 3D nanocrystals follows the Ostwald ripening mechanism, i.e., the large islands grow in size at the expense of the small ones. X-ray photoelectron spectroscopy study shows that the Fe 2p peaks of β-FeSi{sub 2} nanocrystals exhibit a negative shift of 0.2 eV with respect to the α-FeSi{sub 2} NWs.

  6. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  7. CuAlO2 and CuAl2O4 thin films obtained by stacking Cu and Al films using physical vapor deposition

    Science.gov (United States)

    Castillo-Hernández, G.; Mayén-Hernández, S.; Castaño-Tostado, E.; DeMoure-Flores, F.; Campos-González, E.; Martínez-Alonso, C.; Santos-Cruz, J.

    2018-06-01

    CuAlO2 and CuAl2O4 thin films were synthesized by the deposition of the precursor metals using the physical vapor deposition technique and subsequent annealing. Annealing was carried out for 4-6 h in open and nitrogen atmospheres respectively at temperatures of 900-1000 °C with control of heating and cooling ramps. The band gap measurements ranged from 3.3 to 4.5 eV. Electrical properties were measured using the van der Pauw technique. The preferred orientations of CuAlO2 and CuAl2O4 were found to be along the (1 1 2) and (3 1 1) planes, respectively. The phase percentages were quantified using a Rietveld refinement simulation and the energy dispersive X-ray spectroscopy indicated that the composition is very close to the stoichiometry of CuAlO2 samples and with excess of aluminum and deficiency of copper for CuAl2O4 respectively. High resolution transmission electron microscopy identified the principal planes in CuAlO2 and in CuAl2O4. Higher purities were achieved in nitrogen atmosphere with the control of the cooling ramps.

  8. Growth and characterization of Ge nanostructures selectively grown on patterned Si

    International Nuclear Information System (INIS)

    Cheng, M.H.; Ni, W.X.; Luo, G.L.; Huang, S.C.; Chang, J.J.; Lee, C.Y.

    2008-01-01

    By utilizing different distribution of strain fields around the edges of oxide, which are dominated by a series of sizes of oxide-patterned windows, long-range ordered self-assembly Ge nanostructures, such as nano-rings, nano-disks and nano-dots, were selectively grown by ultra high vacuum chemical vapor deposition (UHV-CVD) on Si (001) substrates. High-resolution double-crystal symmetrical ω/2θ scans and two-dimensional reciprocal space mapping (2D-RSM) technologies employing the triple axis X-ray diffractometry have been used to evaluate the quality and strain status of as-deposited as well as in-situ annealed Ge nanostructures. Furthermore, we also compare the quality and strain status of Ge epilayers grown on planar unpatterned Si substrates. It was found that the quality of all Ge epitaxial structures is improved after in-situ annealing process and the quality of Ge nano-disk structures is better than that of Ge epilayers on planar unpatterned Si substrates, because oxide sidewalls are effective dislocation sinks. We also noted that the degree of relaxation for as-deposited Ge epilayers on planar unpatterned Si substrates is less than that for as-deposited Ge nano-disk structures. After in-situ annealing process, all Ge epitaxial structures are almost at full relaxation whatever Ge epitaxial structures grew on patterned or unpatterned Si substrates

  9. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  10. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  11. Interwell coupling effect in Si/SiGe quantum wells grown by ultra high vacuum chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Loh Ter-Hoe

    2007-01-01

    Full Text Available AbstractSi/Si0.66Ge0.34coupled quantum well (CQW structures with different barrier thickness of 40, 4 and 2 nm were grown on Si substrates using an ultra high vacuum chemical vapor deposition (UHV-CVD system. The samples were characterized using high resolution x-ray diffraction (HRXRD, cross-sectional transmission electron microscopy (XTEM and photoluminescence (PL spectroscopy. Blue shift in PL peak energy due to interwell coupling was observed in the CQWs following increase in the Si barrier thickness. The Si/SiGe heterostructure growth process and theoretical band structure model was validated by comparing the energy of the no-phonon peak calculated by the 6 + 2-bandk·pmethod with experimental PL data. Close agreement between theoretical calculations and experimental data was obtained.

  12. High-efficiency, thin-film- and concentrator solar cells from GaAs. Final report; High-efficiency, Duennschicht- und Konzentrator-Solarzellen aus Galliumarsenid. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Wettling, W [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Bett, A W [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Pilkuhn, M [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Scholz, F [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Baldus, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Blieske, U [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Blug, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Duong, T [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Schetter, C [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Stollwerck, G [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Sulima, O [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Wegener, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Doernen, A [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Frankowsky, G [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Haase, D [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Hahn, G [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Hangleiter, A [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Stauss, P [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Tsai, C Y [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Zieger, K [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4

    1996-10-01

    Main topic of the project was the manufacturing of highly efficient GaAs-solar cells and the fabrication of concentrator cells. During this process significant progress was made with the material preparation, the solar cell technology and the material and process characterisation. This succeeded in the following efficiencies: - GaAs solar cell made by MOVPE technology: 22.9% on 4 cm{sup 2} (AM1.5g) - GaAs solar cell made by LPE-ER process: 22.8% on 4 cm{sup 2} (AM1.5g) - GaAs concentrator solar cell made by LPE-ER process: 24.9% at C=100xAM1.5d - GaAs concentrator module with fresnel lenses: Module efficiency 20.1% (under irradiation of 793 W/m{sup 2}). Another main focus was the epitaxy of GaAs on Si substrate. Two different approaches were investigated. Together with the cooperation partner ASE, Heilbronn a selective growth technology was developed that led to a decreased crack formation. By a simultanous optimization of the other epitaxy and process parameters, the efficiency was increased up to 16.6% AM0 on 1 cm{sup 2} solar cells. Furthermore a hybrid epitaxy was investigated. A GaAs layer was deposited onto a Si substrate using MOVPE. The solar cell structure was grown with a low temperature LPE. Unexpected difficulties appeared with this process, so that fundamental experiments needed to be done with the LPE technology. So far, no solar cells could be manufactured with this method. In addition, work was performed on GaInP solar cells on GaAs substrate. An efficiency of 15.7% (AM0) was acchieved. (orig.) [Deutsch] Gegenstand des Projekts war die Herstellung hocheffizienter GaAs-Solarzellen und die Fertigung von Konzentratorsolarzellen. Dazu wurden wesentliche Fortschritte bei der Materialpraeparation, der Solarzellentechnologie und der Material- and Prozesscharakterisierung erzielt. Diese Erfolge druecken sich in den erzielten Wirkungsgraden aus: - GaAs-Solarzelle hergestellt mit MOVPE-Technologie: 22.9% auf 4 cm{sup 2} (AM1.5g) - GaAs-Solarzelle hergestellt

  13. Properties of laser-crystallized polycrystalline SiGe thin films

    Energy Technology Data Exchange (ETDEWEB)

    Weizman, Moshe

    2008-06-06

    In this thesis, structural, electrical, and optical properties of laser-crystallized polycrystalline Si{sub 1-x}Ge{sub x} thin films with 0Si{sub 1-x}Ge{sub x} thin films with 0.3SiGe samples that are exposed to a single laser pulse exhibit a ripple structure that evolves into a hillock structure when the samples are irradiated with additional laser pulses. - It is maintained that the main mechanism behind the structure formation is an instability of the propagating solid-liquid interface during solidification. - The study of defects with electron spin resonance showed that laser-crystallized poly-Si{sub 1-x}Ge{sub x} thin films with 0SiGe films was lower and amounted to N{sub s}=7 x 10{sup 17} cm{sup -3}. - Germanium-rich laser-crystallized poly-SiGe thin films exhibited mostly a broad atypical electric dipole spin resonance (EDSR) signal that was accompanied by a nearly temperature-independent electrical conductivity in the range 20-100 K. - Most likely, the origin of the grain boundary conductance is due to dangling-bond defects and not impurities. Metallic-like conductance occurs when the dangling-bond defect density is above a critical value of about N{sub C} {approx} 10{sup 18} cm{sup -3}. - Laser crystallized poly-Si{sub 1-x}Ge{sub x} thin films with x{>=}0.5 exhibit optical absorption behavior that is characteristic for disordered SiGe, implying that the absorption occurs primarily at the grain boundaries. A sub-band-gap absorption peak was found for

  14. Structural and photoluminescence properties of Si-based nanosheet bundles rooted on Si substrates

    Science.gov (United States)

    Yuan, Peiling; Tamaki, Ryo; Kusazaki, Shinya; Atsumi, Nanae; Saito, Yuya; Kumazawa, Yuki; Ahsan, Nazmul; Okada, Yoshitaka; Ishida, Akihiro; Tatsuoka, Hirokazu

    2018-04-01

    Si-based nanosheet bundles were synthesized by the extraction of Ca atoms from CaSi2 microwalls grown on Si substrates by inositol hexakisphosphate solution or thermal treatment in FeCl2 vapor. The structural and photoluminescence properties of the Si-based nanosheet bundles were examined. The photoluminescence emissions in the visible region were clearly observed, and the temperature and excitation intensity dependences of the emissions were characterized. The observed Si-based nanosheets consist of thin Si layers, and a superlattice-like layered structural model is proposed to describe the Si-based nanosheet bundle structures and their photoluminescence property. The photoluminescence property of the nanosheets significantly depends on their treatment process. The luminescence mechanism of the nanosheets was discussed.

  15. Growth rate and surface morphology of 4H-SiC crystals grown from Si-Cr-C and Si-Cr-Al-C solutions under various temperature gradient conditions

    Science.gov (United States)

    Mitani, Takeshi; Komatsu, Naoyoshi; Takahashi, Tetsuo; Kato, Tomohisa; Fujii, Kuniharu; Ujihara, Toru; Matsumoto, Yuji; Kurashige, Kazuhisa; Okumura, Hajime

    2014-09-01

    The growth rate and surface morphology of 4H-SiC crystals prepared by solution growth with Si1-xCrx and Si1-x-yCrxAly (x=0.4, 0.5 and 0.6; y=0.04) solvents were investigated under various temperature conditions. The growth rate was examined as functions of the temperature difference between the growth surface and C source, the amount of supersaturated C and supersaturation at the growth surface. We found that generation of trench-like surface defects in 4H-SiC crystals was suppressed using Si1-x-yCrxAly solvents even under highly supersaturated conditions where the growth rate exceeded 760 μm/h. Conversely, trench-like defects were observed in crystals grown with Si1-xCrx solvents under all experimental conditions. Statistical observation of the macrostep structure showed that the macrostep height in crystals grown with Si1-x-yCrxAly solvents was maintained at lower levels than that obtained using Si1-xCrx solvents. Addition of Al prevents the macrosteps from developing into large steps, which are responsible for the generation of trench-like surface defects.

  16. Ultrahigh broadband photoresponse of SnO2 nanoparticle thin film/SiO2/p-Si heterojunction.

    Science.gov (United States)

    Ling, Cuicui; Guo, Tianchao; Lu, Wenbo; Xiong, Ya; Zhu, Lei; Xue, Qingzhong

    2017-06-29

    The SnO 2 /Si heterojunction possesses a large band offset and it is easy to control the transportation of carriers in the SnO 2 /Si heterojunction to realize high-response broadband detection. Therefore, we investigated the potential of the SnO 2 nanoparticle thin film/SiO 2 /p-Si heterojunction for photodetectors. It is demonstrated that this heterojunction shows a stable, repeatable and broadband photoresponse from 365 nm to 980 nm. Meanwhile, the responsivity of the device approaches a high value in the range of 0.285-0.355 A W -1 with the outstanding detectivity of ∼2.66 × 10 12 cm H 1/2 W -1 and excellent sensitivity of ∼1.8 × 10 6 cm 2 W -1 , and its response and recovery times are extremely short (oxide or oxide/Si based photodetectors. In fact, the photosensitivity and detectivity of this heterojunction are an order of magnitude higher than that of 2D material based heterojunctions such as (Bi 2 Te 3 )/Si and MoS 2 /graphene (photosensitivity of 7.5 × 10 5 cm 2 W -1 and detectivity of ∼2.5 × 10 11 cm H 1/2 W -1 ). The excellent device performance is attributed to the large Fermi energy difference between the SnO 2 nanoparticle thin film and Si, SnO 2 nanostructure, oxygen vacancy defects and thin SiO 2 layer. Consequently, practical highly-responsive broadband PDs may be actualized in the future.

  17. TaSiN nanocomposite thin films: Correlation between structure, chemical composition, and physical properties

    International Nuclear Information System (INIS)

    Ramírez, G.; Oezer, D.; Rivera, M.; Rodil, S.E.; Sanjinés, R.

    2014-01-01

    The structural and electronic properties of fcc-TaN/SiN x nanocomposite thin films deposited by reactive magnetron sputtering have been investigated as function of the N and Si contents. Our studies have been mainly focused on three different types of nanocomposite Ta x Si y N z films based on: nitrogen deficient fcc-TaN 0.88 , nearly stoichiometric fcc-TaN, and over-stoichiometric fcc-TaN 1.2 with the Si contents in the range from 0 to about 15 at.%. The optical properties were investigated by ellipsometric measurements, while the DC. electrical resistivity was measured using the van der Pauw configuration at 300 K. The optical measurements were interpreted using the standard Drude–Lorentz model. The results showed that the electronic properties are closely correlated with both the compositional and the structural modifications of the Ta x Si y N z films induced by the addition of Si atoms, and also depending on the stoichiometry of the starting fcc-TaN system. Thus, depending on both the nitrogen and the silicon contents, the fcc-Ta x Si y N z films can exhibit room temperature resistivity values ranging from 10 2 μΩ cm to about 6 × 10 4 μΩ cm. - Highlights: • TaSiN films were grown using dual magnetron sputtering system. • The physical and structural properties were correlated with the deposition parameters. • The electrical properties were influenced by the nitrogen and silicon contents on the films

  18. Durability Evaluation of a Thin Film Sensor System With Enhanced Lead Wire Attachments on SiC/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Lei, Jih-Fen; Kiser, J. Douglas; Singh, Mrityunjay; Cuy, Mike; Blaha, Charles A.; Androjna, Drago

    2000-01-01

    An advanced thin film sensor system instrumented on silicon carbide (SiC) fiber reinforced SiC matrix ceramic matrix composites (SiC/SiC CMCs), was evaluated in a Mach 0.3 burner rig in order to determine its durability to monitor material/component surface temperature in harsh environments. The sensor system included thermocouples in a thin film form (5 microns thick), fine lead wires (75 microns diameter), and the bonds between these wires and the thin films. Other critical components of the overall system were the heavy, swaged lead wire cable (500 microns diameter) that contained the fine lead wires and was connected to the temperature readout, and ceramic attachments which were bonded onto the CMCs for the purpose of securing the lead wire cables, The newly developed ceramic attachment features a combination of hoops made of monolithic SiC or SiC/SiC CMC (which are joined to the test article) and high temperature ceramic cement. Two instrumented CMC panels were tested in a burner rig for a total of 40 cycles to 1150 C (2100 F). A cycle consisted of rapid heating to 1150 C (2100 F), a 5 minute hold at 1150 C (2100 F), and then cooling down to room temperature in 2 minutes. The thin film sensor systems provided repeatable temperature measurements for a maximum of 25 thermal cycles. Two of the monolithic SiC hoops debonded during the sensor fabrication process and two of the SiC/SiC CMC hoops failed during testing. The hoops filled with ceramic cement, however, showed no sign of detachment after 40 thermal cycle test. The primary failure mechanism of this sensor system was the loss of the fine lead wire-to-thin film connection, which either due to detachment of the fine lead wires from the thin film thermocouples or breakage of the fine wire.

  19. Magnetotransport, structural and optical characterization of p-type modulation doped heterostructures with high Ge content Si1-xGex channel grown by SS-MBE on Si1-yGey/Si(001) virtual substrates

    International Nuclear Information System (INIS)

    Myronov, M.

    2001-04-01

    This thesis is a report on experimental investigations of magnetotransport, structural and optical properties of p-type modulation doped (MOD) heterostructures with Si 1-x Ge x channel of high Ge content (0.6 1-y Ge y /Si(001) virtual substrate (VS). The active layers of MOD heterostructures were grown by solid source molecular beam epitaxy (SS-MBE). The VSs were grown either by SS-MBE or low-pressure chemical vapour deposition (LP-CVD). The influence of thermal annealing on magnetotransport, structural and optical properties of Si 1-x Ge x /Si 1-y Ge y heterostructures was studied by performing the post growth furnace thermal annealing (FTA) treatments in the temperature range of 600-900C for 30min and rapid thermal annealing (RTA) treatments at temperature 750C for 30sec. Structural and optical analysis of p-type MOD Si 1-x Ge x /Si 1-y Ge y heterostructures involved the techniques of cross-sectional transmission electron microscopy, ultra low energy secondary ion mass spectrometry, photoluminescence spectroscopy, micro-Raman spectroscopy and scanning white-light interferometry. From the combinations of experimental results obtained by these techniques the Ge composition in the SiGe heteroepilayers, their thicknesses, state of strain in the heteroepilayers and dislocations microstructure in VSs were obtained. After post growth thermal annealing treatments were observed broadening of the Si 1-x Ge x channel accompanied with the reduction of Ge content in the channel and smearing of Si 1-x Ge x /Si 1-y Ge y interfaces. The Si 0.7 Ge 0.3 on low-temperature Si buffer VSs with very good structural properties were designed and grown by SS-MBE. These include: relatively thin 850nm total thickness of VS, 4-6nm Peak-to-Valley values of surface roughness, less than 10 5 cm -2 threading dislocations density and more than 95% degree of relaxation in the top layers of VS. The Hall mobility and sheet carrier density of as-grown and annealed p-type MOD Si 1-x Ge x /Si 1-y Ge y

  20. Scintillation characteristic of In, Ga-doped ZnO thin films with different dopant concentrations

    International Nuclear Information System (INIS)

    Fujimoto, Yutaka; Yanagida, Takayuki; Yokota, Yuui; Chani, Valery; Yoshikawa, Akira; Sekiwa, Hideyuki

    2011-01-01

    The present study describes the first detailed evaluation of the rise and the decay time of scintillation phenomenon in In 3+ - and Ga 3+ -doped ZnO thin films with different dopant concentrations. In 3+ -(25, 55, and 141 ppm) and Ga 3+ -(33, 67, 333, and 1374 ppm) doped ZnO films were grown by the Liquid Phase Epitaxy (LPE) method. The characterization was performed using the pulse X-ray equipped streak camera system. Both the rise and the decay times were shortened considerably with increasing content of In 3+ and Ga 3+ in the films. However, the scintillation light yield under 241 Am α-ray excitation reduced when concentration of In 3+ and Ga 3+ in the ZnO films was high. (author)

  1. Osteoblast Adhesion on Cathodic Arc Plasma Deposited Nano-Multilayered TiCrAlSiN Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun Kyu [University of Ulsan, Ulsan (Korea, Republic of); Pham, Vuong Hung [Hanoi University of Science and Technology (HUST), Hanoi (Viet Nam)

    2014-03-15

    Adhesion of osteoblast cells to TiCrAlSiN thin films was evaluated in vitro. Ti and TiCrAlSiN thin films were deposited on glass substrates by cathodic arc deposition. Surface roughness and chemistry of the TiCrAlSiN thin films was characterized by AFM and EPMA, respectively. Ti and TiCrAlSiN thin films and glass coverslips were cultured with human osteoblast cells (hFOB 1.19). The cell cytoskeleton was analyzed by observing the organization of actin stress fibers and microtubules. Cell proliferation was investigated by MTT assay and visualization. Focal contact adhesion was studied by observing the vinculin density. The results indicated that the TiCrAlSiN coating significantly influenced the actin cytoskeleton and microtubule organization. Human osteoblasts hFOB attached and proliferated better on TiCrAlSiN thin films with more focal contact adhesions than on Ti thin films or glass surfaces. These results suggest that TiCrAlSiN thin films can be an implantable material where the maximum cell adhesion is required.

  2. Analysis of the High Conversion Efficiencies β-FeSi2 and BaSi2 n-i-p Thin Film Solar Cells

    International Nuclear Information System (INIS)

    Huang, J.Sh.; Lee, K.W.; Tseng, Y.H.

    2014-01-01

    Both β-FeSi 2 and BaSi 2 are silicides and have large absorption coefficients; thus they are very promising Si-based new materials for solar cell applications. In this paper, the dc I-V characteristics of n-Si/i-βFeSi 2 /p-Si and n-Si/i-BaSi 2 /p-Si thin film solar cells are investigated by solving the charge transport equations with optical generations. The diffusion current densities of free electron and hole are calculated first. Then the drift current density in the depletion regions is obtained. The total current density is the sum of diffusion and drift current densities. The conversion efficiencies are obtained from the calculated I-V curves. The optimum conversion efficiency of n-Si/i-βFeSi 2 /p-Si thin film solar cell is 27.8% and that of n-Si/i-BaSi 2 /p-Si thin film solar cell is 30.4%, both are larger than that of Si n-i-p solar cell (η is 20.6%). These results are consistent with their absorption spectrum. The calculated conversion efficiency of Si n-i-p solar cell is consistent with the reported researches. Therefore, these calculation results are valid in this work.

  3. Analysis of the High Conversion Efficiencies β-FeSi2 and BaSi2 n-i-p Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Jung-Sheng Huang

    2014-01-01

    Full Text Available Both β-FeSi2 and BaSi2 are silicides and have large absorption coefficients; thus they are very promising Si-based new materials for solar cell applications. In this paper, the dc I-V characteristics of n-Si/i-βFeSi2/p-Si and n-Si/i-BaSi2/p-Si thin film solar cells are investigated by solving the charge transport equations with optical generations. The diffusion current densities of free electron and hole are calculated first. Then the drift current density in the depletion regions is obtained. The total current density is the sum of diffusion and drift current densities. The conversion efficiencies are obtained from the calculated I-V curves. The optimum conversion efficiency of n-Si/i-βFeSi2/p-Si thin film solar cell is 27.8% and that of n-Si/i-BaSi2/p-Si thin film solar cell is 30.4%, both are larger than that of Si n-i-p solar cell (η is 20.6%. These results are consistent with their absorption spectrum. The calculated conversion efficiency of Si n-i-p solar cell is consistent with the reported researches. Therefore, these calculation results are valid in this work.

  4. Electronic and surface properties of pentacene films deposited on SiO2 prepared by the sol–gel and thermally grown methods

    International Nuclear Information System (INIS)

    Dai, Chi-Jie; Tsao, Hou-Yen; Lin, Yow-Jon; Liu, Day-Shan

    2014-01-01

    This study investigates the effect of different types of SiO 2 on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO 2 surfaces than sol–gel SiO 2 surfaces, suggesting that the thermally grown SiO 2 dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO 2 dielectrics is higher than that in pentacene on sol–gel SiO 2 dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO 2 was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films

  5. Mechanistic analysis of temperature-dependent current conduction through thin tunnel oxide in n+-polySi/SiO2/n+-Si structures

    Science.gov (United States)

    Samanta, Piyas

    2017-09-01

    We present a detailed investigation on temperature-dependent current conduction through thin tunnel oxides grown on degenerately doped n-type silicon (n+-Si) under positive bias ( VG ) on heavily doped n-type polycrystalline silicon (n+-polySi) gate in metal-oxide-semiconductor devices. The leakage current measured between 298 and 573 K and at oxide fields ranging from 6 to 10 MV/cm is primarily attributed to Poole-Frenkel (PF) emission of trapped electrons from the neutral electron traps located in the silicon dioxide (SiO2) band gap in addition to Fowler-Nordheim (FN) tunneling of electrons from n+-Si acting as the drain node in FLOating gate Tunnel OXide Electrically Erasable Programmable Read-Only Memory devices. Process-induced neutral electron traps are located at 0.18 eV and 0.9 eV below the SiO2 conduction band. Throughout the temperature range studied here, PF emission current IPF dominates FN electron tunneling current IFN at oxide electric fields Eox between 6 and 10 MV/cm. A physics based new analytical formula has been developed for FN tunneling of electrons from the accumulation layer of degenerate semiconductors at a wide range of temperatures incorporating the image force barrier rounding effect. FN tunneling has been formulated in the framework of Wentzel-Kramers-Brilloiun taking into account the correction factor due to abrupt variation of the energy barrier at the cathode/oxide interface. The effect of interfacial and near-interfacial trapped-oxide charges on FN tunneling has also been investigated in detail at positive VG . The mechanism of leakage current conduction through SiO2 films plays a crucial role in simulation of time-dependent dielectric breakdown of the memory devices and to precisely predict the normal operating field or applied floating gate (FG) voltage for lifetime projection of the devices. In addition, we present theoretical results showing the effect of drain doping concentration on the FG leakage current.

  6. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    Science.gov (United States)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  7. Chemical state analysis of heavily phosphorus-doped epitaxial silicon films grown on Si (1 0 0) by X-ray photoelectron spectroscopy

    Science.gov (United States)

    Lee, Minhyeong; Kim, Sungtae; Ko, Dae-Hong

    2018-06-01

    In this work, we investigated the chemical bonding states in highly P-doped Si thin films epitaxially grown on Si (0 0 1) substrates using high-resolution X-ray photoelectron spectroscopy (HR-XPS). HR-XPS P 2p core-level spectra clearly show spin-orbital splitting between P 2p1/2 and P 2p3/2 peaks in Si films doped with a high concentration of P. Moreover, the intensities of P 2p1/2 and P 2p3/2 peaks for P-doped Si films increase with P concentrations, while their binding energies remained almost identical. These results indicate that more P atoms are incorporated into the substitutional sites of the Si lattice with the increase of P concentrations. In order to identify the chemical states of P-doped Si films shown in XPS Si 2p spectra, the spectra of bulk Si were subtracted from those of Si:P samples, which enables us to clearly identify the new chemical state related to Sisbnd P bonds. We observed that the presence of the two well-resolved new peaks only for the Si:P samples at the binding energy higher than those of a Sisbnd Si bond, which is due to the strong electronegativity of P than that of Si. Experimental findings in this study using XPS open up new doors for evaluating the chemical states of P-doped Si materials in fundamental researches as well as in industrial applications.

  8. Gate-tunable coherent transport in Se-capped Bi{sub 2}Se{sub 3} grown on amorphous SiO{sub 2}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. H.; Chong, C. W., E-mail: cheongwei2000@yahoo.com, E-mail: jcahuang@mail.ncku.edu.tw, E-mail: smhuang@mail.nsysu.edu.tw; Huang, S. Y. [Department of Physics, National Cheng Kung University, Tainan 70101, Taiwan (China); Jheng, J. L.; Huang, S. M., E-mail: cheongwei2000@yahoo.com, E-mail: jcahuang@mail.ncku.edu.tw, E-mail: smhuang@mail.nsysu.edu.tw [Department of Physics, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan (China); Huang, J. C. A., E-mail: cheongwei2000@yahoo.com, E-mail: jcahuang@mail.ncku.edu.tw, E-mail: smhuang@mail.nsysu.edu.tw [Department of Physics, National Cheng Kung University, Tainan 70101, Taiwan (China); Advanced Optoelectronic Technology Center (AOTC), National Cheng Kung University, Tainan 70101, Taiwan (China); Taiwan Consortium of Emergent Crystalline Materials (TCECM), Ministry of Science and Technology, Taipei 10622, Taiwan (China); Li, Z.; Qiu, H. [School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei, Anhui 230009 (China); Marchenkov, V. V. [M.N. Miheev Institute of Metal Physics, Ekaterinburg 620137 (Russian Federation)

    2015-07-06

    A topological insulator (TI) is an exotic material that has a bulk insulating gap and metallic surface states with unique spin-momentum locking characteristics. Despite its various important applications, large scale integration of TI into MOSFET technologies and its coherent transport study are still rarely explored. Here, we report the growth of high quality Bi{sub 2}Se{sub 3} thin film on amorphous SiO{sub 2}/Si substrate using MBE. By controlling the thickness of the film at ∼7 nm and capping the as grown film in situ with a 2 nm-thick Se layer, largest electrostatic field effect is obtained and the resistance is changed by almost 300%. More importantly, pronounced gate-tunable weak antilocalization (WAL) is observed, which refers to modulation of α from ∼−0.55 to ∼−0.2 by applying a back gate voltage. The analysis herein suggests that the significant gate-tunable WAL is attributable to the transition from weak disorder into intermediate disorder regime when the Fermi level is shifted downward by increasing the negative back gate voltage. Our findings may pave the ways towards the development of TI-based MOSFET and are promising for the applications of electric-field controlled spintronic and magnetic device.

  9. Physical properties of SnS thin films grown by hot wall deposition

    International Nuclear Information System (INIS)

    Gremenok, V.; Ivanov, V.; Bashkirov, S.; Unuchak, D.; Lazenka, V.; Bente, K.; Tashlykov, I.; Turovets, A.

    2010-01-01

    Full text : Recently, considerable effort has been invested to gain a better and deeper knowledge of structural and physical properties of metal chalcogenide semiconductors because of their potential application in electrical and photonic devices. Among them, tin sulphide (SnS) has attracted attention because of band gap of 1.3 eV and an absorption coefficient greater than 10 4 cm - 1. Additionally, by using tin sulfide compounds for photovoltaic devices, the production costs are decreased, because these materials are cheap and abundant in nature. For the sythesis of SnS thin films by hot wall deposition, SnS ingots were used as the source materials synthesized from high purity elements (99.999 percent). The thin films were grown onto glass at substrate temperatures between 220 and 380 degrees Celsium. The thickness of the films was in the range of 1.0 - 2.5 μm. The crystal structure and crystalline phases of the materials were studied by XRD using a Siemens D-5000 diffractometer with CuK α (λ = 1.5418 A) radiation. In order to consider instrumental error, the samples were coated by Si powder suspended in acetone. The composition and surface morphology of thin films were investigated by electron probe microanalysis (EPMA) using a CAMECA SX-100, a scanning electron microscope JEOL 6400 and an atomic force microscope (AFM, Model: NT 206), respectively. Depth profiling was performed by Auger electron spectroscopy (AES) using a Perkin Elmer Physical Electronics 590. The electrical resistivity was studied by van der Pauw four-probe technique using silver paste contact. The optical transmittance was carried out using a Varian Cary 50 UV - VIS spectrophotometer in the range 500 - 2000 nm. The as-grown films exhibited a composition with a Sn/S at. percent ratio of 1.06. The AES depth profiles revealed relatively uniform composition through the film thickness. The XRD analysis of the SnS films showed that they were monophase (JCPDS 39-0354), polycrystalline with

  10. Quasicubic α-Fe{sub 2}O{sub 3} nanoparticles embedded in TiO{sub 2} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Seinberg, Liis [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kozlova, Jekaterina [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Link, Joosep [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Pikma, Piret [University of Tartu, Institute of Chemistry, Ravila 14A, 50411 Tartu (Estonia); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-08-01

    Monodispersed quasicubic α-Fe{sub 2}O{sub 3} nanoparticles were synthesized from ferric nitrite (Fe(NO{sub 3}){sub 3}), N,N-dimethyl formamide and poly(N-vinyl-2-pyrrolidone). Layers of nanoparticles were attached to HF-etched Si substrates by dip coating and subsequently embedded in thin titanium oxide films grown by atomic layer deposition from TiCl{sub 4} and H{sub 2}O. The deposition of TiO{sub 2} onto Fe{sub 2}O{sub 3} nanoparticles covered the nanoparticles uniformly and anatase phase of TiO{sub 2} was observed in Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructures. In Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructure magnetic domains, observable by magnetic force microscopy, were formed and these nanostructures implied ferromagnetic-like behavior at room temperature with the saturative magnetization and coercivity of 10 kA/m. - Highlights: • Cubic-shaped iron oxide crystallites were supported by thin titanium oxide films. • The process chemistry applied allowed formation of heterogeneous composite. • Atomic layer deposition of titanium oxide on nanocubes was uniform and conformal. • The nanostructures formed can be regarded as magnetically susceptible materials.

  11. Thickness measurement of SiO2 films thinner than 1 nm by X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Joong Kim, Kyung; Park, Ki Tae; Lee, Jong Wan

    2006-01-01

    The thickness measurement of ultra-thin SiO 2 films thinner than 1 nm was studied by X-ray photoelectron spectroscopy (XPS). Amorphous SiO 2 thin films were grown on amorphous Si films to avoid the thickness difference due to the crystalline structure of a substrate. SiO 2 thin films were grown by ion beam sputter deposition under oxygen gas flow and the thickness was measured by in situ XPS. The attenuation length was determined experimentally by a SiO 2 film with a known thickness. The straight line fit between the measured thickness using XPS and the nominal thickness showed a good linear relation with a gradient of 0.969 and a small offset of 0.126 nm. The gradient measured at the range of 3.4-0.28 nm was very close to that measured at sub-nanometer range of 1.13-0.28 nm. This result means that the reliable measurement of SiO 2 film thickness below 1 nm is possible by XPS

  12. Development of thin-film Si HYBRID solar module

    Energy Technology Data Exchange (ETDEWEB)

    Nakajima, Akihiko; Gotoh, Masahiro; Sawada, Toru; Fukuda, Susumu; Yoshimi, Masashi; Yamamoto, Kenji; Nomura, Takuji [Kaneka Corporation, 2-1-1, Hieitsuji, Otsu, Shiga 520-0104 (Japan)

    2009-06-15

    The device current-voltage (I-V) characteristics of thin-film silicon stacked tandem solar modules (HYBRID modules), consisting of a hydrogenated amorphous silicon (a-Si:H) cell and a thin-film crystalline silicon solar cell ({mu}c-Si), have been investigated under various spectral irradiance distributions. The performance of the HYBRID module varied periodically in natural sunlight due to the current-limiting property of the HYBRID module and the environmental effects. The behavior based on the current-limiting property was demonstrated by the modelling of the I-V curves using the linear interpolation method for each component cell. The improvement of the performance for the HYBRID module in natural sunlight will also be discussed from the viewpoint of the device design of the component cells. (author)

  13. UV and visible photoluminescence emission intensity of undoped and In-doped ZnO thin film and photoresponsivity of ZnO:In/Si hetero-junction

    International Nuclear Information System (INIS)

    Zebbar, N.; Chabane, L.; Gabouze, N.; Kechouane, M.; Trari, M.; Aida, M.S.; Belhousse, S.; Hadj Larbi, F.

    2016-01-01

    Undoped zinc oxide (ZnO) and indium-doped (ZnO:In) thin films were grown at different temperatures (250–400 °C) on alkali-free borosilicate glass and n-Si (100) substrates by Ultrasonic Spray Pyrolysis method. The structural, compositional, optical and electrical properties of ZnO films were investigated by X-ray diffraction, Scanning Electron Microscopy, Rutherford Back Scattering Spectroscopy, Fourier Transform Infrared spectroscopy, photoluminescence (PL) and the four-point probe technique. The predominance of ultraviolet (UV) and blue emission intensities was found to be closely dependent on the resistivity of the film. The visible emission band (peaking at 432 nm) prevails for low film resistivity, ranging from 10 −2 to 1 Ω·cm. By contrast, for higher resistivity (> 1 Ω·cm), there is a predominance of the UV band (382 nm). The PL and photoresponsivity results of fabricated ZnO:In/n-Si(100) heterojunctions prepared at different temperatures are discussed. The maximum spectral response of the ZnO:8%In/Si heterojunction diode fabricated at 250 °C was about 80 mA/W at zero bias. The highlighted results are attractive for the optoelectronic applications. - Highlights: • Properties of ZnO thin films grown by Ultrasonic Spray Pyrolysis at 350 °C. • Photoluminescence emission intensity in undoped ZnO film: effect of the resistivity • Photoluminescence emission intensity of In-doped ZnO film is resistivity dependent. • The spectral response of ZnO:In/Si hetero-junction deposited in the range (250–400 °C)

  14. UV and visible photoluminescence emission intensity of undoped and In-doped ZnO thin film and photoresponsivity of ZnO:In/Si hetero-junction

    Energy Technology Data Exchange (ETDEWEB)

    Zebbar, N., E-mail: nacbar2003@yahoo.fr [LCMS, Faculty of Physics, University of Sciences and Technology (USTHB), BP 32, El-Alia, Algiers (Algeria); Chabane, L. [LCMS, Faculty of Physics, University of Sciences and Technology (USTHB), BP 32, El-Alia, Algiers (Algeria); Gabouze, N. [CRTSE, 02 Bd. Frantz Fanon, BP 140, Algiers (Algeria); Kechouane, M. [LCMS, Faculty of Physics, University of Sciences and Technology (USTHB), BP 32, El-Alia, Algiers (Algeria); Trari, M. [Laboratory of Storage and Valorization of Renewable Energies, Faculty of Chemistry (USTHB), BP 32, El-Alia, Algiers (Algeria); Aida, M.S. [LCM et Interface, Faculty of Sciences, University of Constantine, 25000 (Algeria); Belhousse, S. [CRTSE, 02 Bd. Frantz Fanon, BP 140, Algiers (Algeria); Hadj Larbi, F. [MEMS & Sensors, Division Microélectronique et Nanotechnologie, Centre de Développement des Technologies Avancées (CDTA), BP 17, Baba Hassen, Algiers (Algeria)

    2016-04-30

    Undoped zinc oxide (ZnO) and indium-doped (ZnO:In) thin films were grown at different temperatures (250–400 °C) on alkali-free borosilicate glass and n-Si (100) substrates by Ultrasonic Spray Pyrolysis method. The structural, compositional, optical and electrical properties of ZnO films were investigated by X-ray diffraction, Scanning Electron Microscopy, Rutherford Back Scattering Spectroscopy, Fourier Transform Infrared spectroscopy, photoluminescence (PL) and the four-point probe technique. The predominance of ultraviolet (UV) and blue emission intensities was found to be closely dependent on the resistivity of the film. The visible emission band (peaking at 432 nm) prevails for low film resistivity, ranging from 10{sup −2} to 1 Ω·cm. By contrast, for higher resistivity (> 1 Ω·cm), there is a predominance of the UV band (382 nm). The PL and photoresponsivity results of fabricated ZnO:In/n-Si(100) heterojunctions prepared at different temperatures are discussed. The maximum spectral response of the ZnO:8%In/Si heterojunction diode fabricated at 250 °C was about 80 mA/W at zero bias. The highlighted results are attractive for the optoelectronic applications. - Highlights: • Properties of ZnO thin films grown by Ultrasonic Spray Pyrolysis at 350 °C. • Photoluminescence emission intensity in undoped ZnO film: effect of the resistivity • Photoluminescence emission intensity of In-doped ZnO film is resistivity dependent. • The spectral response of ZnO:In/Si hetero-junction deposited in the range (250–400 °C)

  15. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  16. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  17. Electronic and surface properties of pentacene films deposited on SiO{sub 2} prepared by the sol–gel and thermally grown methods

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Chi-Jie [Department of Physics, National Changhua University of Education, Changhua 500, Taiwan (China); Tsao, Hou-Yen [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Lin, Yow-Jon, E-mail: rzr2390@yahoo.com.tw [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Liu, Day-Shan [Graduate Institute of Electro-Optical and Materials Science, National Formosa University, Huwei 632, Taiwan (China)

    2014-02-03

    This study investigates the effect of different types of SiO{sub 2} on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO{sub 2} surfaces than sol–gel SiO{sub 2} surfaces, suggesting that the thermally grown SiO{sub 2} dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO{sub 2} dielectrics is higher than that in pentacene on sol–gel SiO{sub 2} dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO{sub 2} was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films.

  18. Fabrication and properties of strip casting 4.5 wt% Si steel thin sheet

    Energy Technology Data Exchange (ETDEWEB)

    Zu, Guoqing, E-mail: gz854@uowmail.edu.au [State Key Laboratory of Rolling and Automation, Northeastern University, Shenyang 110819 (China); School of Mechanical, Materials and Mechatronic Engineering, University of Wollongong, NSW 2522 (Australia); Zhang, Xiaoming [State Key Laboratory of Rolling and Automation, Northeastern University, Shenyang 110819 (China); Zhao, Jingwei [School of Mechanical, Materials and Mechatronic Engineering, University of Wollongong, NSW 2522 (Australia); Wang, Yuqian [State Key Laboratory of Rolling and Automation, Northeastern University, Shenyang 110819 (China); Yan, Yi [School of Mechanical, Materials and Mechatronic Engineering, University of Wollongong, NSW 2522 (Australia); Li, Chengang; Cao, Guangming [State Key Laboratory of Rolling and Automation, Northeastern University, Shenyang 110819 (China); Jiang, Zhengyi [School of Mechanical, Materials and Mechatronic Engineering, University of Wollongong, NSW 2522 (Australia)

    2017-02-15

    Three 4.5 wt% Si steel thin sheets with different thicknesses were efficiently fabricated by twin-roll strip casting, warm rolling and cold rolling followed by final annealing. A comprehensive investigation from the workability of the as-cast strip to the magnetic property of the produces was performed to illustrate the superiority of the new materials. The results show that the as-cast strip, which has a much lower Vickers hardness than that of the 6.5 wt% Si steel, is suitable for rolling processing. The X-ray diffraction (XRD) and transmission electron microscopy (TEM) studies confirm that no ordering phase exists in the as-cast strip. The cold-rolled thin sheets exhibit good surface quality without edge cracks. Furthermore, all the three 4.5 wt% Si steel thin sheets possess relative strong <100>//ND texture and present high magnetic inductions and low iron losses after finial annealing. - Highlights: • 4.5 wt% Si as-cast sheet with excellent workability was produced by strip casting. • Three 4.5 wt% Si thin sheets were effectively fabricated by warm and cold rolling. • The microstructure and macro-texture of the thin sheets were elucidated. • High magnetic inductions and low iron losses were achieved simultaneously.

  19. Carrier confinement in Ge/Si quantum dots grown with an intermediate ultrathin oxide layer

    Science.gov (United States)

    Kuryliuk, V.; Korotchenkov, O.; Cantarero, A.

    2012-02-01

    We present computational results for strain effects on charge carrier confinement in GexSi1-x quantum dots (QDs) grown on an oxidized Si surface. The strain and free carrier probability density distributions are obtained using the continuum elasticity theory and the effective-mass approximation implemented by a finite-element modeling scheme. Using realistic parameters and conditions for hemisphere and pyramid QDs, it is pointed out that an uncapped hemisphere dot deposited on the Si surface with an intermediate ultrathin oxide layer offers advantageous electron-hole separation distances with respect to a square-based pyramid grown directly on Si. The enhanced separation is associated with a larger electron localization depth in the Si substrate for uncapped hemisphere dots. Thus, for dot diameters smaller than 15-20 nm and surface density of the dots (nQD) ranging from about 1010 to 1012 cm-2, the localization depth may be enhanced from about 8 nm for a pyramid to 38 nm for a hemisphere dot. We find that the effect in a hemisphere dot is very sensitive to the dot density and size, whereas the localization depth is not significantly affected by the variation of the Ge fraction x in GexSi1-x and the aspect ratio of the dot. We also calculate the effect of the fixed oxide charge (Qox) with densities ranging from 10-9 to 10-7 C/cm2 for 10-Ωcm p-type Si wafers on the carrier confinement. Although the confinement potential can be strongly perturbed by the charge at nQD less than ≈4×1011 cm-2, it is not very sensitive to the value of Qox at higher nQD. Since, to our knowledge, there are no data on carrier confinement for Ge QDs deposited on oxidized Si surfaces, these results might be applicable to functional devices utilizing separated electrons and holes such as photovoltaic devices, spin transistors, and quantum computing components. The use of hemisphere QDs placed on oxidized Si rather than pyramid dots grown on bare Si may help to confine charge carriers deeper

  20. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  1. RBS characterization of the deposition of very thin SiGe/SiO2 multilayers by LPCVD

    International Nuclear Information System (INIS)

    Munoz-Martin, A.; Climent-Font, A.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Multilayer structures consisting of several alternated layers of SiGe and SiO 2 with thickness ranging from 2 or Si as well as the deposition of SiO 2 on Si show negligible incubation times. The deposition of SiO 2 on SiGe, however, exhibits an incubation time of several minutes, which would be related to the oxidation of the surface necessary for the SiO 2 deposition to start. In all cases the film thickness increases linearly with deposition time, thus allowing the growth rates to be determined. These data allow the deposition process of these very thin layers to be accurately controlled

  2. Geometric structure of thin SiO xN y films on Si(100)

    Science.gov (United States)

    Behrens, K.-M.; Klinkenberg, E.-D.; Finster, J.; Meiwes-Broer, K.-H.

    1998-05-01

    Thin films of amorphous stoichometric SiO xN y are deposited on radiation-heated Si(100) by rapid thermal low-pressure chemical vapour deposition. We studied the whole range of possible compositions. In order to determine the geometric structure, we used EXAFS and photoelectron spectroscopy. Tetrahedrons constitute the short-range units with a central Si atom connected to N and O. The distribution of the possible tetrahedrons can be described by a mixture of the Random Bonding Model and the Random Mixture Model. For low oxygen contents x/( x+ y)≤0.3, the geometric structure of the film is almost the structure of a-Si 3N 4, with the oxygen preferably on top of Si-N 3 triangles. Higher oxygen contents induce changes in the bond lengths, bond angles and coordination numbers.

  3. Growth and characterization of textured well-faceted ZnO on planar Si(100, planar Si(111, and textured Si(100 substrates for solar cell applications

    Directory of Open Access Journals (Sweden)

    Chin-Yi Tsai

    2017-09-01

    Full Text Available In this work, textured, well-faceted ZnO materials grown on planar Si(100, planar Si(111, and textured Si(100 substrates by low-pressure chemical vapor deposition (LPCVD were analyzed by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM, and cathode luminescence (CL measurements. The results show that ZnO grown on planar Si(100, planar Si(111, and textured Si(100 substrates favor the growth of ZnO(110 ridge-like, ZnO(002 pyramid-like, and ZnO(101 pyramidal-tip structures, respectively. This could be attributed to the constraints of the lattice mismatch between the ZnO and Si unit cells. The average grain size of ZnO on the planar Si(100 substrate is slightly larger than that on the planar Si(111 substrate, while both of them are much larger than that on the textured Si(100 substrate. The average grain sizes (about 10–50 nm of the ZnO grown on the different silicon substrates decreases with the increase of their strains. These results are shown to strongly correlate with the results from the SEM, AFM, and CL as well. The reflectance spectra of these three samples show that the antireflection function provided by theses samples mostly results from the nanometer-scaled texture of the ZnO films, while the micrometer-scaled texture of the Si substrate has a limited contribution. The results of this work provide important information for optimized growth of textured and well-faceted ZnO grown on wafer-based silicon solar cells and can be utilized for efficiency enhancement and optimization of device materials and structures, such as heterojunction with intrinsic thin layer (HIT solar cells.

  4. A novel epitaxially grown LSO-based thin-film scintillator for micro-imaging using hard synchrotron radiation

    Energy Technology Data Exchange (ETDEWEB)

    Douissard, P.A.; Martin, T.; Chevalier, V.; Rack, A. [European Synchrotron Radiat Facil, F-38043 Grenoble, (France); Cecilia, A.; Baumbach, T.; Rack, A. [Karlsruhe Inst Technol ANKA, D-76021 Karlsruhe, (Germany); Couchaud, M. [CEA LETI, F-38054 Grenoble, (France); Dupre, K. [FEE GmbH, D-55743 Idar Oberstein, (Germany); Kuhbacher, M. [Helmholtz Zentrum Berlin Mat and Energie, D-14109 Berlin, (Germany)

    2010-07-01

    The efficiency of high-resolution pixel detectors for hard X-rays is nowadays one of the major criteria which drives the feasibility of imaging experiments and in general the performance of an experimental station for synchrotron-based microtomography and radiography. Here the luminescent screen used for the indirect detection is focused on in order to increase the detective quantum efficiency a novel scintillator based on doped Lu{sub 2}SiO{sub 5} (LSO), epitaxially grown as thin film via the liquid phase epitaxy technique. It is shown that, by using adapted growth and doping parameters as well as a dedicated substrate, the scintillation behaviour of a LSO-based thin crystal together with the high stopping power of the material allows for high-performance indirect X-ray detection. In detail, the conversion efficiency, the radioluminescence spectra, the optical absorption spectra under UV/visible-light and the afterglow are investigated. A set-up to study the effect of the thin-film scintillator's temperature on its conversion efficiency is described as well it delivers knowledge which is important when working with higher photon flux densities and the corresponding high heat load on the material. Additionally, X-ray imaging systems based on different diffraction-limited visible-light optics and CCD cameras using among others LSO-based thin film are compared. Finally, the performance of the LSO thin film is illustrated by imaging a honey bee leg, demonstrating the value of efficient high-resolution computed tomography for life sciences. (authors)

  5. BAND ALIGNMENT OF ULTRATHIN GIZO/SiO2/Si HETEROSTRUCTURE DETERMINED BY ELECTRON SPECTROSCOPY

    Directory of Open Access Journals (Sweden)

    Hee Jae Kang2

    2011-11-01

    Full Text Available Amorphous GaInZnO (GIZO thin films are grown on SiO2/Si substrate by the RF magnetron sputtering method. By thecombination of measured band gaps from reflection energy loss spectroscopy (REELS spectra and valence band fromX-ray photo-electron spectroscopy (XPS spectra, we have demonstrated the energy band alignment of GIZO thin films.The band gap values are 3.2 eV, 3.2 eV, 3.4eV and 3.6eV for the concentration ratios of Ga: In: Zn in GIZO thin filmsare 1:1:1, 2:2:1, 3:2:1 and 4:2:1, respectively. These are attributed to the larger band gap energy of Ga2O3 comparedwith In2O3 and ZnO. The valence band offsets (ΔEv decrease from 2.18 to 1.68 eV with increasing amount of Ga inGIZO thin films for GIZO1 to GIZO4, respectively. These experimental values of band gap and valence band offsetwill provide the further understanding in the fundamental properties of GIZO/SiO2/Si heterostructure, which will beuseful in the design, modeling and analysis of the performance devices applications.

  6. Surface and interfacial structural characterization of MBE grown Si/Ge multilayers

    International Nuclear Information System (INIS)

    Saha, Biswajit; Sharma, Manjula; Sarma, Abhisakh; Rath, Ashutosh; Satyam, P.V.; Chakraborty, Purushottam; Sanyal, Milan K.

    2009-01-01

    Si/Ge multilayer structures have been grown by solid source molecular beam epitaxy (MBE) on Si (1 1 1) and (1 0 0) substrates and were characterized by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), high-depth-resolution secondary ion mass spectroscopy (SIMS) and cross-section high-resolution transmission electron microscopy (HRTEM). A reasonably good agreement has been obtained for layer thickness, interfacial structure and diffusion between SIMS and HRTEM measurements. Epitaxial growth and crystalline nature of the individual layer have been probed using cross-sectional HRTEM and XRD measurements. Surface and interface morphological studies by AFM and HRTEM show island-like growth of both Si and Ge nanostructures.

  7. A metastable HCP intermetallic phase in Cu-Al bilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Cha, Limei

    2006-07-01

    For the present study, three kinds of layered Cu/Al films have been fabricated. The first kind of samples were multilayered Cu/Al films deposited by sputtering on (001)Si. The individual layer thicknesses were 100 nm, 200 nm and 400 nm, while the total film thickness of 800 nm was kept constant, thus leading to multilayer systems with 8, 4 and 2 layers, respectively. The second type of samples were Cu/Al bilayer films grown on (0001) sapphire by sputtering, with individual layer thicknesses of 400 nm. The third type of samples were bilayer films (100 nm Cu and 100 nm Al) deposited on (0001)sapphire by MBE at room temperature. Applying conventional transmission electron microscopy and X-ray diffraction, different epitaxial growth behaviors were found in these films. All multilayer films from the first type were polycrystalline. The second type of films show a (111) FCC texture and possess intermetallic phases at the interfaces. HRTEM investigations displayed that along [111]FCC, the atomic structure of the interlayer has an ABAB stacking sequence, which is identical with a hexagonal close-packed (HCP) structure in [0001] direction, but not with the ABCABC stacking sequence of Cu and Al in [111]FCC. The lattice parameters of the HCP structure at the interlayer were determined from a model which gave the best agreement between the experimental and simulated images. The parameters are: a=b=0.256 nm, c=0.419 nm, ?=120 , with the space group of P6m2. Furthermore, lattice distortion analysis revealed that the lattice parameters of the HCP phase are increasing from the near-Cu-side to the near-Al-side. The chemical composition of the interlayer was investigated by energy dispersive X-ray spectroscopy (EDS). EDS linescans were performed from pure Al to pure Cu layers. In order to examine the stability of this HCP phase, in-situ heating experiments were performed in the HRTEM at {proportional_to}600 C. Ex-situ heating experiments were performed at different temperatures to

  8. Performance Improvement of Microcrystalline p-SiC/i-Si/n-Si Thin Film Solar Cells by Using Laser-Assisted Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Hsin-Ying Lee

    2014-01-01

    Full Text Available The microcrystalline p-SiC/i-Si/n-Si thin film solar cells treated with hydrogen plasma were fabricated at low temperature using a CO2 laser-assisted plasma enhanced chemical vapor deposition (LAPECVD system. According to the micro-Raman results, the i-Si films shifted from 482 cm−1 to 512 cm−1 as the assisting laser power increased from 0 W to 80 W, which indicated a gradual transformation from amorphous to crystalline Si. From X-ray diffraction (XRD results, the microcrystalline i-Si films with (111, (220, and (311 diffraction were obtained. Compared with the Si-based thin film solar cells deposited without laser assistance, the short-circuit current density and the power conversion efficiency of the solar cells with assisting laser power of 80 W were improved from 14.38 mA/cm2 to 18.16 mA/cm2 and from 6.89% to 8.58%, respectively.

  9. Structural and electrical properties of epitaxial Si layers prepared by E-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Rudigier, E.; Fenske, F.; Lee, K.Y.; Gorka, B.; Rau, B.; Conrad, E.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2008-08-30

    In this work, we present structural and electrical properties of thin Si films which are homoepitaxially grown at low substrate temperatures (T{sub s} 450-700 deg. C) by high-rate electron beam evaporation. As substrates, monocrystalline Si wafers with (100) and (111) orientations and polycrystalline Si (poly-Si) seed layers on glass were used. Applying Secco etching, films grown on Si(111) wafers exhibit a decreasing etch pit density with increasing T{sub s}. The best structural quality of the films was obtained on Si(100) wafers. Defect etching on epitaxially grown poly-Si absorbers reveal regions with different crystalline quality. Solar cells have been prepared on both wafers and seed layers. Applying Rapid Thermal Annealing (RTA) and Hydrogen plasma passivation an open circuit voltage of 570 mV for wafer based and 346 mV for seed layer based solar cells have been reached.

  10. Effect of BaSi2 template growth duration on the generation of defects and performance of p-BaSi2/n-Si heterojunction solar cells

    Science.gov (United States)

    Yachi, Suguru; Takabe, Ryota; Deng, Tianguo; Toko, Kaoru; Suemasu, Takashi

    2018-04-01

    We investigated the effect of BaSi2 template growth duration (t RDE = 0-20 min) on the defect generation and performance of p-BaSi2/n-Si heterojunction solar cells. The p-BaSi2 layer grown by molecular beam epitaxy (MBE) was 15 nm thick with a hole concentration of 2 × 1018 cm-3. The conversion efficiency η increased for films grown at long t RDE, owing to improvements of the open-circuit voltage (V OC) and fill factor (FF), reaching a maximum of η = 8.9% at t RDE = 7.5 min. However, η decreased at longer and shorter t RDE owing to lower V OC and FF. Using deep-level transient spectroscopy, we detected a hole trap level 190 meV above the valence band maximum for the sample grown without the template (t RDE = 0 min). An electron trap level 106 meV below the conduction band minimum was detected for a sample grown with t RDE = 20 min. The trap densities for both films were (1-2) × 1013 cm-3. The former originated from the diffusion of Ba into the n-Si region; the latter originated from defects in the template layer. The crystalline qualities of the template and MBE-grown layers were discussed. The root-mean-square surface roughness of the template reached a minimum of 0.51 nm at t RDE = 7.5 min. The a-axis orientation of p-BaSi2 thin films degraded as t RDE exceeded 10 min. In terms of p-BaSi2 crystalline quality and solar cell performance, the optimum t RDE was determined to be 7.5 min, corresponding to approximately 4 nm in thickness.

  11. Composition dependence of the ferroelectric properties of lanthanum-modified bismuth titanate thin films grown by using pulsed-laser deposition

    CERN Document Server

    Bu, S D; Park, B H; Noh, T W

    2000-01-01

    Lanthanum-modified bismuth titanate, Bi sub 4 sub - sub x La sub x Ti sub 3 O sub 1 sub 2 (BLT), thin films with a La concentration of 0.25<=x<=1.00 were grown on Pt/Ti/SiO sub 2 /Si substrates by using pulsed-laser deposition. The BLT films showed well-saturated polarization-electric field curves whose remnant polarizations were 16.1 mu C/cm sup 2 , 27.8 mu C/cm sup 2 , 19.6 mu C/cm sup 2 , and 2.7 mu C/cm sup 2 , respectively, for x=0.25, 0.05, 0.75, and 1.00. The fatigue characteristics became better with increasing x up to 0.75. The Au/BLT/Pt capacitor with a La concentration of 0.50 showed an interesting dependence of the remanent polarization on the number of repetitive read/write cycles. On the other hand, the capacitor with a La concentration of 0.75 showed fatigue-free characteristics.

  12. Optical characterization of {beta}-FeSi{sub 2} thin films prepared on fused quartz by femtosecond laser

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Youhua [Wuhan National Laboratory for Optoelectronics and School of Optoelectronics Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); School of Physics and Information Engineering, Jianghan University, Wuhan 430056 (China); Lu Peixiang [Wuhan National Laboratory for Optoelectronics and School of Optoelectronics Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)]. E-mail: lupeixiang@mail.hust.edu.cn; Yang Guang [Wuhan National Laboratory for Optoelectronics and School of Optoelectronics Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Long Hua [Wuhan National Laboratory for Optoelectronics and School of Optoelectronics Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Zheng Qiguang [Wuhan National Laboratory for Optoelectronics and School of Optoelectronics Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2007-10-01

    Single-phase {beta}-FeSi{sub 2} thin films have been grown on quartz substrates using femtosecond laser deposition (800 nm, 50 fs, 1 kHz) under gas pressure of 3.0x10{sup -4} Pa. X-ray diffraction (XRD) and field-emission scanning electron microscopy (SEM) were used to determine the structural properties and surface images of the films. Typical XRD patterns of the film showed that no other diffraction peak except {beta}-FeSi{sub 2} was found. The SEM results indicated that the films were composed of well-distributed grains, in the range 50-150 nm in diameter. In addition, normal incidence spectral transmittance and reflectance data suggested that the {beta}-FeSi{sub 2} film has a direct energy gap of about 0.85 eV. The thickness of the layer and the refractive index of the film were determined by performed calculation in the wavelength range 1.9-2.7 {mu}m. Furthermore, the Raman spectra of the films were also discussed.

  13. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  14. Regulating effect of SiO2 interlayer on optical properties of ZnO thin films

    International Nuclear Information System (INIS)

    Xu, Linhua; Zheng, Gaige; Miao, Juhong; Su, Jing; Zhang, Chengyi; Shen, Hua; Zhao, Lilong

    2013-01-01

    ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. Regulating effect of SiO 2 interlayer with various thicknesses on the optical properties of ZnO/SiO 2 thin films was investigated deeply. The analyses of X-ray diffraction show that the ZnO layers in ZnO/SiO 2 nanocomposite films have a wurtzite structure and are preferentially oriented along the c-axis while the SiO 2 layers are amorphous. The scanning electron microscope images display that the ZnO layers are composed of columnar grains and the thicknesses of ZnO and SiO 2 layers are all very uniform. The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films, which is reflected in the following two aspects: (1) the transmittance of ZnO/SiO 2 nanocomposite films is increased; (2) the photoluminescence (PL) of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays. -- Highlights: ► ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. ► The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films. ► The photoluminescence of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. ► The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays

  15. Thermal detection mechanism of SiC based hydrogen resistive gas sensors

    Science.gov (United States)

    Fawcett, Timothy J.; Wolan, John T.; Lloyd Spetz, Anita; Reyes, Meralys; Saddow, Stephen E.

    2006-10-01

    Silicon carbide (SiC) resistive hydrogen gas sensors have been fabricated and tested. Planar NiCr contacts were deposited on a thin 3C-SiC epitaxial film grown on thin Si wafers bonded to polycrystalline SiC substrates. At 673K, up to a 51.75±0.04% change in sensor output current and a change in the device temperature of up to 163.1±0.4K were demonstrated in response to 100% H2 in N2. Changes in device temperature are shown to be driven by the transfer of heat from the device to the gas, giving rise to a thermal detection mechanism.

  16. Subtle Raman signals from nano-diamond and β-SiC thin films

    International Nuclear Information System (INIS)

    Kuntumalla, Mohan Kumar; Ojha, Harish; Srikanth, Vadali Venkata Satya Siva

    2013-01-01

    Micro Raman scattering experiments are carried out in pursuit of subtle but discernable signals from nano-diamond and β-SiC thin films. The thin films are synthesized using microwave plasma assisted chemical vapor deposition technique. Raman scattering experiments in conjunction with scanning electron microscopy and x-ray diffraction were carried out to extract microstructure and phase information of the above mentioned thin films. Certain subtle Raman signals have been identified in this work. In the case of nanodiamond thin films, Raman bands at ∼ 485 and ∼ 1220 cm −1 are identified. These bands have been assigned to the nanodiamond present in nanodiamond thin films. In the case of nano β-SiC thin films, optical phonons are identified using surface enhanced Raman scattering. - Highlights: ► Subtle Raman signals from nano-diamond and β-silicon carbide related thin films. ► Raman bands at ∼ 485 and ∼ 1220 cm −1 from nanodiamond thin films are identified. ► Longitudinal optical phonon from nano β-silicon carbide thin films is identified

  17. Controlling the optical properties of monocrystalline 3C-SiC heteroepitaxially grown on silicon at low temperatures

    Science.gov (United States)

    Colston, Gerard; Myronov, Maksym

    2017-11-01

    Cubic silicon carbide (3C-SiC) offers an alternative wide bandgap semiconductor to conventional materials such as hexagonal silicon carbide (4H-SiC) or gallium nitride (GaN) for the detection of UV light and can offer a closely lattice matched virtual substrate for subsequent GaN heteroepitaxy. As 3C-SiC can be heteroepitaxially grown on silicon (Si) substrates its optical properties can be manipulated by controlling the thickness and doping concentrations. The optical properties of 3C-SiC epilayers have been characterized by measuring the transmission of light through suspended membranes. Decreasing the thickness of the 3C-SiC epilayers is shown to shift the absorbance edge to lower wavelengths, a result of the indirect bandgap nature of silicon carbide. This property, among others, can be exploited to fabricate very low-cost, tuneable 3C-SiC based UV photodetectors. This study investigates the effect of thickness and doping concentration on the optical properties of 3C-SiC epilayers grown at low temperatures by a standard Si based growth process. The results demonstrate the potential photonic applications of 3C-SiC and its heterogeneous integration into the Si industry.

  18. Alkaline-doped manganese perovskite thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Bibes, M.; Gorbenko, O.; Martinez, B.; Kaul, A.; Fontcuberta, J.

    2000-01-01

    We report on the preparation and characterization of La 1-x Na x MnO 3 thin films grown by MOCVD on various single-crystalline substrates. Under appropriate conditions epitaxial thin films have been obtained. The Curie temperatures of the films, which are very similar to those of bulk samples of similar composition, reflect the residual strain caused by the substrate. The anisotropic magnetoresistance AMR of the films has been analyzed in some detail, and it has been found that it has a two-fold symmetry at any temperature. Its temperature dependence mimics that of the electrical resistivity and magnetoresistance measured at similar fields, thus suggesting that the real structure of the material contributes to the measured AMR besides the intrinsic component

  19. Atomically flat platinum films grown on synthetic mica

    Science.gov (United States)

    Tanaka, Hiroyuki; Taniguchi, Masateru

    2018-04-01

    Atomically flat platinum thin films were heteroepitaxially grown on synthetic fluorophlogopite mica [KMg3(AlSi3O10)F2] by van der Waals epitaxy. Platinum films deposited on a fluorophlogopite mica substrate by inductively coupled plasma-assisted sputtering with oxygen introduction on a synthetic mica substrate resulted in the growth of twin single-crystalline epitaxial Pt(111) films.

  20. Photoluminescence properties of ZnO thin films grown by using the hydrothermal technique

    International Nuclear Information System (INIS)

    Sahoo, Trilochan; Jang, Leewoon; Jeon, Juwon; Kim, Myoung; Kim, Jinsoo; Lee, Inhwan; Kwak, Joonseop; Lee, Jaejin

    2010-01-01

    The photoluminescence properties of zinc-oxide thin films grown by using the hydrothermal technique have been investigated. Zinc-oxide thin films with a wurtzite symmetry and c-axis orientation were grown in aqueous solution at 90 .deg. C on sapphire substrates with a p-GaN buffer layer by using the hydrothermal technique. The low-temperature photoluminescence analysis revealed a sharp bound-exciton-related luminescence peak at 3.366 eV with a very narrow peak width. The temperature-dependent variations of the emission energy and of the integrated intensity were studied. The activation energy of the bound exciton complex was calculated to be 7.35 ± 0.5 meV from the temperature dependent quenching of the integral intensities.

  1. Deposition of thin ultrafiltration membranes on commercial SiC microfiltration tubes

    DEFF Research Database (Denmark)

    Facciotti, Marco; Boffa, Vittorio; Magnacca, Giuliana

    2014-01-01

    Porous SiC based materials present high mechanical, chemical and thermal robustness, and thus have been largely applied to water-filtration technologies. In this study, commercial SiC microfiltration tubes with nominal pore size of 0.04 m were used as carrier for depositing thin aluminium oxide....... After 5 times coating, a 5.6 µm thick γ-Al2O3 layer was obtained. This membrane shows retention of ~75% for polyethylene glycol molecules with Mn of 8 and 35 kDa, indicating that, despite their intrinsic surface roughness, commercial SiC microfiltration tubes can be applied as carrier for thin...... ultrafiltration membranes. This work also indicates that an improvement of the commercial SiC support surface smoothness may greatly enhance permeance and selectivity of Υ-Al2O3 ultrafiltration membranes by allowing the deposition of thinner defect-free layers....

  2. Corrosion resistance of sintered NdFeB coated with SiC/Al bilayer thin films by magnetron sputtering

    International Nuclear Information System (INIS)

    Huang, Yiqin; Li, Heqin; Zuo, Min; Tao, Lei; Wang, Wei; Zhang, Jing; Tang, Qiong; Bai, Peiwen

    2016-01-01

    The poor corrosion resistance of sintered NdFeB imposes a great challenge in industrial applications. In this work, the SiC/Al bilayer thin films with the thickness of 510 nm were deposited on sintered NdFeB by magnetron sputtering to improve the corrosion resistance. A 100 nm Al buffer film was used to reduce the internal stress between SiC and NdFeB and improve the surface roughness of the SiC thin film. The morphologies and structures of SiC/Al bilayer thin films and SiC monolayer film were investigated with FESEM, AFM and X-ray diffraction. The corrosion behaviors of sintered NdFeB coated with SiC monolayer film and SiC/Al bilayer thin films were analyzed by polarization curves. The magnetic properties were measured with an ultra-high coercivity permanent magnet pulse tester. The results show that the surface of SiC/Al bilayer thin films is more compact and uniform than that of SiC monolayer film. The corrosion current densities of SiC/Al bilayer films coated on NdFeB in acid, alkali and salt solutions are much lower than that of SiC monolayer film. The SiC/Al bilayer thin films have little influence to the magnetic properties of NdFeB. - Highlights: • The same thick Al, SiC and SiC/Al films are deposited on NdFeB by magnetron sputtering. • 510 nm SiC/Al bilayer films can improve the corrosion resistance of the NdFeB evidently. • Al buffer layer improves effectively the surface roughness of the SiC thin film. • SiC/Al bilayer films do not deteriorate the magnetic properties of NdFeB.

  3. Corrosion resistance of sintered NdFeB coated with SiC/Al bilayer thin films by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Yiqin [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); Li, Heqin, E-mail: lhqjs@hfut.edu.cn [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); Zuo, Min; Tao, Lei; Wang, Wei [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); Zhang, Jing; Tang, Qiong [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei 230009 (China); Bai, Peiwen [School of Materials Science and Engineering, Hefei University of Technology, Hefei 230009 (China)

    2016-07-01

    The poor corrosion resistance of sintered NdFeB imposes a great challenge in industrial applications. In this work, the SiC/Al bilayer thin films with the thickness of 510 nm were deposited on sintered NdFeB by magnetron sputtering to improve the corrosion resistance. A 100 nm Al buffer film was used to reduce the internal stress between SiC and NdFeB and improve the surface roughness of the SiC thin film. The morphologies and structures of SiC/Al bilayer thin films and SiC monolayer film were investigated with FESEM, AFM and X-ray diffraction. The corrosion behaviors of sintered NdFeB coated with SiC monolayer film and SiC/Al bilayer thin films were analyzed by polarization curves. The magnetic properties were measured with an ultra-high coercivity permanent magnet pulse tester. The results show that the surface of SiC/Al bilayer thin films is more compact and uniform than that of SiC monolayer film. The corrosion current densities of SiC/Al bilayer films coated on NdFeB in acid, alkali and salt solutions are much lower than that of SiC monolayer film. The SiC/Al bilayer thin films have little influence to the magnetic properties of NdFeB. - Highlights: • The same thick Al, SiC and SiC/Al films are deposited on NdFeB by magnetron sputtering. • 510 nm SiC/Al bilayer films can improve the corrosion resistance of the NdFeB evidently. • Al buffer layer improves effectively the surface roughness of the SiC thin film. • SiC/Al bilayer films do not deteriorate the magnetic properties of NdFeB.

  4. Polycrystalline ZnO: B grown by LPCVD as TCO for thin film silicon solar cells

    International Nuclear Information System (INIS)

    Fay, Sylvie; Steinhauser, Jerome; Nicolay, Sylvain; Ballif, Christophe

    2010-01-01

    Conductive zinc oxide (ZnO) grown by low pressure chemical vapor deposition (LPCVD) technique possesses a rough surface that induces an efficient light scattering in thin film silicon (TF Si) solar cells, which makes this TCO an ideal candidate for contacting such devices. IMT-EPFL has developed an in-house LPCVD process for the deposition of nanotextured boron doped ZnO films used as rough TCO for TF Si solar cells. This paper is a general review and synthesis of the study of the electrical, optical and structural properties of the ZnO:B that has been performed at IMT-EPFL. The influence of the free carrier absorption and the grain size on the electrical and optical properties of LPCVD ZnO:B is discussed. Transport mechanisms at grain boundaries are studied. It is seen that high doping of the ZnO grains facilitates the tunnelling of the electrons through potential barriers that are located at the grain boundaries. Therefore, even if these potential barriers increase after an exposition of the film to a humid atmosphere, the heavily doped LPCVD ZnO:B layers show a remarkable stable conductivity. However, the introduction of diborane in the CVD reaction induces also a degradation of the intra-grain mobility and increases over-proportionally the optical absorption of the ZnO:B films. Hence, the necessity to finely tune the doping level of LPCVD ZnO:B films is highlighted. Finally, the next challenges to push further the optimization of LPCVD ZnO:B films for thin film silicon solar cells are discussed, as well as some remarkable record cell results achieved with LPCVD ZnO:B as front electrode.

  5. Tuning by means of laser annealing of electronic and structural properties of nc-Si/a-Si:H

    International Nuclear Information System (INIS)

    Poliani, E.; Somaschini, C.; Sanguinetti, S.; Grilli, E.; Guzzi, M.; Le Donne, A.; Binetti, S.; Pizzini, S.; Chrastina, D.; Isella, G.

    2009-01-01

    We report the effect of laser annealing on the structural and electronic properties of nc-Si/a-Si:H samples grown close to the amorphous to nanocrystalline transition. The nc-Si/a-Si:H thin films were produced by low-energy plasma-enhanced chemical vapor deposition through a gas discharge containing SiH 4 . The samples were subjected to different laser fluencies and were characterized for changes in their structural and electronic properties via Raman spectroscopy and photoluminescence measurements. The laser annealing effects are twofold: i) the nanocrystalline phase grows, during the laser treatment, respect to the amorphous phase; ii) the photoluminescence spectra show the suppression, after laser annealing, of the frequencies above the crystalline Si band-gap.

  6. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng, E-mail: rschen@ust.hk; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-08-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm{sup 2}/Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10{sup 6}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN{sub x}/SiO{sub 2}/SiN{sub x}/SiO{sub 2} passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress.

  7. Growth of BaSi2 film on Ge(100) by vacuum evaporation and its photoresponse properties

    Science.gov (United States)

    Trinh, Cham Thi; Nakagawa, Yoshihiko; Hara, Kosuke O.; Kurokawa, Yasuyoshi; Takabe, Ryota; Suemasu, Takashi; Usami, Noritaka

    2017-05-01

    We have successfully grown a polycrystalline orthorhombic BaSi2 film on a Ge(100) substrate by an evaporation method. Deposition of an amorphous Si (a-Si) film on the Ge substrate prior to BaSi2 evaporation plays a critical role in obtaining a high-quality BaSi2 film. By controlling substrate temperature and the thickness of the a-Si film, a crack-free and single-phase polycrystalline orthorhombic BaSi2 film with a long carrier lifetime of 1.5 µs was obtained on Ge substrates. The photoresponse property of the ITO/BaSi2/Ge/Al structure was clearly observed, and photoresponsivity was found to increase with increasing substrate temperature during deposition of a-Si. Furthermore, the BaSi2 film grown on Ge showed a higher photoresponsivity than that grown on Si, indicating the potential application of evaporated BaSi2 on Ge to thin-film solar cells.

  8. Corrosion resistance of sintered NdFeB coated with SiC/Al bilayer thin films by magnetron sputtering

    Science.gov (United States)

    Huang, Yiqin; Li, Heqin; Zuo, Min; Tao, Lei; Wang, Wei; Zhang, Jing; Tang, Qiong; Bai, Peiwen

    2016-07-01

    The poor corrosion resistance of sintered NdFeB imposes a great challenge in industrial applications. In this work, the SiC/Al bilayer thin films with the thickness of 510 nm were deposited on sintered NdFeB by magnetron sputtering to improve the corrosion resistance. A 100 nm Al buffer film was used to reduce the internal stress between SiC and NdFeB and improve the surface roughness of the SiC thin film. The morphologies and structures of SiC/Al bilayer thin films and SiC monolayer film were investigated with FESEM, AFM and X-ray diffraction. The corrosion behaviors of sintered NdFeB coated with SiC monolayer film and SiC/Al bilayer thin films were analyzed by polarization curves. The magnetic properties were measured with an ultra-high coercivity permanent magnet pulse tester. The results show that the surface of SiC/Al bilayer thin films is more compact and uniform than that of SiC monolayer film. The corrosion current densities of SiC/Al bilayer films coated on NdFeB in acid, alkali and salt solutions are much lower than that of SiC monolayer film. The SiC/Al bilayer thin films have little influence to the magnetic properties of NdFeB.

  9. Polarity and microstructure in InN thin layers grown by MOVPE

    International Nuclear Information System (INIS)

    Kuwano, N.; Nakahara, Y.; Amano, H.

    2006-01-01

    Microstructures in InN grown on sapphire (0001) and yttria-stabilized zirconia (YSZ) (111) by metal-organic vapor phase epitaxy (MOVPE) were analyzed by means of transmission electron microscopy (TEM) in order to clarify the growth process. Special attention was paid to the selectivity of the crystal polarity of InN. The InN thin films grown on sapphire after nitridation has a flat surface while those grown on YSZ has hillocks on the surface. The crystal polarity was determined by comparing the experimentally observed intensity distribution in convergent beam electron diffraction (CBED) disks with those simulated by the Broch-wave method. It was found that the InN grown on the sapphire has a nitrogen-polarity and the one on YSZ has a mixture of In- and N-polarities. The effect of surface-nitridation of sapphire on the growth process is also discussed (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Two-dimensional X-ray diffraction and transmission electron microscopy study on the effect of magnetron sputtering atmosphere on GaN/SiC interface and gallium nitride thin film crystal structure

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Huaxiang, E-mail: shenhuaxiang@gmail.com [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Zhu, Guo-Zhen; Botton, Gianluigi A. [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Canadian Centre for Electron Microscopy, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Kitai, Adrian [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Department of Engineering Physics, McMaster University, Hamilton, Ontario L8S 4L7 (Canada)

    2015-03-21

    The growth mechanisms of high quality GaN thin films on 6H-SiC by sputtering were investigated by X-ray diffraction (XRD) and scanning transmission electron microscopy (STEM). The XRD θ-2θ scans show that high quality (0002) oriented GaN was deposited on 6H-SiC by reactive magnetron sputtering. Pole figures obtained by 2D-XRD clarify that GaN thin films are dominated by (0002) oriented wurtzite GaN and (111) oriented zinc-blende GaN. A thin amorphous silicon oxide layer on SiC surfaces observed by STEM plays a critical role in terms of the orientation information transfer from the substrate to the GaN epilayer. The addition of H{sub 2} into Ar and/or N{sub 2} during sputtering can reduce the thickness of the amorphous layer. Moreover, adding 5% H{sub 2} into Ar can facilitate a phase transformation from amorphous to crystalline in the silicon oxide layer and eliminate the unwanted (33{sup ¯}02) orientation in the GaN thin film. Fiber texture GaN thin films can be grown by adding 10% H{sub 2} into N{sub 2} due to the complex reaction between H{sub 2} and N{sub 2}.

  11. Conformal Thin Film Packaging for SiC Sensor Circuits in Harsh Environments

    Science.gov (United States)

    Scardelletti, Maximilian C.; Karnick, David A.; Ponchak, George E.; Zorman, Christian A.

    2011-01-01

    In this investigation sputtered silicon carbide annealed at 300 C for one hour is used as a conformal thin film package. A RF magnetron sputterer was used to deposit 500 nm silicon carbide films on gold metal structures on alumina wafers. To determine the reliability and resistance to immersion in harsh environments, samples were submerged in gold etchant for 24 hours, in BOE for 24 hours, and in an O2 plasma etch for one hour. The adhesion strength of the thin film was measured by a pull test before and after the chemical immersion, which indicated that the film has an adhesion strength better than 10(exp 8) N/m2; this is similar to the adhesion of the gold layer to the alumina wafer. MIM capacitors are used to determine the dielectric constant, which is dependent on the SiC anneal temperature. Finally, to demonstrate that the SiC, conformal, thin film may be used to package RF circuits and sensors, an LC resonator circuit was fabricated and tested with and without the conformal SiC thin film packaging. The results indicate that the SiC coating adds no appreciable degradation to the circuits RF performance. Index Terms Sputter, silicon carbide, MIM capacitors, LC resonators, gold etchants, BOE, O2 plasma

  12. MOCVD of hexagonal boron nitride thin films on Si(100) using new single source precursors

    CERN Document Server

    Boo, J H; Yu, K S; Kim, Y S; Kim, Y S; Park, J T

    1999-01-01

    We have been carried out the growth of hexagonal boron nitride (h-BN) thin films on Si(100) substrates by low pressure metal-organic chemical vapor deposition (LPMOCVD) method using triethylborane tert-butylamine complex (TEBTBA), Et sub 3 BNH sub 2 ( sup t Bu), and triethylborane isopropylamine complex (TEBIPA), Et sub 3 BNH sub 2 ( sup t Pr) as a new single molecular precursors in the temperature range of 850 approx 1000 .deg. C. polycrystalline, crack-free h-BN film was successfully grown on Si(100) substrate at 850 .deg. C using TEBTBA. This growth temperature is very lower than those in previous reports. Carbon-rich polycrystalline BN was also obtained at 900 .deg. C from TEBIPA. With increasing substrate temperature to 1000 .deg. C, however, BC sub 4 N-like species are strongly formed along with h-BN and the BN films obtained from both TEBTBA and TEBIPA but almost polycrystalline. To our best knowledge, this is the first report of the growth of h-BN films formed with the new single source precursors of ...

  13. Characterization of molecular organization in pentacene thin films on SiO{sub 2} surface using infrared spectroscopy, spectroscopic ellipsometry, and atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Frątczak, E.Z., E-mail: ewelinazofia@gmail.com [Faculty of Physics and Applied Informatics, University of Łódź, 90-236 Łódź, Pomorska 149/153 (Poland); Uznański, P., E-mail: puznansk@cbmm.lodz.pl [Centre of Molecular and Macromolecular Studies, Polish Academy of Sciences, 90-363 Łódź, Sienkiewicza 112 (Poland); Moneta, M.E. [Faculty of Physics and Applied Informatics, University of Łódź, 90-236 Łódź, Pomorska 149/153 (Poland)

    2015-07-29

    Highlights: • Pentacene thin films of different thickness grown onto SiO{sub 2} substrates were studied. • Polarized IR GATR spectra were recorded and conclusions on pentacene orientation were deduced. • Optical anisotropic properties and morphology of pentacene films were analyzed. • Dielectric properties vary to some extent with the film thickness. - Abstract: Thin films of pentacene of 32 and 100 nm thickness obtained by organic molecular beam deposition (OMBD) in high vacuum conditions onto silicon/native silica (Si/SiO{sub 2}) and fused silica substrates were examined. Alignment, anisotropic optical properties and morphology were studied in ambient conditions using infrared (IR) transmission and polarized grazing angle attenuated total reflection (GATR) techniques, variable angle spectroscopic ellipsometry (VASE), UV–VIS absorption, and atomic force microscopy (AFM). For the first time dichroic GATR IR spectra were recorded for such thin films and conclusions on pentacene orientation were deduced on the basis of dichroic ratio of the IR-active vibrations. The symmetry assignment of the vibrational transitions is also discussed. The films exhibit continuous globular texture with uniaxial alignment of pentacene molecules and strongly anisotropic optical properties evidenced in the ellipsometric measurements. The results revealed that there are some quantitative differences in the orientation and in the dielectric properties between the two pentacene films of different thickness.

  14. Effects of MeV Si ions bombardment on the thermoelectric generator from SiO{sub 2}/SiO{sub 2} + Cu and SiO{sub 2}/SiO{sub 2} + Au nanolayered multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Chacha, J., E-mail: chacha_john79@hotmail.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Smith, C., E-mail: cydale@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States); Pugh, M., E-mail: marcuspughp@yahoo.com [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Colon, T. [Department of Mechanical Engineering, Alabama A and M University, Normal, AL (United States); Heidary, K., E-mail: kaveh.heidary@aamu.edu [Department of Electrical Engineering, Alabama A and M University, Normal, AL (United States); Johnson, R.B., E-mail: barry@w4wb.com [Department of Physics, Alabama A and M University, Normal, AL (United States); Ila, D., E-mail: ila@cim.aamu.edu [Center for Irradiation of Materials, Alabama A and M University, Normal, AL (United States); Department of Physics, Alabama A and M University, Normal, AL (United States)

    2011-12-15

    The defects and disorder in the thin films caused by MeV ions bombardment and the grain boundaries of these nanoscale clusters increase phonon scattering and increase the chance of an inelastic interaction and phonon annihilation. We prepared the thermoelectric generator devices from 100 alternating layers of SiO{sub 2}/SiO{sub 2} + Cu multi-nano layered superlattice films at the total thickness of 382 nm and 50 alternating layers of SiO{sub 2}/SiO{sub 2} + Au multi-nano layered superlattice films at the total thickness of 147 nm using the physical vapor deposition (PVD). Rutherford Backscattering Spectrometry (RBS) and RUMP simulation have been used to determine the stoichiometry of the elements of SiO{sub 2}, Cu and Au in the multilayer films and the thickness of the grown multi-layer films. The 5 MeV Si ions bombardments have been performed using the AAMU-Center for Irradiation of Materials (CIM) Pelletron ion beam accelerator to make quantum (nano) dots and/or quantum (quantum) clusters in the multilayered superlattice thin films to decrease the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and cross plane electrical conductivity. To characterize the thermoelectric generator devices before and after Si ion bombardments we have measured Seebeck coefficient, cross-plane electrical conductivity, and thermal conductivity in the cross-plane geometry for different fluences.

  15. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  16. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  17. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001 substrate

    Directory of Open Access Journals (Sweden)

    Han Ye

    2014-11-01

    Full Text Available Patterning pit on Si(001 substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in {105} pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facets for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.

  18. Single-phase {beta}-FeSi{sub 2} thin films prepared on Si wafer by femtosecond laser ablation and its photoluminescence at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lu Peixiang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)]. E-mail: lupeixiang@mail.hust.edu.cn; Zhou Youhua [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China) and Physics and Information School, Jianghan University, Wuhan 430056 (China)]. E-mail: yhzhou@jhun.edu.cn; Zheng Qiguang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); Yang Guang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2006-02-06

    Single-phase {beta}-FeSi{sub 2} thin films were prepared on Si(100) and Si(111) wafers by using femtosecond laser deposition with a FeSi{sub 2} alloy target for the first time. X-ray diffraction (XRD), field scanning electron microscopy (FSEM), scanning probe microscopy (SPM), electron backscattered diffraction pattern (EBSD), and Fourier-transform Raman infrared spectroscopy (FTRIS) were used to characterize the structure, composition, and properties of the {beta}-FeSi{sub 2}/Si films. The orientation of {beta}-FeSi{sub 2} grains was found to depend on the orientation of the Si substrates, and photoluminescence at wavelength of 1.53 {mu}m was observed from the single-phase {beta}-FeSi{sub 2}/Si thin film at room temperature (20 {sup o}C)

  19. Soft Magnetic Properties of High-Entropy Fe-Co-Ni-Cr-Al-Si Thin Films

    Directory of Open Access Journals (Sweden)

    Pei-Chung Lin

    2016-08-01

    Full Text Available Soft magnetic properties of Fe-Co-Ni-Al-Cr-Si thin films were studied. As-deposited Fe-Co-Ni-Al-Cr-Si nano-grained thin films showing no magnetic anisotropy were subjected to field-annealing at different temperatures to induce magnetic anisotropy. Optimized magnetic and electrical properties of Fe-Co-Ni-Al-Cr-Si films annealed at 200 °C are saturation magnetization 9.13 × 105 A/m, coercivity 79.6 A/m, out-of-plane uniaxial anisotropy field 1.59 × 103 A/m, and electrical resistivity 3.75 μΩ·m. Based on these excellent properties, we employed such films to fabricate magnetic thin film inductor. The performance of the high entropy alloy thin film inductors is superior to that of air core inductor.

  20. Structural and optical characteristics of in-situ sputtered highly oriented 15R-SiC thin films on different substrates

    Science.gov (United States)

    Mourya, Satyendra; Jaiswal, Jyoti; Malik, Gaurav; Kumar, Brijesh; Chandra, Ramesh

    2018-01-01

    In this work, we have reported the in-situ fabrication of nanocrystalline rhombohedral silicon carbide (15R-SiC) thin films by RF-magnetron sputtering at 800 °C substrate temperature. The structural and optical properties were investigated for the films grown on four different substrates (ZrO2, MgO, SiC, and Si). The contact angle measurement was performed on all the substrates to investigate the role of interfacial surface energy in nucleation and growth of the films. The XRD measurement revealed the growth of (1 0 10) orientation for all the samples and demonstrated better crystallinity on Si substrate, which was further corroborated by the TEM results. The Raman spectroscopy confirmed the growth of rhombohedral phase with 15R polytype. Surface characteristics of the films have been investigated by energy dispersive x-ray spectroscopy, FTIR, and atomic force microscope (AFM) to account for chemical composition, bonding, and root mean square surface roughness (δrms). The optical dispersion behavior of 15R-SiC thin films was examined by variable angle spectroscopic ellipsometry in the wide spectral range (246-1688 nm), including the surface characteristics in the optical model. The non-linear optical parameters (χ3 and n2) of the samples have been calculated by the Tichy and Ticha relation using a single effective oscillator model of Wemple and Didomenico. Additionally, our optical results provided an alternative way to measure the ratio of carrier concentration to the effective mass (N/m*). These investigated optical parameters allow one to design and fabricate optoelectronic, photonic, and telecommunication devices for deployment in extreme environment.

  1. Vertical and lateral ordering of Ge islands grown on Si(001): theory and experiments

    International Nuclear Information System (INIS)

    Montalenti, F; Marzegalli, A; Capellini, G; Seta, M De; Miglio, Leo

    2007-01-01

    A set of recent results concerning lateral and vertical ordering of Ge islands grown on Si(001) is reviewed. Experimental data generated by chemical vapour deposition and analysed by atomic force microscopy and photoelectron spectroscopy are compared with computer simulations and modelling based on atomistic approaches and continuum theory. In particular, we show that it is possible to probe experimentally the detailed strain field generated by buried Ge islands at the surface of the Si capping layer. The observed arrangement of small Ge islands grown over the capping layer is demonstrated to be very close to the one predicted by a simple model where the local chemical potential is inferred from the strain field at the atomic scale, as given by Tersoff-potential molecular dynamics simulations. Moreover, we review recent experimental evidence for lateral ordering, triggered by partial Si capping, in the first layer of Ge islands on Si(001). Theoretical support is given by showing that when two islands lie in close proximity the elastic field is likely to generate a flow of atoms leading to an effective gliding motion along opposite directions of both islands, eventually stopped by the presence of further neighbouring islands

  2. The reduction of the change of secondary ions yield in the thin SiON/Si system

    International Nuclear Information System (INIS)

    Sameshima, J.; Yamamoto, H.; Hasegawa, T.; Nishina, T.; Nishitani, T.; Yoshikawa, K.; Karen, A.

    2006-01-01

    For the analyses of gate insulating materials of thin silicon oxy-nitride (SiON) and dielectric films, SIMS is one of the available tool along with TEM and ESCA, etc. Especially, to investigate the distribution of dopant in the thin films, SIMS is appreciably effective in these techniques because of its depth profiling capability and high sensitivity. One of the problem occurring in this SIMS measurement is the change of secondary ion yield at the interface as well as in the layers with different chemical composition. To solve this problem, some groups have researched the phenomenon for SiO 2 /Si interface [W. Vandervorst, T. Janssens, R. Loo, M. Caymax, I. Peytier, R. Lindsay, J. Fruhauf, A. Bergmaier, G. Dollinger, Appl. Surf. Sci. 203-204 (2003) 371-376; S. Hayashi, K.Yanagihara, Appl. Surf. Sci. 203-204 (2003) 339-342; M. Barozzi, D. Giubertoni, M.Anderle, M. Bersani, Appl. Surf. Sci. 231-232 (2004) 632-635; T.H. Buyuklimanli, J.W. Marino, S.W. Novak, Appl. Surf. Sci. 231-232 (2004) 636-639]. In the present study, profiles of boron and matrix elements in the Si/SiON layers on Si substrate have been investigated. The sensitivity change of Si and B profiles in SiON layer become smaller by using oxygen flood than those without oxygen flood for both O 2 + and Cs + beam. At the range of 0-25 at.% of N composition, 11 B dosimetry in SiON layer implanted through amorphous Si depends on N composition. This trend could be caused by the sensitivity change of 11 B, or it indicates real 11 B concentration change in SiON lyaer. N areal density determined by Cs + SIMS with oxygen flooding also shows linear relationship with N composition estimated by XPS

  3. Ion-beam-induced ferromagnetism in Mn-doped PrFeO{sub 3} thin films grown on Si (100)

    Energy Technology Data Exchange (ETDEWEB)

    Sultan, Khalid; Ikram, M.; Mir, Sajad Ahmad; Habib, Zubida; Aarif ul Islam, Shah [National Institute of Technology, Solid State Physics Lab. Department of Physics, Srinagar, J and K (India); Ali, Yasir [Saint Longwal Institute of Engineering and Technology, Sangrur, Punjab (India); Asokan, K. [Inter University Accelerator Centre, Materials Science Division, New Delhi (India)

    2016-01-15

    The present study shows that the ion beam irradiation induces room-temperature ferromagnetic ordering in pulsed laser-deposited Mn-doped PrFeO{sub 3} thin films on Si (100) apart from change in the morphological, structural and electrical properties. Dense electronic excitation produced by high-energy 120 MeV Ag{sup 9+} ion irradiation causes change in surface roughness, crystallinity and strain. It is also evident that these excitations induce the magnetic ordering in this system. The observed modifications are due to the large electronic energy deposited by swift heavy ions irradiation. The appearance of ferromagnetism at 300 K in these samples after irradiation may be attributed to the canting of the antiferromagnetically ordered spins due to the structural distortion. (orig.)

  4. UHV-TEM/TED observation of Ag islands grown on Si( 1 1 1 ) 3× 3-Ag surface

    Science.gov (United States)

    Oshima, Yoshifumi; Nakade, Hiroyuki; Shigeki, Sinya; Hirayama, Hiroyuki; Takayanagi, Kunio

    2001-11-01

    Growths of Ag islands on Si(1 1 1)3×3-Ag surface at room temperature were observed by UHV transmission electron microscopy and diffraction. The Ag islands grown after six monolayer deposition had neither (1 0 0) nor (1 1 0) orientation, but had two complex epitaxial orientations dominantly. One was striped islands which gave rise to a diffraction pattern commensurate with the 3×3 lattice of the Si(1 1 1) surface. The other was the coagulated islands whose diffraction pattern indicated the Ag(1 -3 4) sheet grown parallel to the Si(1 1 1) surface.

  5. Investigation of the {Fe}/{Si} interface and its phase transformations

    Science.gov (United States)

    Fanciulli, M.; Degroote, S.; Weyer, G.; Langouche, G.

    1997-04-01

    Thin 57Fe films (3-10 Å) have been grown by molecular beam epitaxy (MBE) on (7 × 7) reconstructed Si(111) and (2 × 1) reconstructed Si(001) surfaces and by e-gun evaporation on an H-terminated Si(111) surface. Conversion electron Mössbauer spectroscopy (CEMS) with high statistical accuracy and resolution allowed a detailed microscopic investigation of the silicide formation mechanism and of the structural phase transformations upon annealing.

  6. QCM gas sensor characterization of ALD-grown very thin TiO2 films

    Science.gov (United States)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Szilágyi, I. M.

    2018-03-01

    The paper presents a technology for preparation and characterization of titanium dioxide (TiO2) thin films suitable for gas sensor applications. Applying atomic layer deposition (ALD), very thin TiO2 films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The TiO2 thin films were grown using Ti(iOPr)4 and water as precursors. The surface of the films was observed by scanning electron microscopy (SEM), coupled with energy dispersive X-ray analysis (EDX) used for a composition study. The research was focused on the gas-sensing properties of the films. Films of 10-nm thickness were deposited on quartz resonators with Au electrodes and the QCMs were used to build highly sensitive gas sensors, which were tested for detecting NO2. Although very thin, these ALD-grown TiO2 films were sensitive to NO2 already at room temperature and could register as low concentrations as 50 ppm, while the sorption was fully reversible, and the sensors could be fully recovered. With the technology presented, the manufacturing of gas sensors is simple, fast and cost-effective, and suitable for energy-effective portable equipment for real-time environmental monitoring of NO2.

  7. Thickness-dependent blue shift in the excitonic peak of conformally grown ZnO:Al on ion-beam fabricated self-organized Si ripples

    Energy Technology Data Exchange (ETDEWEB)

    Basu, T.; Kumar, M.; Som, T., E-mail: tsom@iopb.res.in [Institute of Physics, Sachivalaya Marg, Bhubaneswar 751 005 (India); Nandy, S. [CENIMAT, Faculdade de Ciencias e Tecnologia, Universidade Nova de Lisboa, Caparica 2829 516 (Portugal); Satpati, B. [Surface Physics and Material Science Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saini, C. P.; Kanjilal, A. [Department of Physics, School of Natural Sciences, Shiv Nadar University, Gautam Budh Nagar, Uttar Pradesh 201 314 (India)

    2015-09-14

    Al-doped ZnO (AZO) thin films of thicknesses 5,10, 15, 20, and 30 nm were deposited on 500 eV argon ion-beam fabricated nanoscale self-organized rippled-Si substrates at room temperature and are compared with similar films deposited on pristine-Si substrates (without ripples). It is observed that morphology of self-organized AZO films is driven by the underlying substrate morphology. For instance, for pristine-Si substrates, a granular morphology evolves for all AZO films. On the other hand, for rippled-Si substrates, morphologies having chain-like arrangement (anisotropic in nature) are observed up to a thickness of 20 nm, while a granular morphology evolves (isotropic in nature) for 30 nm-thick film. Photoluminescence studies reveal that excitonic peaks corresponding to 5–15 nm-thick AZO films, grown on rippled-Si templates, show a blue shift of 8 nm and 3 nm, respectively, whereas the peak shift is negligible for 20-nm thick film (with respect to their pristine counter parts). The observed blue shifts are substantiated by diffuse reflectance study and attributed to quantum confinement effect, associated with the size of the AZO grains and their spatial arrangements driven by the anisotropic morphology of underlying rippled-Si templates. The present findings will be useful for making tunable AZO-based light-emitting devices.

  8. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  9. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  10. Thermal annealing of amorphous Ti-Si-O thin films

    OpenAIRE

    Hodroj , Abbas; Chaix-Pluchery , Odette; Audier , Marc; Gottlieb , Ulrich; Deschanvres , Jean-Luc

    2008-01-01

    International audience; Ti-Si-O thin films were deposited using an aerosol chemical vapor deposition process at atmospheric pressure. The film structure and microstructure were analysed using several techniques before and after thermal annealing. Diffraction results indicate that the films remain X-ray amorphous after annealing whereas Fourier transform infrared spectroscopy gives evidence of a phase segregation between amorphous SiO2 and well crystallized anatase TiO2. Crystallization of ana...

  11. MBE-grown Si and Si1−xGex quantum dots embedded within epitaxial Gd2O3 on Si(111) substrate for floating gate memory device

    International Nuclear Information System (INIS)

    Manna, S; Aluguri, R; Katiyar, A; Ray, S K; Das, S; Laha, A; Osten, H J

    2013-01-01

    Si and Si 1−x Ge x quantum dots embedded within epitaxial Gd 2 O 3 grown by molecular beam epitaxy have been studied for application in floating gate memory devices. The effect of interface traps and the role of quantum dots on the memory properties have been studied using frequency-dependent capacitance–voltage and conductance–voltage measurements. Multilayer quantum dot memory comprising four and five layers of Si quantum dots exhibits a superior memory window to that of single-layer quantum dot memory devices. It has also been observed that single-layer Si 1−x Ge x quantum dots show better memory characteristics than single-layer Si quantum dots. (paper)

  12. Characterization of Nanocrystalline SiGe Thin Film Solar Cell with Double Graded-Dead Absorption Layer

    Directory of Open Access Journals (Sweden)

    Chao-Chun Wang

    2012-01-01

    Full Text Available The nanocrystalline silicon-germanium (nc-SiGe thin films were deposited by high-frequency (27.12 MHz plasma-enhanced chemical vapor deposition (HF-PECVD. The films were used in a silicon-based thin film solar cell with graded-dead absorption layer. The characterization of the nc-SiGe films are analyzed by scanning electron microscopy, UV-visible spectroscopy, and Fourier transform infrared absorption spectroscopy. The band gap of SiGe alloy can be adjusted between 0.8 and 1.7 eV by varying the gas ratio. For thin film solar cell application, using double graded-dead i-SiGe layers mainly leads to an increase in short-circuit current and therefore cell conversion efficiency. An initial conversion efficiency of 5.06% and the stabilized efficiency of 4.63% for an nc-SiGe solar cell were achieved.

  13. Solid-state compound phase formation of TiSi2 thin films under stress

    Directory of Open Access Journals (Sweden)

    C. Theron

    2010-02-01

    Full Text Available Different stress situations were created on an Si(100 wafer by depositing either Si3N4 or SiO2 thin films on the back side. Si3N4 has a different thermal expansion coefficient from that of SiO2. A thin Ti film was then deposited on the front side of the Si wafer. The structures were then annealed at various high temperatures for different periods of time. Real-time Rutherford backscattering spectrometry, as well as sample curvature measurements, were used to characterise the samples. Different reaction rates were found between Si3N4-deposited samples and SiO2-deposited samples.

  14. Effects of substrate temperature on structural and electrical properties of SiO2-matrix boron-doped silicon nanocrystal thin films

    International Nuclear Information System (INIS)

    Huang, Junjun; Zeng, Yuheng; Tan, Ruiqin; Wang, Weiyan; Yang, Ye; Dai, Ning; Song, Weijie

    2013-01-01

    In this work, silicon-rich SiO 2 (SRSO) thin films were deposited at different substrate temperatures (T s ) and then annealed by rapid thermal annealing to form SiO 2 -matrix boron-doped silicon-nanocrystals (Si-NCs). The effects of T s on the micro-structure and electrical properties of the SiO 2 -matrix boron-doped Si-NC thin films were investigated using Raman spectroscopy and Hall measurements. Results showed that the crystalline fraction and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films both increased significantly when the T s was increased from room temperature to 373 K. When the T s was further increased from 373 K to 676 K, the crystalline fraction of 1373 K-annealed thin films decreased from 52.2% to 38.1%, and the dark conductivity reduced from 8 × 10 −3 S/cm to 5.5 × 10 −5 S/cm. The changes in micro-structure and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films were most possibly due to the different amount of Si-O 4 bond in the as-deposited SRSO thin films. Our work indicated that there was an optimal T s , which could significantly increase the crystallization and conductivity of Si-NC thin films. Also, it was illumined that the low-resistivity SiO 2 -matrix boron-doped Si-NC thin films can be achieved under the optimal substrate temperatures, T s .

  15. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    Science.gov (United States)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of

  16. As-grown enhancement of spinodal decomposition in spinel cobalt ferrite thin films by Dynamic Aurora pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Debnath, Nipa [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Physics, Jagannath University, Dhaka 1100 (Bangladesh); Kawaguchi, Takahiko; Kumasaka, Wataru [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Das, Harinarayan [Materials Science Division, Atomic Energy Centre, Dhaka 1000 (Bangladesh); Shinozaki, Kazuo [School of Materials and Chemical Technology, Tokyo Institute of Technology, Tokyo 152-8550 (Japan); Sakamoto, Naonori [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Suzuki, Hisao [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Wakiya, Naoki, E-mail: wakiya.naoki@shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan)

    2017-06-15

    Highlights: • As-grown enhancement of spinodal decomposition (SD) in Co{sub x}Fe{sub 3−x}O{sub 4} film is observed. • Magnetic-field-induced ion-impingement enhances SD without any post-annealing. • The enhancement of SD is independent of the lattice-mismatch-induced strain. • This approach can promote SD in any thin film without post-deposition annealing. - Abstract: Cobalt ferrite Co{sub x}Fe{sub 3−x}O{sub 4} thin films with composition within the miscibility gap were grown using Dynamic Aurora pulsed laser deposition. X-ray diffraction patterns reveal as-grown phase separation to Fe-rich and Co-rich phases with no post-deposition annealing. The interconnected surface microstructure of thin film shows that this phase separation occurs through spinodal decomposition enhanced by magnetic-field-induced ion-impingement. The lattice parameter variation of the thin films with the magnetic field indicates that the composition fluctuations can be enhanced further by increasing the magnetic field. Results show that spinodal decomposition enhancement by magnetic-field-induced ion-impingement is independent of the lattice-mismatch-induced strain. This approach can promote spinodal decomposition in any thin film with no post-deposition annealing process.

  17. High purity and semi-insulating 4H-SiC crystals grown by physical vapor transport

    Energy Technology Data Exchange (ETDEWEB)

    Augustine, G.; Hobgood, H.McD.; Balakrishna, V.; Dunne, G.T.; Hopkins, R.H.; Thomas, R.N. [Northrop Grumman Corp., Pittsburgh, PA (United States). Science and Technology Center; Doolittle, W.A.; Rohatgi, A. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Electrical and Computer Engineering

    1998-06-01

    High purity undoped and semi-insulating vanadium doped 4H-SiC single crystals with diameters up to 50 mm were grown by the physical vapor transport method. Undoped crystals exhibiting resistivities in the 10{sup 2} to 10{sup 3} {Omega}-cm range and photoconductive decay (PCD) lifetimes in the 2 to 9 {mu}s range, were grown from high purity SiC sublimation sources. The crystals were p-type due to the presence of residual acceptor impurities, mainly boron. The semi-insulating behavior of the vanadium doped crystals is attributed to compensation of residual acceptors by the deep level vanadium donor located near the middle of the band gap. (orig.) 6 refs.

  18. Physical and dispersive optical characteristics of ZrON/Si thin-film system

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Yew Hoong [University of Malaya, Centre of Advanced Materials, Department of Mechanical Engineering, Faculty of Engineering, Kuala Lumpur (Malaysia); University of Malaya, Centre of Advanced Manufacturing and Material Processing, Kuala Lumpur (Malaysia); Atuchin, V.V. [Institute of Semiconductor Physics, SB RAS, Laboratory of Optical Materials and Structures, Novosibirsk (Russian Federation); Kruchinin, V.N. [Institute of Semiconductor Physics, SB RAS, Laboratory for Ellipsometry of Semiconductor Materials and Structures, Novosibirsk (Russian Federation); Cheong, Kuan Yew [Universiti Sains Malaysia, Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Seberang Perai Selatan, Penang (Malaysia)

    2014-06-15

    To date, the complex evaluation of physical and dispersive optical characteristics of the ZrON/Si film system has yet been reported. Hence, ZrON thin films have been formed on Si(100) substrates through oxidation/nitridation of sputtered metallic Zr in N{sub 2}O environment at 500, 700, and 900 C. Physical properties of the deposited films have been characterized by X-ray diffractometry (XRD), Fourier transform infrared (FTIR) spectroscopy, reflection high-energy electron diffraction (RHEED), and spectroscopic ellipsometry (SE). It has been shown that ZrON/Si thin films without optical absorption can be prepared by oxidation/nitridation reaction in N{sub 2}O environment at 700-900 C. (orig.)

  19. Structural and optical properties of ZnO films grown on silicon and ...

    Indian Academy of Sciences (India)

    TECS

    Abstract. Photoluminescence (PL) properties of undoped ZnO thin films grown by rf magnetron sputtering on silicon .... voluted O1 s and (c) typical Zr 3d spectra of ZrO2/ZnO/Si film. .... strate doping concentration (NB) of ≈ 2⋅5 × 1015 cm–3 is.

  20. High-efficiency thin Si solar cells prepared at reduced temperatures. Final report; Herstellung von hocheffizienten, duennen Si-Solarzellen bei erniedrigten Prozesstemperaturen. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Kruehler, W.

    1999-07-01

    Thin crystalline Si wafer solar cells were processed at reduced temperatures. In addition multicrystalline thin-film solar cells were fabricated on graphite substrates. Large area (175 cm{sup 2}) wafer solar cells made from mono- as well as from tricrystalline Si material were processed with reduced thicknesses down to 100 {mu}m. Conversion efficiencies were obtained in the range between 11.5 and 12.5% without antireflection coating. The reduction of the process temperatures had no positive impact on the expected cost reduction because of the degradation of the electrical cell data during processing. Tricrystalline Si wafers have shown to be mechanically stronger than monocrystalline Si material. Consequently, tri-Si ingots can be sawn in thinner wafers with higher yield. The concept of backside-contacted solar cell was realized by the preparation of thin slit solar cells (150 {mu}m thin, 43 cm{sup 2} in area) made from tri-Si. A conversion efficiency of 14,3% was reached. Amorphous Si layer deposited on graphite substrates were recrystallized by the electron beam recrystallization method developed by the Technical University in Hamburg-Harburg. The recrystallized Si layers showed large grains and were suitible as seed layers for the following gas phase epitaxy (CVD). With the CVD method 20 to 40 {mu}m thin Si absorber layers were deposited on the seed layers with the same excellent crystallographic properties. In contrast, their electrical properties were not sufficient for the preparation of solar cells having more than 3% efficiency. The study of the different concepts has shown, that the development of thin wafer solar cells made from tri-Si has the highest potential with respect to a further cost reduction. (orig.) [German] Es wurden sowohl duenne, kristalline Si-Wafer-Solarzellen bei erniedrigten Prozesstemperaturen als auch multikristalline Si-Duennschicht-Solarzellen auf Graphitsubstraten entwickelt und untersucht. Es konnten grossflaechige (175 cm{sup 2

  1. Positron and positronium annihilation in silica-based thin films studied by a pulsed positron beam

    International Nuclear Information System (INIS)

    Suzuki, R.; Ohdaira, T.; Kobayashi, Y.; Ito, K.; Shioya, Y.; Ishimaru, T.

    2003-01-01

    Positron and positronium annihilation in silica-based thin films has been investigated by means of measurement techniques with a monoenergetic pulsed positron beam. The age-momentum correlation study revealed that positron annihilation in thermally grown SiO 2 is basically the same as that in bulk amorphous SiO 2 while o-Ps in the PECVD grown SiCOH film predominantly annihilate with electrons of C and H at the microvoid surfaces. We also discuss time-dependent three-gamma annihilation in porous low-k films by two-dimensional positron annihilation lifetime spectroscopy

  2. The effect of cadmium doping of Pbsub(1-x)Snsub(x)Te crystals and thin layers on the electrical properties of the system

    International Nuclear Information System (INIS)

    Silberg, E.

    1982-06-01

    In the present work the doping characteristics and electrical properties of Cd-doped bulk crystals (as-grown and annealed) and LPE layers of Pbsub(1-x)Snsub(x)Te, 0<=x<=0.25, were studied using Hall effect, resistivity and Cd-solubility measurements. The bulk crystals were doped by Cd-diffusion in a two-temperature-zone furnace and the LPE layers by adding Cd to the growth solution. Cd doping was used to produce uniform n-type LPE layers of Pbsub(1-x)Snsub(x)Te and the process proved to be a controllable and reproducible method for the production of good quality material with low electron concentration and high electron mobility. These qualities are very important in obtaining improved electrooptical devices. (H.K.)

  3. Structural properties of relaxed thin film germanium layers grown by low temperature RF-PECVD epitaxy on Si and Ge (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cariou, R., E-mail: romain.cariou@polytechnique.edu [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); III-V lab a joint laboratory between Alcatel-Lucent Bell Labs France, Thales Research and Technology and CEA-LETI, route de Nozay, 91460, Marcoussis, France. (France); Ruggeri, R. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy); Tan, X.; Nassar, J.; Roca i Cabarrocas, P. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); Mannino, Giovanni [CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy)

    2014-07-15

    We report on unusual low temperature (175 °C) heteroepitaxial growth of germanium thin films using a standard radio-frequency plasma process. Spectroscopic ellipsometry and transmission electron microscopy (TEM) reveal a perfect crystalline quality of epitaxial germanium layers on (100) c-Ge wafers. In addition direct germanium crystal growth is achieved on (100) c-Si, despite 4.2% lattice mismatch. Defects rising from Ge/Si interface are mostly located within the first tens of nanometers, and threading dislocation density (TDD) values as low as 10{sup 6} cm{sup −2} are obtained. Misfit stress is released fast: residual strain of −0.4% is calculated from Moiré pattern analysis. Moreover we demonstrate a striking feature of low temperature plasma epitaxy, namely the fact that crystalline quality improves with thickness without epitaxy breakdown, as shown by TEM and depth profiling of surface TDD.

  4. Studies on nonvolatile resistance memory switching in ZnO thin films

    Indian Academy of Sciences (India)

    Six decades of research on ZnO has recently sprouted a new branch in the domain of resistive random access memories. Highly resistive and c-axis oriented ZnO thin films were grown by us using d.c. discharge assisted pulsed laser deposition on Pt/Ti/SiO2/Si substrates at room temperature. The resistive switching ...

  5. /Cu-Al System

    Science.gov (United States)

    Kish, Orel; Froumin, Natalya; Aizenshtein, Michael; Frage, Nachum

    2014-05-01

    Wettability and interfacial interaction of the Ta2O5/Cu-Al system were studied. Pure Cu does not wet the Ta2O5 substrate, and improved spreading is achieved when relatively a high fraction of the active element (~40 at.% Al) was added. The Al2O3 and AlTaO4 phases were observed at the Ta2O5/Cu-Al interface. A thermodynamic evaluation allowed us to suggest that the lack of wetting bellow 40 at.% Al is due to the presence of a native oxide, which covers the drop. The conditions of the native oxide decomposition and the formation of the volatile Al2O suboxide strongly depend on the vacuum level during sessile drop experiments and the composition of the Cu-Al alloy. In our case, Al contents greater than 40% provides thermodynamic conditions for the formation of Al2O (as a result of Al reaction with Al2O3) and the drop spreading. It was suggested that the final contact angle in the Ta2O5/Cu-Al system (50°) is determined by Ta adsorption on the newly formed alumina interlayer.

  6. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer

    International Nuclear Information System (INIS)

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-01-01

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO 2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO 2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO 2 /Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0–2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p–i–n nanocolumns were fabricated on SiO 2 /Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO 2 . (paper)

  7. Effect of high temperature deposition on CoSi2 phase formation

    International Nuclear Information System (INIS)

    Comrie, C. M.; Ahmed, H.; Smeets, D.; Demeulemeester, J.; Vantomme, A.; Turner, S.; Van Tendeloo, G.; Detavernier, C.

    2013-01-01

    This paper discusses the nucleation behaviour of the CoSi to CoSi 2 transformation from cobalt silicide thin films grown by deposition at elevated substrate temperatures ranging from 375 °C to 600 °C. A combination of channelling, real-time Rutherford backscattering spectrometry, real-time x-ray diffraction, and transmission electron microscopy was used to investigate the effect of the deposition temperature on the subsequent formation temperature of CoSi 2 , its growth behaviour, and the epitaxial quality of the CoSi 2 thus formed. The temperature at which deposition took place was observed to exert a significant and systematic influence on both the formation temperature of CoSi 2 and its growth mechanism. CoSi films grown at the lowest temperatures were found to increase the CoSi 2 nucleation temperature above that of CoSi 2 grown by conventional solid phase reaction, whereas the higher deposition temperatures reduced the nucleation temperature significantly. In addition, a systematic change in growth mechanism of the subsequent CoSi 2 growth occurs as a function of deposition temperature. First, the CoSi 2 growth rate from films grown at the lower reactive deposition temperatures is substantially lower than that grown at higher reactive deposition temperatures, even though the onset of growth occurs at a higher temperature, Second, for deposition temperatures below 450 °C, the growth appears columnar, indicating nucleation controlled growth. Elevated deposition temperatures, on the other hand, render the CoSi 2 formation process layer-by-layer which indicates enhanced nucleation of the CoSi 2 and diffusion controlled growth. Our results further indicate that this observed trend is most likely related to stress and changes in microstructure introduced during reactive deposition of the CoSi film. The deposition temperature therefore provides a handle to tune the CoSi 2 growth mechanism.

  8. Oxygen microclusters in Czochralski-grown Si probed by positron annihilation

    International Nuclear Information System (INIS)

    Uedono, Akira; Wei Long; Tanigawa, Shoichiro; Kawano, Takao; Ikari, Atsushi; Kawakami, Kazuto; Itoh, Hisayoshi.

    1994-01-01

    Trapping of positrons by oxygen microclusters in Czochralski-grown Si was studied. Lifetime spectra of positrons were measured for Si specimens annealed in the temperature range between 450degC and 1000degC. Positrons were found to be trapped by oxygen microclusters, and the trapping rate of positrons into such defects increased with increasing annealing temperature. In order to investigate the clustering behaviors of oxygen atoms in more derail, vacancy-oxygen complexes, V n O m (n,m=1,2, ···), were introduced by 3MeV electron irradiation. The concentration of monovacancy-oxygen complexes VO m (m=2,3, ···) increased with increasing annealing temperature. These facts were attributed that the oxygen microclusters, O m , were introduced by annealing above 700degC. (author)

  9. Ellipsometric study of GaN/AIN/Si(111) heterostructures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nabi, M. A. U.; Ashfaq, A.; Arshad, M. I.; Ali, A.; Mahmood, K.; Hasan, M. A.; Asghar, M.

    2013-01-01

    GaN and related structures attracted a great interest in the recent years for electronic and optoelectronic applications due to their promising properties. GaN is grown popularly on foreign substrates like sapphire and SiC. However, silicon due to its favourable properties attended the great attention of material scientists and researchers to utilize as substrate for heteroepitaxy of GaN based structures and devices. Silicon substrates are low cost, available in large diameters and have well characterized thermal and electrical properties. In this study, GaN/AlN/Si(111) heterostructures were grown by molecular beam epitaxy. We performed x-ray diffraction spectroscopy and spectroscopic ellipsometry on these samples to study their structural and optical properties. XRD measurements performed on these samples revealed the presence of high quality GaN films as well as the presence of AlN buffer layer with the following miller indices: GaN (002), GaN (004), GaN (006) and GaN (110) along with Si peak of phase (111). The ellipsometric data obtained were used to characterize the GaN/Si samples as a function of film thickness. Refractive index, extinction coefficient and dielectric constant were calculated by the measured data. (author)

  10. Electrical and morphological characterization of transfer-printed Au/Ti/TiO{sub x}/p{sup +}-Si nano- and microstructures with plasma-grown titanium oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Weiler, Benedikt, E-mail: benedikt.weiler@nano.ei.tum.de; Nagel, Robin; Albes, Tim; Haeberle, Tobias; Gagliardi, Alessio; Lugli, Paolo [Institute for Nanoelectronics, Technische Universität München, Arcisstrasse 21, 80333 München (Germany)

    2016-04-14

    Highly-ordered, sub-70 nm-MOS-junctions of Au/Ti/TiO{sub x}/p{sup +}-Si were efficiently and reliably fabricated by nanotransfer-printing (nTP) over large areas and their functionality was investigated with respect to their application as MOS-devices. First, we used a temperature-enhanced nTP process and integrated the plasma-oxidation of a nm-thin titanium film being e-beam evaporated directly on the stamp before the printing step without affecting the p{sup +}-Si substrate. Second, morphological investigations (scanning electron microscopy) of the nanostructures confirm the reliable transfer of Au/Ti/TiO{sub x}-pillars of 50 nm, 75 nm, and 100 nm size of superior quality on p{sup +}-Si by our transfer protocol. Third, the fabricated nanodevices are also characterized electrically by conductive AFM. Fourth, the results are compared to probe station measurements on identically processed, i.e., transfer-printed μm-MOS-structures including a systematic investigation of the oxide formation. The jV-characteristics of these MOS-junctions demonstrate the electrical functionality as plasma-grown tunneling oxides and the effectivity of the transfer-printing process for their large-scale fabrication. Next, our findings are supported by fits to the jV-curves of the plasma-grown titanium oxide by kinetic-Monte-Carlo simulations. These fits allowed us to determine the dominant conduction mechanisms, the material parameters of the oxides and, in particular, a calibration of the thickness depending on applied plasma time and power. Finally, also a relative dielectric permittivity of 12 was found for such plasma-grown TiO{sub x}-layers.

  11. Structural and mechanical properties of ZrSiN thin films prepared by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Freitas, F.G.R.; Conceicao, A.G.S.; Vitoria, E.R.; Carvalho, R.G.; Tentardini, E.K.; Hübler, R.; Soares, G.

    2014-01-01

    Zirconium silicon nitride (ZrSiN) thin films were deposited by reactive magnetron sputtering in order to verify the silicon influence on coating morphology and mechanical properties. The Si/(Zr+Si) ratio was adjusted between 0 to 14.5% just modifying the power applied on the silicon target. Only peaks associated to ZrN crystalline structure were observed in XRD analysis, since Si_3N_4 phase was amorphous. All samples have (111) preferred orientation, but there is a peak intensity reduction and a broadening increase for the sample with the highest Si/(Zr+Si) ratio (14.5%), demonstrating a considerable loss of crystallinity or grain size reduction (about 8 nm calculated by Scherrer). It was also observed that the texture coefficient for (200) increases with silicon addition. Chemical composition and thickness of the coatings were determined by RBS analysis. No significant changes in nano hardness with increasing Si content were found. The thin film morphology observed by SEM presents columnar and non columnar characteristics. The set of results suggests that Si addition is restricting the columnar growth of ZrN thin films. This conclusion is justified by the fact that Si contributes to increase the ZrN grains nucleation during the sputtering process. (author)

  12. Nanomechanical properties of GaSe thin films deposited on Si(1 1 1) substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Jian, Sheng-Rui; Juang, Jenh-Yih; Luo, Chih-Wei; Ku, Shin-An; Wu, Kaung-Hsiung

    2012-01-01

    Highlights: ► GaSe thin films are grown by PLD. ► Structural properties of GaSe thin films are measured by XRD. ► Hardness and Young’s modulus of GaSe thin films are measured by nanoindentation. - Abstract: The correlations between the crystalline structure and mechanical properties of GaSe thin films were investigated by means of X-ray diffraction (XRD) and nanoindentation techniques. The GaSe thin films were deposited on Si(1 1 1) substrates deposited at various deposition temperatures using pulsed laser deposition (PLD). The XRD results indicate that all the GaSe thin films are pure hexagonal phase with highly (0 0 0 l)-oriented characteristics. Nanoindentation results revealed apparent discontinuities (so-called multiple “pop-in” events) in the load-displacement curve, while no discontinuity was observed in the unloading segment of the load-displacement curve. The hardness and Young’s modulus of GaSe thin films determined by the continuous stiffness measurements (CSM) method indicated that both mechanical parameters increased with the increasing deposition temperature with the hardness and the Young’s modulus being increased from 1.2 ± 0.1 to 1.8 ± 0.1 GPa and from 39.6 ± 1.2 to 68.9 ± 2.7 GPa, respectively, as the deposition temperature was raised from 400 to 475 °C. These results suggest that the increased grain size might have played a prominent role in determining the mechanical properties of the PLD-derived GaSe thin films.

  13. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    CERN Document Server

    Verbitskaya, E; Ivanov, A; Strokan, N; Vasilev, V; Markov, A; Polyakov, A; Gavrin, V; Kozlova, Y; Veretenkin, E; Bowles, T J

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p sup + -i-n sup + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E sub v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E sub v +0....

  14. Thermally oxidized formation of new Ge dots over as-grown Ge dots in the Si capping layer

    International Nuclear Information System (INIS)

    Nie Tianxiao; Lin Jinhui; Shao Yuanmin; Wu Yueqin; Yang Xinju; Fan Yongliang; Jiang Zuimin; Chen Zhigang; Zou Jin

    2011-01-01

    A Si-capped Ge quantum dot sample was self-assembly grown via Stranski-Krastanov mode in a molecular beam epitaxy system with the Si capping layer deposited at 300 deg. C. After annealing the sample in an oxygen atmosphere at 1000 deg. C, a structure, namely two layers of quantum dots, was formed with the newly formed Ge-rich quantum dots embedded in the oxidized matrix with the position accurately located upon the as-grown quantum dots. It has been found that the formation of such nanostructures strongly depends upon the growth temperature and oxygen atmosphere. A growth mechanism was proposed to explain the formation of the nanostructure based on the Ge diffusion from the as-grown quantum dots, Ge segregation from the growing oxide, and subsequent migration/agglomeration.

  15. Inhomogeneous Si-doping of gold-seeded InAs nanowires grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rolland, Chloe; Coinon, Christophe; Wallart, Xavier; Leturcq, Renaud [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Caroff, Philippe [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 0200 (Australia)

    2013-06-03

    We have investigated in situ Si doping of InAs nanowires grown by molecular beam epitaxy from gold seeds. The effectiveness of n-type doping is confirmed by electrical measurements showing an increase of the electron density with the Si flux. We also observe an increase of the electron density along the nanowires from the tip to the base, attributed to the dopant incorporation on the nanowire facets whereas no detectable incorporation occurs through the seed. Furthermore, the Si incorporation strongly influences the lateral growth of the nanowires without giving rise to significant tapering, revealing the complex interplay between axial and lateral growth.

  16. Biocompatibility of GaSb thin films grown by RF magnetron sputtering

    Science.gov (United States)

    Nishimoto, Naoki; Fujihara, Junko; Yoshino, Katsumi

    2017-07-01

    GaSb may be suitable for biological applications, such as cellular sensors and bio-medical instrumentation because of its low toxicity compared with As (III) compounds and its band gap energy. Therefore, the biocompatibility and the film properties under physiological conditions were investigated for GaSb thin films with or without a surface coating. GaSb thin films were grown on quartz substrates by RF magnetron sputtering, and then coated with (3-mercaptopropyl) trimethoxysilane (MPT). The electrical properties, surface morphology, and crystal structure of the GaSb thin film were unaffected by the MPT coating. The cell viability assay suggested that MPT-coated GaSb thin films are biocompatible. Bare GaSb was particularly unstable in pH9 buffer. Ga elution was prevented by the MPT coating, although the Ga concentration in the pH 9 buffer was higher than that in the other solutions. The surface morphology and crystal structure were not changed by exposure to the solutions, except for the pH 9 buffer, and the thin film properties of MPT-coated GaSb exposed to distilled water and H2O2 in saline were maintained. These results indicate that MPT-coated GaSb thin films are biocompatible and could be used for temporary biomedical devices.

  17. Sensitivity of the crystal quality of SiGe layers grown at low temperatures by trisilane and germane

    Energy Technology Data Exchange (ETDEWEB)

    Abedin, A., E-mail: aabedin@kth.se; Moeen, M.; Cappetta, C.; Östling, M.; Radamson, H.H., E-mail: rad@kth.se

    2016-08-31

    This work investigates the crystal quality of SiGe layers grown at low temperatures using trisilane, and germane precursors. The crystal quality sensitivity was monitored for hydrogen chloride and/or minor oxygen amount during SiGe epitaxy or at the interface of SiGe/Si layers. The quality of the epi-layers was examined by quantifying noise parameter, K{sub 1/f} obtained from the power spectral density vs. 1/f curves. The results indicate that while it is difficult to detect small defect densities in SiGe layers by physical material characterization, the noise measurement could reveal the effects of oxygen contamination as low as 0.16 mPa inside and in the interface of the layers. - Highlights: • SiGe layers were grown using trisilane and germane. • Effect of HCl flow on Ge content and growth rate was investigated. • O{sub 2} partial pressures up to 4.3 mPa did not affect x-ray diffraction pattern. • O{sub 2} partial pressures as low as 0.16 mPa increased the noise level. • HCl increased metal contaminations of the layers and the noise level consequently.

  18. Structural and magnetic properties of Gd/Fe multilayers grown by pulsed laser deposition

    DEFF Research Database (Denmark)

    Kant, K. Mohan; Bahl, Christian Robert Haffenden; Pryds, Nini

    2010-01-01

    This work investigates the structural and the magnetic properties of Gd/Fe multilayered thin films grown by pulsed laser deposition onto Si (001) substrates at room temperature. he Fe layer thickness is varied from 70 to 150 nm and its effect on the structural and magnetic properties of Fe/Gd/Fe ...

  19. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  20. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    Science.gov (United States)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  1. Narrow photoluminescence peak from Ge(Si) islands embedded between tensile-strained Si layers

    Energy Technology Data Exchange (ETDEWEB)

    Shaleev, Mikhail; Novikov, Alexey; Baydakova, Nataliya; Yablonskiy, Artem; Drozdov, Yuriy; Lobanov, Dmitriy; Krasilnik, Zakhary [Institute for Physics of Microstructures, Russian Academy of Sciences, GSP-105, 603950 Nizhny Novgorod (Russian Federation); Kuznetsov, Oleg [Physical-Technical Research Institute, Nizhny Novgorod State University, pr. Gagarina 23, 603950 Nizhny Novgorod (Russian Federation)

    2011-03-15

    The influence of thickness of the strained Si layers, measurement temperature and optical pumping power on width of the photoluminescence line from Ge(Si) self-assembled nanoislands grown on relaxed SiGe/Si(001) buffer layers and embedded between tensile-stained Si layers was studied. This line appears due to the II-type optical transition between the holes localized in islands and the electrons confined in tensile-strained Si layers under and above the islands. The possibility of tuning the photoluminescence line width by changing the strained Si layer thicknesses under and above the islands is showed. The decrease of the photoluminescence line width from Ge(Si) islands down to values comparable with width of the PL line from InAs/GaAs quantum dots was achieved due to the quantum confinement of electrons in thin strained Si layers and taking into account of the higher diffusion-induced smearing of strained Si layer above the islands. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Ultra-thin MoS2 coated Ag@Si nanosphere arrays as efficient and stable photocathode for solar-driven hydrogen production.

    Science.gov (United States)

    Zhou, Qingwei; Su, Shaoqiang; Hu, Die; Lin, Lin; Yan, Zhibo; Gao, Xingsen; Zhang, Zhang; Liu, Junming

    2018-01-02

    Solar-driven photoelectrochemical (PEC) water splitting has recently attracted much attention. Silicon (Si) is an ideal light absorber for solar energy conversion. However, the poor stability and inefficient surface catalysis of Si photocathode for hydrogen evolution reaction (HER) have been remained as the key challenges. Alternatively, MoS2 has been reported to exhibit the excellent catalysis performance if sufficient active sites for the HER are available. Here, ultra-thin MoS2 nanoflakes are directly synthesized to coat on the arrays of Ag-core Si-shell nanospheres (Ag@Si NSs) using the chemical vapor deposition (CVD). Due to the high surface area ratio and large curvature of these NSs, the as-grown MoS2 nanoflakes can accommodate more active sites. Meanwhile, the high-quality coating of MoS2 nanoflakes on the Ag@Si NSs protects the photocathode from damage during the PEC reaction. A high efficiency with a photocurrent of 33.3 mA cm-2 at a voltage of -0.4 V vs. the reversible hydrogen electrode is obtained. The as-prepared nanostructure as hydrogen photocathode is evidenced to have high stability over 12 hour PEC performance. This work opens opportunities for composite photocathode with high activity and stability using cheap and stable co-catalysts. © 2017 IOP Publishing Ltd.

  3. Flat-Top and Stacking-Fault-Free GaAs-Related Nanopillars Grown on Si Substrates

    Directory of Open Access Journals (Sweden)

    Kouta Tateno

    2012-01-01

    Full Text Available The VLS (vapor-liquid-solid method is one of the promising techniques for growing vertical III-V compound semiconductor nanowires on Si for application to optoelectronic circuits. Heterostructures grown in the axial direction by the VLS method and in the radial direction by the general layer-by-layer growth method make it possible to fabricate complicated and functional three-dimensional structures in a bottom-up manner. We can grow some vertical heterostructure nanopillars with flat tops on Si(111 substrates, and we have obtained core-multishell Ga(InP/GaAs/GaP nanowires with flat tops and their air-gap structures by using selective wet etching. Simulations indicate that a high- factor of over 2000 can be achieved for this air-gap structure. From the GaAs growth experiments, we found that zincblende GaAs without any stacking faults can be grown after the GaP nanowire growth. Pillars containing a quantum dot and without stacking faults can be grown by using this method. We can also obtain flat-top pillars without removing the Au catalysts when using small Au particles.

  4. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    Science.gov (United States)

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  5. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik

    2017-04-28

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  6. Influence of baking on the photoluminescence spectra of In{sub 1-x} Ga{sub x} As{sub y} P{sub 1-y} solid solutions grown on Inp substrates

    Energy Technology Data Exchange (ETDEWEB)

    Mishurnyi, V.A.; Gorbatchev, A.Y.; Anda, F. De; Nieto N, J. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi, Alvaro Obregon 64, 78000 San Luis Potosi (Mexico)

    2004-07-01

    The influence of thermal treatments on the photoluminescence spectra of In{sub 1-x} Ga{sub x} As{sub y} P{sub 1-y} epitaxial layers of various compositions grown by LPE on In P substrates has been studied. To prevent the epitaxial layers from degradation, due to phosphor evaporation during the baking, their surface was covered by spin-on SiO{sub 2} layers. The photoluminescence spectra did not change for solid solutions whose compositions were near In P and InGaAs. For compositions in the middle of the lattice-matched region, the variations were very noticeable because the appearance of additional peaks in the luminescence spectra. This could be related to the decomposition of those solid solutions whose compositions lie inside a theoretically predicted miscibility gap. (Author)

  7. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W<Si> and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W<Si> films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  8. Oxygen microclusters in Czochralski-grown Si probed by positron annihilation

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Wei Long; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kawano, Takao; Ikari, Atsushi; Kawakami, Kazuto; Itoh, Hisayoshi

    1994-08-01

    Trapping of positrons by oxygen microclusters in Czochralski-grown Si was studied. Lifetime spectra of positrons were measured for Si specimens annealed in the temperature range between 450degC and 1000degC. Positrons were found to be trapped by oxygen microclusters, and the trapping rate of positrons into such defects increased with increasing annealing temperature. In order to investigate the clustering behaviors of oxygen atoms in more derail, vacancy-oxygen complexes, V{sub n}O{sub m} (n,m=1,2, {center_dot}{center_dot}{center_dot}), were introduced by 3MeV electron irradiation. The concentration of monovacancy-oxygen complexes VO{sub m}(m=2,3, {center_dot}{center_dot}{center_dot}) increased with increasing annealing temperature. These facts were attributed that the oxygen microclusters, O{sub m}, were introduced by annealing above 700degC. (author).

  9. Characterization of thin-film multilayers using magnetization curves and modeling of low-angle X-ray diffraction data

    Energy Technology Data Exchange (ETDEWEB)

    Lane, M. [Emory & Henry College, VA (United States); Chaiken, A.; Michel, R.P. [Lawrence Livermore National Lab., CA (United States)

    1994-12-01

    We have characterized thin-film multilayers grown by ion-beam sputtering using magnetization curves and modeling of low-angle x-ray diffraction data. In our films, we use ferromagnetic layer = Co, Fe, and NiFe and spacer layer = Si, Ge, FeSi{sub 2}, and CoSi{sub 2}. We have studied the effects of (1) deposition conditions; (2) thickness of layers; (3) different layer materials; and (4) annealing. We find higher magnetization in films grown at 1000V rather than 500V and in films with spacer layers of 50{angstrom} rather than 100{angstrom}. We find higher coercivity in films with cobalt grown on germanium rather than silicon, metal grown on gold underlayers rather than on glass substrates, and when using thinner spacer layers. Finally, modeling reveals that films grown with disilicide layers are more thermally stable than films grown with silicon spacer layers.

  10. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  11. Application of plasma silicon nitride to crystalline thin-film silicon solar cells. Paper

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, J.; Oberbeck, L.; Rinke, T.J.; Berge, C.; Bergmann, R.B.

    2002-07-01

    We use plasma-enhanced chemical vapour deposition to deposit silicon nitride (SiN{sub x}) films at low temperature(400 C) onto the front surface of two different types of crystalline thin-film Si solar cells. The silicon nitride acts as an excellent antireflection coating on Si and provides a very high degree of electronic surface passivation over a wide range of compositions, including near-stoichiometric and Si-rich SiN{sub x}. Application of stoichiometric SiN{sub x} to non-textured thin-film cells, epitaxially grown at low temperature by ion-assisted deposition onto a monocrystalline Si substrate, results in an open-circuit voltage of 622 mV, a short-circuit current density of 26.6 mA/cm{sup 2} and an efficiency of 12.7%. It is shown that the SiN{sub x}-passivated in-situ grown n{sup +}-emitter of this cell type allows to reach open-circuit voltages of up to 667 mV. Silicon-rich SiN{sub x} is applied to the phosphorus-diffused n{sup +}-emitter of a textured thin-film cell on a glass superstrate fabricated by layer-transfer. The emitter saturation current density of these cells is only 40-64 fA/cm{sup 2}, which allows for open-circuit voltages of up to 699 mV. An impressively high open-circuit voltage of 638 mV and a short-circuit current density of 32.0 mA/cm{sup 2} are obtained for a 25 {mu}m thick SiN{sub x}-passivated, random pyramid-textured transfer cell. A transfer cell efficiency of 15.3% is independently confirmed.

  12. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  13. Electrical transport characterization of Al and Sn doped Mg 2 Si thin films

    KAUST Repository

    Zhang, Bo

    2017-05-22

    Thin-film Mg2Si was deposited using radio frequency (RF) magnetron sputtering. Al and Sn were incorporated as n-type dopants using co-sputtering to tune the thin-film electrical properties. X-ray diffraction (XRD) analysis confirmed that the deposited films are polycrystalline Mg2Si. The Sn and Al doping concentrations were measured using Rutherford backscattering spectroscopy (RBS) and energy dispersive X-ray spectroscopy (EDS). The charge carrier concentration and the charge carrier type of the Mg2Si films were measured using a Hall bar structure. Hall measurements show that as the doping concentration increases, the carrier concentration of the Al-doped films increases, whereas the carrier concentration of the Sn-doped films decreases. Combined with the resistivity measurements, the mobility of the Al-doped Mg2Si films is found to decrease with increasing doping concentration, whereas the mobility of the Sn-doped Mg2Si films is found to increase.

  14. Preparation and characterization of highly L21-ordered full-Heusler alloy Co2FeAl0.5Si0.5 thin films for spintronics device applications

    International Nuclear Information System (INIS)

    Wang Wenhong; Sukegawa, Hiroaki; Shan Rong; Furubayashi, Takao; Inomata, Koichiro

    2008-01-01

    We report the investigation of structure and magnetic properties of full-Heusler alloy Co 2 FeAl 0.5 Si 0.5 (CFAS) thin films grown on MgO-buffered MgO (001) substrates through magnetron sputtering. It was found that single-crystal CFAS thin films with high degree of L2 1 ordering and sufficiently flat surface could be obtained after postdeposition annealing. All the films show a distinct uniaxial magnetic anisotropy with the easy axis of magnetization along the in-plane [110] direction. These results indicate that the use of the MgO buffer for CFAS is a promising approach for achieving a higher tunnel magnetoresistance ratio, and thus for spintronics device applications

  15. Mechanochemical synthesis of Cu-Al and methyl orange intercalated Cu-Al layered double hydroxides

    International Nuclear Information System (INIS)

    Qu, Jun; He, Xiaoman; Chen, Min; Hu, Huimin; Zhang, Qiwu; Liu, Xinzhong

    2017-01-01

    In this study, a mechanochemical route to synthesize a Cu-Al layered double hydroxide (LDH) and a methyl orange (MO) intercalated one (MO-LDH) was introduced, in which basic cupric carbonate (Cu_2(OH)_2CO_3) and aluminum hydroxide (Al(OH)_3) with Cu/Al molar ratio at 2/1 was first dry ground for 2 h and then agitated in water or methyl orange solution for another 4 h to obtain the LDH and MO-LDH products without any heating operation. The prepared samples were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), Thermogravimetry (TG), Differential scanning calorimetry (DSC) and Scanning electron microscopy (SEM). The products showed high crystallinity phase of Cu-Al and MO intercalated Cu-Al LDH with no evident impurities, proving that the craft introduced here was facile and effective. The new idea can be applied in other fields to produce organic-inorganic composites. - Highlights: • A facile mechanochemical route to synthesize Cu-Al and MO intercalated Cu-Al LDH. • The products possesses high crystalline of LDH phase with no impure phases. • The dry milling process induces the element substitution between the raw materials. • The agitation operation helps the grain growth of LDH.

  16. Mechanochemical synthesis of Cu-Al and methyl orange intercalated Cu-Al layered double hydroxides

    Energy Technology Data Exchange (ETDEWEB)

    Qu, Jun, E-mail: forsjun@whut.edu.cn [School of Resources and Environmental Engineering, Wuhan University of Technology, Luoshi Road 122, Wuhan, Hubei 430070 (China); He, Xiaoman; Chen, Min; Hu, Huimin [School of Resources and Environmental Engineering, Wuhan University of Technology, Luoshi Road 122, Wuhan, Hubei 430070 (China); Zhang, Qiwu, E-mail: zhangqw@whut.edu.cn [School of Resources and Environmental Engineering, Wuhan University of Technology, Luoshi Road 122, Wuhan, Hubei 430070 (China); Liu, Xinzhong [College of Ecological Environment and Urban Construction, Fujian University of Technology, Fuzhou 350118 China (China)

    2017-04-15

    In this study, a mechanochemical route to synthesize a Cu-Al layered double hydroxide (LDH) and a methyl orange (MO) intercalated one (MO-LDH) was introduced, in which basic cupric carbonate (Cu{sub 2}(OH){sub 2}CO{sub 3}) and aluminum hydroxide (Al(OH){sub 3}) with Cu/Al molar ratio at 2/1 was first dry ground for 2 h and then agitated in water or methyl orange solution for another 4 h to obtain the LDH and MO-LDH products without any heating operation. The prepared samples were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), Thermogravimetry (TG), Differential scanning calorimetry (DSC) and Scanning electron microscopy (SEM). The products showed high crystallinity phase of Cu-Al and MO intercalated Cu-Al LDH with no evident impurities, proving that the craft introduced here was facile and effective. The new idea can be applied in other fields to produce organic-inorganic composites. - Highlights: • A facile mechanochemical route to synthesize Cu-Al and MO intercalated Cu-Al LDH. • The products possesses high crystalline of LDH phase with no impure phases. • The dry milling process induces the element substitution between the raw materials. • The agitation operation helps the grain growth of LDH.

  17. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  18. Reduced Pressure-Chemical Vapour Deposition of Si/SiGe heterostructures for nanoelectronics

    International Nuclear Information System (INIS)

    Hartmann, J.M.; Andrieu, F.; Lafond, D.; Ernst, T.; Bogumilowicz, Y.; Delaye, V.; Weber, O.; Rouchon, D.; Papon, A.M.; Cherkashin, N.

    2008-01-01

    We have first of all quantified the impact of pressure on Si and SiGe growth kinetics. Definite growth rate and Ge concentration increases with the pressure have been evidenced at low temperatures (650-750 deg. C). By contrast, the high temperature (950-1050 deg. C) Si growth rate either increases or decreases with pressure (gaseous precursor depending). We have then described the selective epitaxial growth process we use to form Si or Si 0.7 Ge 0.3 :B raised sources and drains on ultra-thin patterned Silicon-On-Insulator (SOI) substrates. We have afterwards presented the specifics of SiGe virtual substrates and of the tensile-strained Si layers grown on top (used as templates for the elaboration of tensily strained-SOI wafers). The tensile strain, which can be tailored from 1.3 up to 3 GPa, leads to an electron mobility gain by a factor of 2 in n-Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) built on top. High Ge content SiGe virtual substrates can also be used for the elaboration of compressively strained Ge channels, with impressive hole mobility gains (x9) compared to bulk Si. After that, we have described the main structural features of thick Ge layers grown directly on Si (that can be used as donor wafers for the elaboration of GeOI wafers or as the active medium of near infrared photo-detectors). Finally, we have shown how Si/SiGe multilayers can be used for the formation of high performance 3D devices such as multi-bridge channel or nano-beam gate-all-around FETs, the SiGe sacrificial layers being removed thanks to plasma dry etching, wet etching or in situ gaseous HCl etching

  19. Electrical transport characterization of Al and Sn doped Mg 2 Si thin films

    KAUST Repository

    Zhang, Bo; Zheng, Tao; Sun, Ce; Guo, Zaibing; Kim, Moon J.; Alshareef, Husam N.; Quevedo-Lopez, Manuel; Gnade, Bruce E.

    2017-01-01

    Thin-film Mg2Si was deposited using radio frequency (RF) magnetron sputtering. Al and Sn were incorporated as n-type dopants using co-sputtering to tune the thin-film electrical properties. X-ray diffraction (XRD) analysis confirmed

  20. Composition of Cu/Al system constructed by means of dynamic atomic deposition

    International Nuclear Information System (INIS)

    Tashlykov, I.S.; Tul'ev, V.V.

    2011-01-01

    Rutherford backscattering and RUMP simulation programme have been applied to investigate composition of Cu/Al system prepared using dynamic atomic deposition process when deposition of Cu thin film on Al substrate was assisted with 6 keV Ar + ions irradiation. It is estimated that thin ( ~15 nm) surface layer consists of ~50 at.% Cu, ~10 at.% Ar, ~4 at.% O and the remaining is Al. Dynamic deposition of Cu on Al substrate is accompanied with radiation enhanced diffusion of Cu, O, Ar atoms in substrate and out diffusion of Al atoms in deposited Cu coating. (authors)

  1. Photoluminescence characteristics of Li-doped CaTiO{sub 3}:Pr{sup 3+} thin films grown on Si (100) substrate by PLD

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Hyun Kyoung; Chung, Jong Won; Moon, Byung Kee; Choi, Byung Chun [Department of Physics, Pukyong National University, Busan 608-737 (Korea, Republic of); Jeong, Jung Hyun, E-mail: jhjeong@pknu.ac.k [Department of Physics, Pukyong National University, Busan 608-737 (Korea, Republic of); Jang, Ki-wan; Lee, Ho Sueb [Department of Physics, Changwon National University, Changwon 641-773 (Korea, Republic of); Yi, Soung Soo [Department of Electronic Materials Engineering, Silla University, Busan, 617-736 (Korea, Republic of); Kim, Kwang Ho [School of Materials Science and Engineering, Pusan National University, Busan, 609-735 (Korea, Republic of)

    2010-09-01

    The effects of Li-doped CaTiO{sub 3}:Pr{sup 3+} thin films have been investigated by varying the lithium ion concentrations from 0 to 5 wt.%. The films have been deposited on Si (100) substrate using a pulsed laser deposition technique. Structural properties of these films have been studied by the measurement of their XRD, SEM, and AFM. The variation of Li{sup +} concentration influences the crystallinity and surface morphology of the CaTiO{sub 3}:Pr{sup 3+} thin films. As Li{sup +} content increases from 0 to 1 wt.%, the crystallinity and intensity of emission increases. The dominant emission is from {sup 1}D{sub 2} {yields} {sup 3}H{sub 4} transition at 613 nm. The {sup 1}D{sub 2} emission quenching has also been observed in highly doped sample and is related to the cross-relaxation process between Pr{sup 3+} ions.

  2. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  3. Metastability of a-SiO{sub x}:H thin films for c-Si surface passivation

    Energy Technology Data Exchange (ETDEWEB)

    Serenelli, L., E-mail: luca.serenelli@enea.it [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Martini, L. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Imbimbo, L. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy); DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Asquini, R. [DIET University of Rome “Sapienza”, via Eudossiana 18, 00184 Rome (Italy); Menchini, F.; Izzi, M.; Tucci, M. [ENEA Research centre “Casaccia”, via Anguillarese 301, 00123 Rome (Italy)

    2017-01-15

    Highlights: • a-SiO{sub x}:H film deposition by RF-PECVD is optimized from SiH{sub 4}, CO{sub 2} and H{sub 2} gas mixture. • Metastability of a-SiO{sub x}:H/c-Si passivation is investigated under thermal annealing and UV exposure. • A correlation between passivation metastability and Si−H bonds is found by FTIR spectra. • A metastability model is proposed. - Abstract: The adoption of a-SiO{sub x}:H films obtained by PECVD in heterojunction solar cells is a key to further increase their efficiency, because of its transparency in the UV with respect to the commonly used a-Si:H. At the same time this layer must guarantee high surface passivation of the c-Si to be suitable in high efficiency solar cell manufacturing. On the other hand the application of amorphous materials like a-Si:H and SiN{sub x} on the cell frontside expose them to the mostly energetic part of the sun spectrum, leading to a metastability of their passivation properties. Moreover as for amorphous silicon, thermal annealing procedures are considered as valuable steps to enhance and stabilize thin film properties, when performed at opportune temperature. In this work we explored the reliability of a-SiO{sub x}:H thin film layers surface passivation on c-Si substrates under UV exposition, in combination with thermal annealing steps. Both p- and n-type doped c-Si substrates were considered. To understand the effect of UV light soaking we monitored the minority carriers lifetime and Si−H and Si−O bonding, by FTIR spectra, after different exposure times to light coming from a deuterium lamp, filtered to UV-A region, and focused on the sample to obtain a power density of 50 μW/cm{sup 2}. We found a certain lifetime decrease after UV light soaking in both p- and n-type c-Si passivated wafers according to a a-SiO{sub x}:H/c-Si/a-SiO{sub x}:H structure. The role of a thermal annealing, which usually enhances the as-deposited SiO{sub x} passivation properties, was furthermore considered. In

  4. Liquid phase epitaxial growth of silicon on porous silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Berger, S.; Quoizola, S.; Fave, A.; Kaminski, A.; Perichon, S.; Barbier, D.; Laugier, A.

    2001-01-01

    The aim of this experiment is to grow a thin silicon layer ( 2 atmosphere, and finally LPE silicon growth with different temperature profiles in order to obtain a silicon layer on the sacrificial porous silicon (p-Si). We observed a pyramidal growth on the surface of the (100) porous silicon but the coalescence was difficult to obtain. However, on a p-Si (111) oriented wafer, homogeneous layers were obtained. (orig.)

  5. On red-shift of UV photoluminescence with decreasing size of silicon nanoparticles embedded in SiO2 matrix grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Chaturvedi, Amita; Joshi, M.P.; Rani, Ekta; Ingale, Alka; Srivastava, A.K.; Kukreja, L.M.

    2014-01-01

    Ensembles of silicon nanoparticles (Si-nps) embedded in SiO 2 matrix were grown by alternate ablation of Si and SiO 2 targets using KrF excimer laser based pulsed laser deposition (PLD). The sizes of Si-nps (mean size ranging from 1–5 nm) were controlled by varying the ablation time of silicon target. Transmission electron microscopy (TEM) along with selected area electron diffraction (SAED) and Raman spectroscopy were used to confirm the growth of silicon nanoparticles, its size variation with growth time and the crystalline quality of the grown nanoparticles. TEM analysis showed that mean size and size distribution of Si-nps increased with increase in the ablation time of Si target. Intense peaks ∼521 cm −1 in Raman analysis showed reasonably good crystalline quality of grown Si-nps. We observed asymmetric broadening of phonon line shapes which also redshift with decreasing size of Si-nps. Photoluminescence (PL) from these samples, obtained at room temperature, was broad band and consisted of three bands in UV and visible range. The intensity of PL band in UV spectral range (peak ∼3.2 eV) was strong compared to visible range bands (peaks ∼2.95 eV and ∼2.55 eV). We observed a small red-shift (∼0.07 eV) of peak position of UV range PL with the decrease in the mean sizes of Si-nps, while there was no appreciable size dependent shift of PL peak positions for other bands in the visible range. The width of UV PL band was also found to increase with decrease of Si-nps mean sizes. Based on the above observations of size dependent redshift of UV range PL band together with the PL lifetimes and PL excitation spectroscopy, the origin of UV PL band is attributed to the direct band transition at the Γ point of Si band structure. Visible range bands were ascribed as defect related transitions. The weak intensities of PL bands ∼2.95 eV and ∼2.55 eV suggested that Si nanoparticles grown by PLD were efficiently capped or passivated by SiO 2 with low density of

  6. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    International Nuclear Information System (INIS)

    Verbitskaya, E.; Eremin, V.; Ivanov, A.; Strokan, N.; Vasilev, V.; Markov, A.; Polyakov, A.; Gavrin, V.; Kozlova, Yu.; Veretenkin, E.; Bowles, T.J.

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p + -i-n + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E v +0.075 eV, presumably assigned to Ga antisite and its influence on the concentration of the ionized deep donor level EL2 +

  7. Picosecond laser pulse-driven crystallization behavior of SiSb phase change memory thin films

    International Nuclear Information System (INIS)

    Huang Huan; Li Simian; Zhai Fengxiao; Wang Yang; Lai Tianshu; Wu Yiqun; Gan Fuxi

    2011-01-01

    Highlights: → We reported crystallization dynamics of a novel SiSb phase change material. → We measured optical constants of as-deposited and irradiated SiSb areas. → Optical properties of as-deposited and irradiated SiSb thin film were compared. → Crystallization of irradiated SiSb was confirmed by using AFM and micro-Raman spectra. → The heat conduction effect of lower metal layer of multi-layer films was studied. - Abstract: Transient phase change crystallization process of SiSb phase change thin films under the irradiation of picosecond (ps) laser pulse was studied using time-resolved reflectivity measurements. The ps laser pulse-crystallized domains were characterized by atomic force microscope, Raman spectra and ellipsometrical spectra measurements. A reflectivity contrast of about 15% can be achieved by ps laser pulse-induced crystallization. A minimum crystallization time of 11 ns was achieved by a low-fluence single ps laser pulse after pre-irradiation. SiSb was shown to be very promising for fast phase change memory applications.

  8. Passivation of Si(111) surfaces with electrochemically grafted thin organic films

    Science.gov (United States)

    Roodenko, K.; Yang, F.; Hunger, R.; Esser, N.; Hinrichs, K.; Rappich, J.

    2010-09-01

    Ultra thin organic films (about 5 nm thick) of nitrobenzene and 4-methoxydiphenylamine were deposited electrochemically on p-Si(111) surfaces from benzene diazonium compounds. Studies based on atomic force microscopy, infrared spectroscopic ellipsometry and x-ray photoelectron spectroscopy showed that upon exposure to atmospheric conditions the oxidation of the silicon interface proceed slower on organically modified surfaces than on unmodified hydrogen passivated p-Si(111) surfaces. Effects of HF treatment on the oxidized organic/Si interface and on the organic layer itself are discussed.

  9. RBS/channeling study of buried Ge quantum dots grown in a Si layer

    International Nuclear Information System (INIS)

    Fonseca, A.; Alves, E.; Barradas, N.P.; Leitao, J.P.; Sobolev, N.A.; Carmo, M.C.; Nikiforov, A.I.; Presting, H.

    2006-01-01

    In last decades we have been assisting to a crescent importance of low dimensional systems for the fabrication of nano- and opto-electronic devices. Ge quantum dots (QDs) are well suited for fulfilling these requirements. In this work we present and discuss Ge/Si multilayer and single layer samples grown by molecular beam epitaxy. RBS/channeling results reveal the evidence for the presence of Ge QD for the thickest (with 1 ML of SiO 2 and 0.9 nm of Ge) single layer sample. On the other hand Ge atoms are fully substitutional incorporated in the Si matrix for the thinner sample, excluding the formation of Ge QDs. Multilayer sample shows an angular deviation of the Ge curve (-0.48 o ) with respect to the Si ones, indicating the compressive strain of the films. A tetragonal distortion of (1.78 ± 0.19%) was calculated

  10. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  11. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  12. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  13. Ultra-thin distributed Bragg reflectors via stacked single-crystal silicon nanomembranes

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Minkyu; Seo, Jung-Hun; Lee, Jaeseong; Mi, Hongyi; Kim, Munho; Ma, Zhenqiang, E-mail: mazq@engr.wisc.edu [Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Zhao, Deyin; Zhou, Weidong [Nanophotonics Lab, Department of Electrical Engineering, University of Texas at Arlington, Arlington, Texas 76019 (United States); Yin, Xin; Wang, Xudong [Department of Material Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2015-05-04

    In this paper, we report ultra-thin distributed Bragg reflectors (DBRs) via stacked single-crystal silicon (Si) nanomembranes (NMs). Mesh hole-free single-crystal Si NMs were released from a Si-on-insulator substrate and transferred to quartz and Si substrates. Thermal oxidation was applied to the transferred Si NM to form high-quality SiO{sub 2} and thus a Si/SiO{sub 2} pair with uniform and precisely controlled thicknesses. The Si/SiO{sub 2} layers, as smooth as epitaxial grown layers, minimize scattering loss at the interface and in between the layers. As a result, a reflection of 99.8% at the wavelength range from 1350 nm to 1650 nm can be measured from a 2.5-pair DBR on a quartz substrate and 3-pair DBR on a Si substrate with thickness of 0.87 μm and 1.14 μm, respectively. The high reflection, ultra-thin DBRs developed here, which can be applied to almost any devices and materials, holds potential for application in high performance optoelectronic devices and photonics applications.

  14. Structural and optical features of InGaAs quantum dots grown on Si(001) substrates

    CERN Document Server

    Vdovin, V I; Rzaev, M M; Burbaev, T M

    2002-01-01

    A multilayer GaAs/SiGe/Si heterostructure with InGaAs quantum dots (QDs) embedded in a GaAs layer was grown by molecular beam epitaxy (MBE) on a Si(001) substrate. A step-graded Si sub 1 sub - sub x Ge sub x (0 <= x <= 1) buffer layer and a GaAs layer with In sub y Ga sub 1 sub sub - sub y As (y approx 0.5) QDs were deposited consecutively in two different MBE systems. The heterostructure exhibits intense photoluminescence in the region of 1.3 mu m at room temperature. Perfect crystal InGaAs islands with height less than 10 nm are the sources of this radiation.

  15. Effect of SiO2 passivation overlayers on hillock formation in Al thin films

    International Nuclear Information System (INIS)

    Kim, Deok-kee

    2012-01-01

    Hillock formation in Al thin films with varying thicknesses of SiO 2 as a passivation layer was investigated during thermal cycling. Based on the stress measurements and the number of hillocks, 250 nm thick SiO 2 was thick enough to suppress the hillock formation and the suppression of hillock at 250 nm passivation and the lack of suppression at thinner passivation is related to the presence/absence of protection against the diffusive flow of atoms from the surrounding area to the surface due to the biaxial compressive stresses present in the film through the weak spots in the passivation layer. The stress state of Al films measured during annealing (the driving force for hillock formation) did not vary much with SiO 2 thickness. A small number of hillocks formed during the plasma enhanced chemical vapor deposition of SiO 2 overlayers at 300 °C. - Highlights: ► We examined the effect of SiO 2 overlayers on hillock formation in Al thin films. ► Thin overlayers were not effective in suppressing diffusive flow to the surface. ► A thick overlayer suppressed the diffusive flow from the interior to the surface. ► The stress state of Al films did not vary much with SiO 2 passivation thickness. ► High mechanical strength provided a large driving force for the large grain growth.

  16. Light-emitting Si films formed by neutral cluster deposition in a thin O2 gas

    International Nuclear Information System (INIS)

    Honda, Y.; Takei, M.; Ohno, H.; Shida, S.; Goda, K.

    2005-01-01

    We have fabricated the light-emitting Si-rich and oxygen-rich amorphous SiO 2 (a-SiO 2 ) films using the neutral cluster deposition (NCD) method without and with oxygen gas admitted, respectively, and demonstrate for the first time that these films show a photoluminescent feature. The Si thin films were observed by atomic force microscopy and high-resolution transmission electron microscopy, and analyzed by means of X-ray photoelectron spectroscopy, photoluminescence (PL) and FTIR-attenuated total reflection measurements. All of the PL spectra show mountainous distribution with a peak around 620 nm. It is found that the increase in the oxygen termination in the a-SiO 2 films evidently makes the PL intensity increase. It is demonstrated that NCD technique is one of the hopeful methods to fabricate light-emitting Si thin films

  17. Delayed plastic relaxation limit in SiGe islands grown by Ge diffusion from a local source

    Energy Technology Data Exchange (ETDEWEB)

    Vanacore, G. M.; Zani, M.; Tagliaferri, A., E-mail: alberto.tagliaferri@polimi.it [CNISM-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, I-20133 Milano (Italy); Nicotra, G. [IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy); Bollani, M. [CNR-IFN, LNESS, Via Anzani 42, I-22100 Como (Italy); Bonera, E.; Montalenti, F.; Picco, A.; Boioli, F. [Dipartimento di Scienza dei Materiali and L-NESS, Università Milano-Bicocca, via Cozzi 53, I-20125 Milano (Italy); Capellini, G. [Department of Sciences at the Università Roma Tre, Via Vasca Navale 79, 00146 Roma (Italy); Isella, G. [CNISM, LNESS, Dipartimento di Fisica, Politecnico di Milano (Polo di Como), Via Anzani 42, I-22100 Como (Italy); Osmond, J. [ICFO–The Institute of Photonic Sciences, Av. Carl Friedrich Gauss, 3, E-08860 Castelldefels (Barcelona) (Spain)

    2015-03-14

    The hetero-epitaxial strain relaxation in nano-scale systems plays a fundamental role in shaping their properties. Here, the elastic and plastic relaxation of self-assembled SiGe islands grown by surface-thermal-diffusion from a local Ge solid source on Si(100) are studied by atomic force and transmission electron microscopies, enabling the simultaneous investigation of the strain relaxation in different dynamical regimes. Islands grown by this technique remain dislocation-free and preserve a structural coherence with the substrate for a base width as large as 350 nm. The results indicate that a delay of the plastic relaxation is promoted by an enhanced Si-Ge intermixing, induced by the surface-thermal-diffusion, which takes place already in the SiGe overlayer before the formation of a critical nucleus. The local entropy of mixing dominates, leading the system toward a thermodynamic equilibrium, where non-dislocated, shallow islands with a low residual stress are energetically stable. These findings elucidate the role of the interface dynamics in modulating the lattice distortion at the nano-scale, and highlight the potential use of our growth strategy to create composition and strain-controlled nano-structures for new-generation devices.

  18. Characterisation of NdFeB thin films prepared on (100)Si substrates with SiO2 barrier layers

    International Nuclear Information System (INIS)

    Sood, D.K.; Muralidhar, G.K.

    1998-01-01

    This work presents a systematic study of the deposition and characterization of NdFeB films on substrates of Si(100) and of SiO2 layer thermally grown on Si(100) held at RT, 360 deg C or 440 deg C. The post-deposition annealing is performed at 600 or 800 deg C in vacuum. The films are characterised using the analytical techniques of RBS, SIMS, XRD, OM and SEM. Results indicate that SiO2 is, in deed, an excellent diffusion barrier layer till 600 deg C but becomes relatively less effective at 800 deg C. Without this barrier layer, interdiffusion at the Si-NdFeB film interface leads to formation of iron silicides, α-Fe and B exclusion from the diffusion zone, in competition with the formation of the magnetic NdFeB phase. (authors)

  19. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  20. Effects of alloy composition and Si-doping on vacancy defect formation in (InxGa1-x)2O3 thin films

    Science.gov (United States)

    Prozheeva, V.; Hölldobler, R.; von Wenckstern, H.; Grundmann, M.; Tuomisto, F.

    2018-03-01

    Various nominally undoped and Si-doped (InxGa1-x)2O3 thin films were grown by pulsed laser deposition in a continuous composition spread mode on c-plane α-sapphire and (100)-oriented MgO substrates. Positron annihilation spectroscopy in the Doppler broadening mode was used as the primary characterisation technique in order to investigate the effect of alloy composition and dopant atoms on the formation of vacancy-type defects. In the undoped samples, we observe a Ga2O3-like trend for low indium concentrations changing to In2O3-like behaviour along with the increase in the indium fraction. Increasing indium concentration is found to suppress defect formation in the undoped samples at [In] > 70 at. %. Si doping leads to positron saturation trapping in VIn-like defects, suggesting a vacancy concentration of at least mid-1018 cm-3 independent of the indium content.

  1. Formation mechanisms of metallic Zn nanodots by using ZnO thin films deposited on n-Si substrates

    International Nuclear Information System (INIS)

    Yuk, J. M.; Lee, J. Y.; Kim, Y.; No, Y. S.; Kim, T. W.; Choi, W. K.

    2010-01-01

    High-resolution transmission electron microscopy and energy dispersive x-ray spectroscopy results showed that metallic Zn nanodots (NDs) were fabricated through transformation of ZnO thin films by deposition of SiO x on ZnO/n-Si (100) heterostructures. The Zn NDs with various sizes and densities were formed due to the occurrence of the mass diffusion of atoms along the grain boundaries in the ZnO thin films. The fabrication mechanisms of metallic Zn NDs through transformation of ZnO thin films deposited on n-Si substrates are described on the basis of the experimental results.

  2. A comparison of surface properties of metallic thin film photocathodes

    CERN Document Server

    Mistry, Sonal; Valizadeh, Reza; Jones, L.B; Middleman, Keith; Hannah, Adrian; Militsyn, B.L; Noakes, Tim

    2017-01-01

    In this work the preparation of metal photocathodes by physical vapour deposition magnetron sputtering has been employed to deposit metallic thin films onto Cu, Mo and Si substrates. The use of metallic cathodes offers several advantages: (i) metal photocathodes present a fast response time and a relative insensitivity to the vacuum environment (ii) metallic thin films when prepared and transferred in vacuum can offer smoother and cleaner emitting surfaces. The photocathodes developed here will ultimately be used in S-band Normal Conducting RF (NCRF) guns such as that used in VELA (Versatile Electron Linear Accelerator) and the proposed CLARA (Compact Linear Accelerator for Research and Applications) Free Electron Laser test facility. The samples grown on Si substrates were used to investigate the morphology and thickness of the film. The samples grown onto Cu and Mo substrates were analysed and tested as photocathodes in a surface characterisation chamber, where X-Ray Photoelectron spectroscopy (XPS) was emp...

  3. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  4. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  5. Simultaneous ultra-long data retention and low power based on Ge10Sb90/SiO2 multilayer thin films

    Science.gov (United States)

    You, Haipeng; Hu, Yifeng; Zhu, Xiaoqin; Zou, Hua; Song, Sannian; Song, Zhitang

    2018-02-01

    In this article, Ge10Sb90/SiO2 multilayer thin films were prepared to improve thermal stability and data retention for phase change memory. Compared with Ge10Sb90 monolayer thin film, Ge10Sb90 (1 nm)/SiO2 (9 nm) multilayer thin film had higher crystallization temperature and resistance contrast between amorphous and crystalline states. Annealed Ge10Sb90 (1 nm)/SiO2 (9 nm) had uniform grain with the size of 15.71 nm. After annealing, the root-mean-square surface roughness for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film increased slightly from 0.45 to 0.53 nm. The amorphization time for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film (2.29 ns) is shorter than Ge2Sb2Te5 (3.56 ns). The threshold voltage of a cell based on Ge10Sb90 (1 nm)/SiO2 (9 nm) (3.57 V) was smaller than GST (4.18 V). The results indicated that Ge10Sb90/SiO2 was a promising phase change thin film with high thermal ability and low power consumption for phase change memory application.

  6. Improvement of thermoelectric properties of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films grown on graphene substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Choi, Ji Woon; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kim, Jin-Sang [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-06-15

    A study of substrate effect on the thermoelectric (TE) properties of Bi{sub 2}Te{sub 3} (BT) and Sb{sub 2}Te{sub 3} (ST) thin films grown by plasma-enhanced chemical vapor deposition (PECVD) was performed. Graphene substrates which have small lattice mismatch with BT and ST were used for the preparation of highly oriented BT and ST thin films. Carrier mobility of the epitaxial BT and ST films grown on the graphene substrates increased as the deposition temperature increased, which was not observed in that of SiO{sub 2}/Si substrates. Seebeck coefficients of the as-grown BT and ST films were observed to be maintained even though carrier concentration increased in the epitaxial BT and ST films on graphene substrate. Although Seebeck coefficient was not improved, power factor of the as-grown BT and ST films was considerably enhanced due to the increase of electrical conductivity resulting from the high carrier mobility and moderate carrier concentration in the epitaxial BT and ST films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Atomic-scale Ge diffusion in strained Si revealed by quantitative scanning transmission electron microscopy

    Science.gov (United States)

    Radtke, G.; Favre, L.; Couillard, M.; Amiard, G.; Berbezier, I.; Botton, G. A.

    2013-05-01

    Aberration-corrected scanning transmission electron microscopy is employed to investigate the local chemistry in the vicinity of a Si0.8Ge0.2/Si interface grown by molecular-beam epitaxy. Atomic-resolution high-angle annular dark field contrast reveals the presence of a nonuniform diffusion of Ge from the substrate into the strained Si thin film. On the basis of multislice calculations, a model is proposed to quantify the experimental contrast, showing that the Ge concentration in the thin film reaches about 4% at the interface and decreases monotonically on a typical length scale of 10 nm. Diffusion occurring during the growth process itself therefore appears as a major factor limiting the abruptness of interfaces in the Si-Ge system.

  8. Temperature stability of c-axis oriented LiNbO3/SiO2/Si thin film layered structures

    International Nuclear Information System (INIS)

    Tomar, Monika; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K.

    2001-01-01

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO 3 thin film layered structures on passivated silicon (SiO 2 /Si) substrate with and without a non-piezoelectric SiO 2 overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO 2 overlayer on LiNbO 3 film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K 2 =3.45% and a zero TCD can be obtained in the SiO 2 /LiNbO 3 /SiO 2 /Si structure with a 0.235λ thick LiNbO 3 layer sandwiched between 0.1λ thick SiO 2 layers. (author)

  9. Advanced fabrication method for the preparation of MOF thin films: Liquid-phase epitaxy approach meets spin coating method.

    KAUST Repository

    Chernikova, Valeriya; Shekhah, Osama; Eddaoudi, Mohamed

    2016-01-01

    Here we report a new and advanced method for the fabrication of highly oriented/polycrystalline metal-organic framework (MOF) thin films. Building on the attractive features of the liquid-phase epitaxy (LPE) approach, a facile spin coating method

  10. Amorphous Si layers co-doped with B and Mn: Thin film growth and steering of magnetic properties

    International Nuclear Information System (INIS)

    Drera, G.; Mozzati, M.C.; Colombi, P.; Salvinelli, G.; Pagliara, S.; Visentin, D.; Sangaletti, L.

    2015-01-01

    Amorphous silicon thin films co-doped with manganese (5% at.) and boron (1.8% at.) have been prepared by RF sputtering on Al 2 O 3 substrates held at room temperature (RT). The films, with an average thickness of about 0.9 μm, were carefully characterized by micro-Raman and X-ray photoemission spectroscopies. A ferromagnetic (FM) behavior up to RT was observed. In order to discuss and possibly rule out extrinsic effects usually related to segregations of ferromagnetic impurities in the samples, magnetization measurements were carried out on the Al 2 O 3 substrates, as well as on Si:B and Si:Mn films grown with the same RF sputtering system. Only the Si:B:Mn films displayed a FM behavior up to RT. Since amorphous films doped with Mn alone did not display any signature of FM ordering, boron co-doping results to be crucial for the onset of the FM behavior. The conductivity of the samples is not affected by boron doping that, therefore, does not appear to significantly contribute to a possible carrier-mediated FM interaction between Mn ions by supplying extra charges to the system. On this basis, the capability of B to hinder the quenching of the Mn 3d magnetic moments has also to be regarded as a possible role of this co-dopant in the observed magnetization. - Highlights: • We successfully deposited amorphous silicon thin films co-doped with Mn and B. • Structural, electronic, and magnetic properties have been carefully characterized. • A ferromagnetic behavior up to room temperature was detected. • The extrinsic origin of magnetism is excluded. • Boron can play a relevant role to avoid quenching of magnetic moment in Mn ions

  11. Amorphous Si layers co-doped with B and Mn: Thin film growth and steering of magnetic properties

    Energy Technology Data Exchange (ETDEWEB)

    Drera, G. [I-LAMP, Dipartimento di Matematica e Fisica, Università Cattolica del Sacro Cuore, Via dei Musei 41, 25121 Brescia (Italy); Mozzati, M.C. [CNISM, Dipartimento di Fisica, Università di Pavia, Via Bassi 6, 27100 Pavia (Italy); Colombi, P. [CSMT Gestione s.c.a.r.l, Via Branze 45, 25123 Brescia (Italy); Salvinelli, G.; Pagliara, S.; Visentin, D. [I-LAMP, Dipartimento di Matematica e Fisica, Università Cattolica del Sacro Cuore, Via dei Musei 41, 25121 Brescia (Italy); Sangaletti, L., E-mail: sangalet@dmf.unicatt.it [I-LAMP, Dipartimento di Matematica e Fisica, Università Cattolica del Sacro Cuore, Via dei Musei 41, 25121 Brescia (Italy)

    2015-09-01

    Amorphous silicon thin films co-doped with manganese (5% at.) and boron (1.8% at.) have been prepared by RF sputtering on Al{sub 2}O{sub 3} substrates held at room temperature (RT). The films, with an average thickness of about 0.9 μm, were carefully characterized by micro-Raman and X-ray photoemission spectroscopies. A ferromagnetic (FM) behavior up to RT was observed. In order to discuss and possibly rule out extrinsic effects usually related to segregations of ferromagnetic impurities in the samples, magnetization measurements were carried out on the Al{sub 2}O{sub 3} substrates, as well as on Si:B and Si:Mn films grown with the same RF sputtering system. Only the Si:B:Mn films displayed a FM behavior up to RT. Since amorphous films doped with Mn alone did not display any signature of FM ordering, boron co-doping results to be crucial for the onset of the FM behavior. The conductivity of the samples is not affected by boron doping that, therefore, does not appear to significantly contribute to a possible carrier-mediated FM interaction between Mn ions by supplying extra charges to the system. On this basis, the capability of B to hinder the quenching of the Mn 3d magnetic moments has also to be regarded as a possible role of this co-dopant in the observed magnetization. - Highlights: • We successfully deposited amorphous silicon thin films co-doped with Mn and B. • Structural, electronic, and magnetic properties have been carefully characterized. • A ferromagnetic behavior up to room temperature was detected. • The extrinsic origin of magnetism is excluded. • Boron can play a relevant role to avoid quenching of magnetic moment in Mn ions.

  12. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  13. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  14. Me-Si-C (Me= Nb, Ti or Zr) : Nanocomposite and Amorphous Thin Films

    OpenAIRE

    Tengstrand, Olof

    2012-01-01

    This thesis investigates thin films of the transition metal carbide systems Ti-Si-C, Nb-Si-C, and Zr-Si-C, deposited at a low substrate temperature (350 °C) with dc magnetron sputtering in an Ar discharge. Both the electrical and mechanical properties of these systems are highly affected by their structure. For Nb-Si-C, both the ternary Nb-Si-C and the binary Nb-C are studied. I show pure NbC films to consist of crystalline NbC grains embedded in a matrix of amorphous carbon. The best combina...

  15. Structural studies of n-type nc-Si-QD thin films for nc-Si solar cells

    Science.gov (United States)

    Das, Debajyoti; Kar, Debjit

    2017-12-01

    A wide optical gap nanocrystalline silicon (nc-Si) dielectric material is a basic requirement at the n-type window layer of nc-Si solar cells in thin film n-i-p structure on glass substrates. Taking advantage of the high atomic-H density inherent to the planar inductively coupled low-pressure (SiH4 + CH4)-plasma, development of an analogous material in P-doped nc-Si-QD/a-SiC:H network has been tried. Incorporation of C in the Si-network extracted from the CH4 widens the optical band gap; however, at enhanced PH3-dilution of the plasma spontaneous miniaturization of the nc-Si-QDs below the dimension of Bohr radius (∼4.5 nm) further enhances the band gap by virtue of the quantum size effect. At increased flow rate of PH3, dopant induced continuous amorphization of the intrinsic crystalline network is counterbalanced by the further crystallization promoted by the supplementary atomic-H extracted from PH3 (1% in H2) in the plasma, eventually holding a moderately high degree of crystallinity. The n-type wide band gap (∼1.93 eV) window layer with nc-Si-QDs in adequate volume fraction (∼52%) could furthermore be instrumental as an effective seed layer for advancing sequential crystallization in the i-layer of nc-Si solar cells with n-i-p structure in superstrate configuration.

  16. Magnetic state dependent transient lateral photovoltaic effect in patterned ferromagnetic metal-oxide-semiconductor films

    Directory of Open Access Journals (Sweden)

    Isidoro Martinez

    2015-11-01

    Full Text Available We investigate the influence of an external magnetic field on the magnitude and dephasing of the transient lateral photovoltaic effect (T-LPE in lithographically patterned Co lines of widths of a few microns grown over naturally passivated p-type Si(100. The T-LPE peak-to-peak magnitude and dephasing, measured by lock-in or through the characteristic time of laser OFF exponential relaxation, exhibit a notable influence of the magnetization direction of the ferromagnetic overlayer. We show experimentally and by numerical simulations that the T-LPE magnitude is determined by the Co anisotropic magnetoresistance. On the other hand, the magnetic field dependence of the dephasing could be described by the influence of the Lorentz force acting perpendiculary to both the Co magnetization and the photocarrier drift directions. Our findings could stimulate the development of fast position sensitive detectors with magnetically tuned magnitude and phase responses.

  17. Electrical transport of bottom-up grown single-crystal Si1-xGex nanowire

    International Nuclear Information System (INIS)

    Yang, W F; Lee, S J; Liang, G C; Whang, S J; Kwong, D L

    2008-01-01

    In this work, we fabricated an Si 1-x Ge x nanowire (NW) metal-oxide-semiconductor field-effect transistor (MOSFET) by using bottom-up grown single-crystal Si 1-x Ge x NWs integrated with HfO 2 gate dielectric, TaN/Ta gate electrode and Pd Schottky source/drain electrodes, and investigated the electrical transport properties of Si 1-x Ge x NWs. It is found that both undoped and phosphorus-doped Si 1-x Ge x NW MOSFETs exhibit p-MOS operation while enhanced performance of higher I on ∼100 nA and I on /I off ∼10 5 are achieved from phosphorus-doped Si 1-x Ge x NWs, which can be attributed to the reduction of the effective Schottky barrier height (SBH). Further improvement in gate control with a subthreshold slope of 142 mV dec -1 was obtained by reducing HfO 2 gate dielectric thickness. A comprehensive study on SBH between the Si 1-x Ge x NW channel and Pd source/drain shows that a doped Si 1-x Ge x NW has a lower effective SBH due to a thinner depletion width at the junction and the gate oxide thickness has negligible effect on effective SBH

  18. Some studies on successive ionic layer adsorption and reaction (SILAR) grown indium sulphide thin films

    International Nuclear Information System (INIS)

    Pathan, H.M.; Lokhande, C.D.; Kulkarni, S.S.; Amalnerkar, D.P.; Seth, T.; Han, Sung-Hwan

    2005-01-01

    Indium sulphide (In 2 S 3 ) thin films were grown on amorphous glass substrate by the successive ionic layer adsorption and reaction (SILAR) method. X-ray diffraction, optical absorption, scanning electron microscopy (SEM) and Rutherford back scattering (RBS) were applied to study the structural, optical, surface morphological and compositional properties of the indium sulphide thin films. Utilization of triethanolamine and hydrazine hydrate complexed indium sulphate and sodium sulphide as precursors resulted in nanocrystalline In 2 S 3 thin film. The optical band gap was found to be 2.7 eV. The film appeared to be smooth and homogeneous from SEM study

  19. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  20. VLS-grown diffusion doped ZnO nanowires and their luminescence properties

    International Nuclear Information System (INIS)

    Roy, Pushan Guha; Dutta, Amartya; Das, Arpita; Bhattacharyya, Anirban; Sen, Sayantani; Pramanik, Pallabi

    2015-01-01

    Zinc Oxide (ZnO) nanowires were deposited by vapor–liquid–solid (VLS) method on to aluminum doped ZnO (AZO) thin films grown by sol-gel technique. For various device applications, current injection into such nanowires is critical. This is expected to be more efficient for ZnO nanowires deposited on to AZO compared to those deposited on to a foreign substrate such as silicon. In this work we compare the morphological and optical properties of nanowires grown on AZO with those grown under similar conditions on silicon (Si) wafers. For nanowires grown on silicon, diameters around 44 nm with heights around 2.2 μm were obtained. For the growth on to AZO, the diameters were around 90 nm while the heights were around 520 nm. Room temperature photoluminescence (RT-PL) measurements show improved near band-edge emission for nanowires grown on to AZO, indicating higher material quality. This is further established by low temperature photoluminescence (LT-PL) measurements where excitonic transitions with width as small as 14 meV have been obtained at 4 K for such structures. Electron energy loss spectroscopy (EELS) studies indicate the presence of Al in the nanowires, indicating a new technique for introduction of dopants into these structures. These results indicate that ZnO nanowires on sol-gel grown AZO thin films show promise in the development of various optoelectronic devices. (paper)

  1. Effect of 3C-SiC intermediate layer in GaN—based light emitting diodes grown on Si(111) substrate

    Science.gov (United States)

    Zhu, Youhua; Wang, Meiyu; Li, Yi; Tan, Shuxin; Deng, Honghai; Guo, Xinglong; Yin, Haihong; Egawa, Takashi

    2017-03-01

    GaN-based light emitting diodes (LEDs) have been grown by metalorganic chemical vapor deposition on Si(111) substrate with and without 3C-SiC intermediate layer (IL). Structural property has been characterized by means of atomic force microscope, X-ray diffraction, and transmission electron microscope measurements. It has been revealed that a significant improvement in crystalline quality of GaN and superlattice epitaxial layers can be achieved by using 3C-SiC as IL. Regarding of electrical and optical characteristics, it is clearly observed that the LEDs with its IL have a smaller leakage current and higher light output power comparing with the LEDs without IL. The better performance of LEDs using 3C-SiC IL can be contributed to both of the improvements in epitaxial layers quality and light extraction efficiency. As a consequence, in terms of optical property, a double enhancement of the light output power and external quantum efficiency has been realized.

  2. Target swapping in PLD: An efficient approach for CdS/SiO2 and CdS:Ag(1%)/SiO2 nanocomposite thin films with enhanced luminescent properties

    International Nuclear Information System (INIS)

    Saxena, Nupur; Kumar, Pragati; Gupta, Vinay

    2017-01-01

    A novel synthesis method for luminescent and by-products (like CdO) free CdS/SiO 2 and CdS:Ag(1%)/SiO 2 (i.e. 1%Ag doped CdS/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS, CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency ratio of 2:8 laser pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (i.e. 2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS/ CdS:Ag(1%) nanocrystals in nanocomposite systems after annealing at 500 °C. Highly intense and broad red emission is achieved from CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiencies of emission from pristine CdS:SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites are found to be enhanced by approximately two times as compared to sole nanocrystalline CdS and CdS:Ag(1%) thin films respectively and further enhanced upto 7 times on annealing the nanocomposite systems at 500 °C. - Graphical abstract: A modified synthesis method for luminescent and by-products (like CdO) free undoped &1% Ag doped CdS/SiO 2 (deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS or CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency of 2:8 pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS nanocrystals in nanocomposite systems after annealing at 500 °C. Intense and broad red emission is achieved from deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiency of

  3. Contact resistance and stability study for Au, Ti, Hf and Ni contacts on thin-film Mg2Si

    KAUST Repository

    Zhang, Bo; Zheng, Tao; Wang, Qingxiao; Zhu, Yihan; Alshareef, Husam N.; Kim, Moon J.; Gnade, Bruce E.

    2016-01-01

    We present a detailed study of post-deposition annealing effects on contact resistance of Au, Ti, Hf and Ni electrodes on Mg2Si thin films. Thin-film Mg2Si and metal contacts were deposited using magnetron sputtering. Various post

  4. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    Science.gov (United States)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  5. Nanogrids and Beehive-Like Nanostructures Formed by Plasma Etching the Self-Organized SiGe Islands

    Science.gov (United States)

    Chang, Yuan-Ming; Jian, Sheng-Rui; Juang, Jenh-Yih

    2010-09-01

    A lithography-free method for fabricating the nanogrids and quasi-beehive nanostructures on Si substrates is developed. It combines sequential treatments of thermal annealing with reactive ion etching (RIE) on SiGe thin films grown on (100)-Si substrates. The SiGe thin films deposited by ultrahigh vacuum chemical vapor deposition form self-assembled nanoislands via the strain-induced surface roughening (Asaro-Tiller-Grinfeld instability) during thermal annealing, which, in turn, serve as patterned sacrifice regions for subsequent RIE process carried out for fabricating nanogrids and beehive-like nanostructures on Si substrates. The scanning electron microscopy and atomic force microscopy observations confirmed that the resultant pattern of the obtained structures can be manipulated by tuning the treatment conditions, suggesting an interesting alternative route of producing self-organized nanostructures.

  6. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  7. ToF-MEIS stopping measurements in thin SiC films

    International Nuclear Information System (INIS)

    Linnarsson, M.K.; Khartsev, S.; Primetzhofer, D.; Possnert, G.; Hallén, A.

    2014-01-01

    Electronic stopping in thin, amorphous, SiC films has been studied by time-of-flight medium energy ion scattering and conventional Rutherford backscattering spectrometry. Amorphous SiC films (8, 21 and 36 nm) were prepared by laser ablation using a single crystalline silicon carbide target. Two kinds of substrate films, one with a lower atomic mass (carbon) and one with higher atomic mass (iridium) compared to silicon has been used. Monte Carlo simulations have been used to evaluate electronic stopping from the shift in energy for the signal scattered from Ir with and without SiC. The two kinds of samples are used to illustrate the strength and challenges for ToF-MEIS compared to conventional RBS

  8. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  9. Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S. [Department of Physics, University School of Sciences, Gujarat University, Ahmedabad-380 009 (India)

    2016-05-23

    Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3}, while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.

  10. (In)GaSb/AlGaSb quantum wells grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2007-01-01

    We have successfully grown GaSb and InGaSb quantum wells (QW) on a Si(001) substrate, and evaluated their optical properties using photoluminescence (PL). The PL emissions from the QWs at room temperature were observed at around 1.55 μm, which is suitable for fiber optic communications systems. The measured ground state energy of each QW matched well with the theoretical value calculated by solving the Schroedinger equation for a finite potential QW. The temperature dependence of the PL intensity showed large activation energy (∼ 77.6 meV) from QW. The results indicated that the fabricated QW structure had a high crystalline quality, and the GaSb QW on Si for optical devices operating at temperatures higher than room temperature will be expected

  11. On the way to enhance the optical absorption of a-Si in NIR by embedding Mg_2Si thin film

    International Nuclear Information System (INIS)

    Chernev, I. M.; Shevlyagin, A. V.; Galkin, K. N.; Stuchlik, J.; Remes, Z.; Fajgar, R.; Galkin, N. G.

    2016-01-01

    Mg_2Si thin film was embedded in amorphous silicon matrix by solid phase epitaxy. The structure and optical properties were investigated by electron energy loss, X-ray photoelectron, Raman, and photo thermal deflection spectroscopy measurements. It was found that in the photon energy range of 0.8–1.7 eV, the light absorption of the structure with magnesium silicide (Mg_2Si) film embedded in a-Si(i) matrix is 1.5 times higher than that for the same structure without Mg_2Si.

  12. Investigation of interface property in Al/SiO2/ n-SiC structure with thin gate oxide by illumination

    Science.gov (United States)

    Chang, P. K.; Hwu, J. G.

    2017-04-01

    The reverse tunneling current of Al/SiO2/ n-SiC structure employing thin gate oxide is introduced to examine the interface property by illumination. The gate current at negative bias decreases under blue LED illumination, yet increases under UV lamp illumination. Light-induced electrons captured by interface states may be emitted after the light sources are off, leading to the recovery of gate currents. Based on transient characteristics of gate current, the extracted trap level is close to the light energy for blue LED, indicating that electron capture induced by lighting may result in the reduction of gate current. Furthermore, bidirectional C- V measurements exhibit a positive voltage shift caused by electron trapping under blue LED illumination, while a negative voltage shift is observed under UV lamp illumination. Distinct trapping and detrapping behaviors can be observed from variations in I- V and C- V curves utilizing different light sources for 4H-SiC MOS capacitors with thin insulators.

  13. Investigation of AgInS2 thin films grown by coevaporation

    Science.gov (United States)

    Arredondo, C. A.; Clavijo, J.; Gordillo, G.

    2009-05-01

    AgInS2 thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS2 phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS2 films present p-type conductivity, a high absorption coefficient (greater than 104 cm-1) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  14. Preparation of SiC thin films by ion beam technology and PECVD

    International Nuclear Information System (INIS)

    Chen Changqing; Ren Congxin; Yang Lixin; Yan Jinlong; Zheng Zhihong; Zhou Zuyao; Chen Ping; Liu Xianghuai; Chen Xueliang

    1998-01-01

    The formation of β-SiC buried layers in p-type Si by ion beam methods is reported and a comparison of the results obtained under different experimental conditions is made. The preparation of amorphous SiC thin films by IBED is presented and the enhanced deposition of Xe + is found superior to that of Ar + . The work of synthesizing hydrogenated amorphous SiC films by RIBS and RIBAD is described with a discussion on the dependence of some physical parameters on the partial pressure ratio pCH 4 /pAr. Finally given is a brief introduction to a high quality α-SiC:H film which is prepared by PECVD and can exhibit green luminescence at room temperature

  15. A study of Eu incorporated ZnO thin films: An application of Al/ZnO:Eu/p-Si heterojunction diode

    Energy Technology Data Exchange (ETDEWEB)

    Turgut, G. [Department of Basic Sciences, Faculty of Science, Erzurum Technical University, Erzurum, 25240 (Turkey); Duman, S., E-mail: sduman@atauni.edu.tr [Department of Physics, Faculty of Science, Ataturk University, Erzurum, 25240 (Turkey); Sonmez, E. [Department of Physics, Faculty of K.K. Education, Ataturk University, Erzurum, 25240 (Turkey); Ozcelik, F.S. [Department of Physics, Faculty of Science, Ataturk University, Erzurum, 25240 (Turkey)

    2016-04-15

    Highlights: • Eu incorporated ZnO thin films were grown by sol–gel spin coating. • The influence of Eu contribution on features of ZnO was investigated. • Al/ZnO:Eu/p-Si heterojunction diodes were also fabricated. • The diode parameters were calculated from I–V measurements. - Abstract: In present work, the pure and europium (Eu) incorporated zinc oxide (ZnO) thin films were deposited with sol-gel spin coating by using zinc acetate dehydrate and Eu (III) chloride salts. The coated films were examined by means of XRD, AFM and UV/VIS spectrophotometer. The ZnO hexagonal wurtzite nanoparticles with (002) preferential direction were observed for all films. The values of crystallite size, micro-strain and surface roughness continuously increased from 21 nm, 1.10 × 10{sup −3} and 2.43 nm to the values of 35.56 nm, 1.98 × 10{sup −3} and 28.99 nm with Eu doping, respectively. The optical band gap value of the pure ZnO initially increased from 3.296 eV to 3.328 eV with Eu doping up to 2 at.% doping level, then it started to decrease with more Eu content. The electrical features of Al/n-ZnO:Eu/p-Si heterojunction diodes were inquired by current-voltage (I–V) measurements at the room temperature.

  16. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  17. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    International Nuclear Information System (INIS)

    Yan, Guoguo; Zhang, Feng; Niu, Yingxi; Yang, Fei; Liu, Xingfang; Wang, Lei; Zhao, Wanshun; Sun, Guosheng; Zeng, Yiping

    2015-01-01

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H_2 flow rate ranging from15 to 30 slm. • High H_2 flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H_2 flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H_2 flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H_2 flow rate. The growth rate and n-type doping are also dependent on H_2 flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H_2 flow rate are attributed to higher 3C-SiC film growth rate and H_2 etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H_2 flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  18. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Guoguo [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Zhang, Feng, E-mail: fzhang@semi.ac.cn [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Niu, Yingxi; Yang, Fei [Electrical Engineering New Materials and Microelectronics Department, State Grid Smart Grid Research Institute, Beijing 100192 (China); Liu, Xingfang; Wang, Lei; Zhao, Wanshun [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Sun, Guosheng [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Dongguan Tianyu Semiconductor, Inc., Dongguan 523000 (China); Zeng, Yiping [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2015-10-30

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H{sub 2} flow rate ranging from15 to 30 slm. • High H{sub 2} flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H{sub 2} flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H{sub 2} flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H{sub 2} flow rate. The growth rate and n-type doping are also dependent on H{sub 2} flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H{sub 2} flow rate are attributed to higher 3C-SiC film growth rate and H{sub 2} etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H{sub 2} flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  19. Observations on Si-based micro-clusters embedded in TaN thin film deposited by co-sputtering with oxygen contamination

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Young Mi [Beamline Division, Pohang Accelerator Laboratory, POSTECH, Pohang, 305-764 (Korea, Republic of); Jung, Min-Sang; Choi, Duck-Kyun, E-mail: duck@hanyang.ac.kr, E-mail: mcjung@oist.jp [Department of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Jung, Min-Cherl, E-mail: duck@hanyang.ac.kr, E-mail: mcjung@oist.jp [Energy Materials and Surface Sciences Unit, Okinawa Institute of Science and Technology Graduate University, Okinawa, 904-0495 (Japan)

    2015-08-15

    Using scanning electron microscopy (SEM) and high-resolution x-ray photoelectron spectroscopy with the synchrotron radiation we investigated Si-based micro-clusters embedded in TaSiN thin films having oxygen contamination. TaSiN thin films were deposited by co-sputtering on fixed or rotated substrates and with various power conditions of TaN and Si targets. Three types of embedded micro-clusters with the chemical states of pure Si, SiO{sub x}-capped Si, and SiO{sub 2}-capped Si were observed and analyzed using SEM and Si 2p and Ta 4f core-level spectra were derived. Their different resistivities are presumably due to the different chemical states and densities of Si-based micro-clusters.

  20. Observations on Si-based micro-clusters embedded in TaN thin film deposited by co-sputtering with oxygen contamination

    Directory of Open Access Journals (Sweden)

    Young Mi Lee

    2015-08-01

    Full Text Available Using scanning electron microscopy (SEM and high-resolution x-ray photoelectron spectroscopy with the synchrotron radiation we investigated Si-based micro-clusters embedded in TaSiN thin films having oxygen contamination. TaSiN thin films were deposited by co-sputtering on fixed or rotated substrates and with various power conditions of TaN and Si targets. Three types of embedded micro-clusters with the chemical states of pure Si, SiOx-capped Si, and SiO2-capped Si were observed and analyzed using SEM and Si 2p and Ta 4f core-level spectra were derived. Their different resistivities are presumably due to the different chemical states and densities of Si-based micro-clusters.

  1. Novel UV-emitting single crystalline film phosphors grown by LPE method

    International Nuclear Information System (INIS)

    Zorenko, Y.; Gorbenko, V.; Savchyn, V.; Voznyak, T.; Nikl, M.; Mares, J.A.; Winnacker, A.

    2010-01-01

    This work reports the development of new types of UV-emitting phosphors based on single crystalline films (SCF) of aluminum garnet and perovskite compounds grown by the liquid phase epitaxy method. We consider peculiarities of the growth and the luminescent and scintillation properties of the following four types of UV SCF phosphors: i) Ce-doped SCF of Y-Lu-Al-perovskites with the Ce 3+ emission in the 300-450 nm range of the decay time of 16-17 ns; ii) Pr-doped SCF of Y-Lu-Al garnets and perovskites with the Pr 3+ emission in the 300-400 nm and 235-330 nm ranges with the decay time of 13-19 and 7-8 ns, respectively; iii) La 3+ or Sc 3+ doped SCF of Y-Lu-Al-garnets, emitting in the 280-400 nm range due to formation of the La Y,Lu , Sc Y,Lu and Sc Al centers with decay time of the order of several hundreds of nanoseconds; iv) Bi 3+ doped SCF of garnets with Bi 3+ emission in 275-350 nm with decay time of about 1.9 μs.

  2. AES study of the reaction between a thin Fe-film and β-SiC (100) surface

    International Nuclear Information System (INIS)

    Mizokawa, Yusuke; Nakanishi, Shigemitsu; Miyase, Sunao

    1989-01-01

    The solid state reaction between thin Fe-films and β-SiC(100) in UHV has been studied using AES. Even at room temperature, the reaction between the thin Fe-film and SiC occurred and formed Fe-silicide and graphite with a minor product of Fe-carbide (Fe 3 C). The reaction proceeded with an increase of Fe-coverage to some extent. With annealing of 15 A-Fe-film/SiC below 540degC, the Fe-silicide formation was accelerated, but because the amount of available Fe was small, the dissolved carbon atoms were forced to form not the Fe-carbide but the graphite phase. Above 640degC, the Fe-silicide started to decompose and the carbon atoms diffused to the surface and formed surface graphite layers. With annealing at 1080degC, the free-Si segregats at the surface and formed Si-Si bonds, as well as the Si-C bonds consuming the surface graphite phase. (author)

  3. Study of three dimensional germanium islands and ultrathin Si{sub x}Ge{sub 1-x} films grown by chemical vapour deposition on Si(111)-(7 x 7)

    Energy Technology Data Exchange (ETDEWEB)

    Gopalakrishnan, Selvi

    2005-07-15

    This work probed at the atomic level, processes that occur during the Ge three dimensional island formation and on ultrathin Si{sub x}Ge{sub 1-x} epitaxial growth by chemical vapour deposition on the Si(111)-(7 x 7) substrate with the aid of surface probe techniques such as STM and AFM, XPS, as well as TEM imaging of any 3D island formation. This work could essentially be divided into two parts. The first part studied the growth of the strained Ge on Si system with emphasis on the characterisation of the CVD grown three dimensional germanium islands on a standard Si(111)-(7 x 7) substrate as well as on a surface modified Si(111)-(7 x 7) substrate. The characterisation was carried out using a combination of techniques. XPS was used to calculate the effective coverages of deposited germanium, the STM was used to image the top most layers whenever possible and AFM, cross-sectional TEM and HRTEM to image the three dimensional islands. The possible causes of the surface modification were also examined. In the second part of this work the growth morphologies ultrathin Si{sub x}Ge{sub 1-x} layers grown on the Si(111)-(7 x 7) substrate at 750 K where the hydrogen desorption rate from the Si(111) surface is low and at 850 K which was the temperature at which the rate of hydrogen desorption from the Si(111) surface was a maximum were investigated. In addition modelling of ultrathin layer growth was carried out using two existing growth models. (orig.)

  4. Hard TiCx/SiC/a-C:H nanocomposite thin films using pulsed high energy density plasma focus device

    International Nuclear Information System (INIS)

    Umar, Z.A.; Rawat, R.S.; Tan, K.S.; Kumar, A.K.; Ahmad, R.; Hussain, T.; Kloc, C.; Chen, Z.; Shen, L.; Zhang, Z.

    2013-01-01

    Highlights: •The energetic ions and electron beams are used to synthesize TiC x /SiC/a-C:H films. •As-deposited crystalline and hard nanocomposite TiC x /SiC/a-C:H films are synthesized. •Very high average deposition rates of 68 nm/shot are achieved using dense plasma focus. •The maximum hardness of 22 GPa is achieved at the surface of the film. -- Abstract: Thin films of TiC x /SiC/a-C:H were synthesized on Si substrates using a complex mix of high energy density plasmas and instability accelerated energetic ions of filling gas species, emanated from hot and dense pinched plasma column, in dense plasma focus device. The conventional hollow copper anode of Mather type plasma focus device was replaced by solid titanium anode for synthesis of TiC x /SiC/a-C:H nanocomposite thin films using CH 4 :Ar admixture of (1:9, 3:7 and 5:5) for fixed 20 focus shots as well as with different number of focus shots with fixed CH 4 :Ar admixture ratio 3:7. XRD results showed the formation of crystalline TiC x /SiC phases for thin film synthesized using different number of focus shots with CH 4 :Ar admixture ratio fixed at 3:7. SEM results showed that the synthesized thin films consist of nanoparticle agglomerates and the size of agglomerates depended on the CH 4 :Ar admixture ratio as well as on the number of focus shots. Raman analysis showed the formation of polycrystalline/amorphous Si, SiC and a-C for different CH 4 :Ar ratio as well as for different number of focus shots. The XPS analysis confirmed the formation of TiC x /SiC/a-C:H composite thin film. Nanoindentation results showed that the hardness and elastic modulus values of composite thin films increased with increasing number of focus shots. Maximum values of hardness and elastic modulus at the surface of the composite thin film were found to be about 22 and 305 GPa, respectively for 30 focus shots confirming the successful synthesis of hard composite TiC x /SiC/a-C:H coatings

  5. Correlation between the dielectric constant and X-ray diffraction pattern of Si-O-C thin films with hydrogen bonds

    International Nuclear Information System (INIS)

    Oh, Teresa; Oh, Kyoung Suk; Lee, Kwang-Man; Choi, Chi Kyu

    2004-01-01

    The amorphous structure of organic-inorganic hybrid type Si-O-C thin films was studied using the first principles molecular-dynamics method with density functional techniques. The correlation between the dielectric constant and the degree of amorphous structure in organic-inorganic hybrid type Si-O-C thin films was studied. Si-O-C thin films were deposited by high-density plasma chemical vapor deposition using bis-trimethylsilylmethane and oxygen precursors. As-deposited films and films annealed at 500 deg. C were analyzed by X-ray diffraction (XRD). For quantitative analysis, the X-ray diffraction patterns of the samples were transformed to the radial distribution function (RDF) using Fourier analysis. Hybrid type Si-O-C thin films can be divided into three types using their amorphous structure and the dielectric constant: those with organic, hybrid, and inorganic properties

  6. Numerical Optimization of a Bifacial Bi-Glass Thin-Film a-Si:H Solar Cell for Higher Conversion Efficiency

    Science.gov (United States)

    Berrian, Djaber; Fathi, Mohamed; Kechouane, Mohamed

    2018-02-01

    Bifacial solar cells that maximize the energy output per a square meter have become a new fashion in the field of photovoltaic cells. However, the application of thin-film material on bifacial solar cells, viz., thin-film amorphous hydrogenated silicon ( a- Si:H), is extremely rare. Therefore, this paper presents the optimization and influence of the band gap, thickness and doping on the performance of a glass/glass thin-film a- Si:H ( n- i- p) bifacial solar cell, using a computer-aided simulation tool, Automat for simulation of hetero-structures (AFORS-HET). It is worth mentioning that the thickness and the band gap of the i-layer are the key parameters in achieving higher efficiency and hence it has to be handled carefully during the fabrication process. Furthermore, an efficient thin-film a- Si:H bifacial solar cell requires thinner and heavily doped n and p emitter layers. On the other hand, the band gap of the p-layer showed a dramatic reduction of the efficiency at 2.3 eV. Moreover, a high bifaciality factor of more than 92% is attained, and top efficiency of 10.9% is revealed under p side illumination. These optimizations demonstrate significant enhancements of the recent experimental work on thin-film a- Si:H bifacial solar cells and would also be useful for future experimental investigations on an efficient a- Si:H thin-film bifacial solar cell.

  7. Enhancement of oxidation resistance in Cu and Cu(Al) thin layers

    International Nuclear Information System (INIS)

    Horvath, Z.E.; Peto, G.; Paszti, Z.; Zsoldos, E.; Szilagyi, E.; Battistig, G.; Lohner, T.; Molnar, G.L.; Gyulai, J.

    1999-01-01

    High conductivity and good resistance to electromigration makes copper a promising interconnect material in microelectronics. However, one of its disadvantages is the poor corrosion resistance. Two methods of passivation are investigated and compared: Al alloying and BF 2 + ion implantation. X-ray diffraction (XRD) and Rutherford Backscattering Spectrometry (RBS) show the oxidation inhibition of both methods, but the different ratio of CuO 2 to CuO phases suggests different mechanisms of passivation. There are no definite oxide lines in the XRD spectrum of the implanted and annealed Cu(Al) sample, so the presence of Al and the implantation together give increased protection against oxidation. The difference between the two mechanisms of oxidation inhibition is discussed briefly

  8. Adsorption properties of Mg-Al layered double hydroxides thin films grown by laser based techniques

    Energy Technology Data Exchange (ETDEWEB)

    Matei, A., E-mail: andreeapurice@nipne.ro [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Birjega, R.; Vlad, A.; Filipescu, M.; Nedelcea, A.; Luculescu, C. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Zavoianu, R.; Pavel, O.D. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania); Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Laser techniques MAPLE and PLD can successfully be used to produce LDHs thin films. Black-Right-Pointing-Pointer Hydration treatments of the PLD and MAPLE deposited films lead to the LDH reconstruction effect. Black-Right-Pointing-Pointer The Ni retention from aqueous solution occurs in the films via a dissolution-reconstruction mechanism. Black-Right-Pointing-Pointer The films are suitable for applications in remediation of contaminated drinking water or waste waters. - Abstract: Powdered layered double hydroxides (LDHs) have been widely studied due to their applications as catalysts, anionic exchangers or host materials for inorganic and/or organic molecules. Assembling nano-sized LDHs onto flat solid substrates forming thin films is an expanding area of research due to the prospects of novel applications as sensors, corrosion-resistant coatings, components in optical and magnetic devices. Continuous and adherent thin films were grown by laser techniques (pulsed laser deposition - PLD and matrix assisted pulsed laser evaporation - MAPLE) starting from targets of Mg-Al LDHs. The capacity of the grown thin films to retain a metal (Ni) from contaminated water has been also explored. The thin films were immersed in an Ni(NO{sub 3}){sub 2} aqueous solutions with Ni concentrations of 10{sup -3}% (w/w) (1 g/L) and 10{sup -4}% (w/w) (0.1 g/L), respectively. X-ray diffraction (XRD), atomic force microscopy (AFM), scanning electron microscopy (SEM) combined with energy dispersive X-ray analysis (EDX) were the techniques used to characterize the prepared materials.

  9. Morphology and Surface Energy of a Si Containing Semifluorinated Di-block Copolymer Thin Films.

    Science.gov (United States)

    Shrestha, Umesh; Clarson, Stephen; Perahia, Dvora

    2013-03-01

    The structure and composition of an interface influence stability, adhesiveness and response to external stimuli of thin polymeric films. Incorporation of fluorine affects interfacial energy as well as thermal and chemical stability of the layers. The incompatibility between the fluorinated and non-fluorinated blocks induces segregation that leads to long range correlations where the tendency of the fluorine to migrate to interfaces impacts the surface tension of the films. Concurrently Si in a polymeric backbone enhances the flexibility of polymeric chains. Our previous studies of poly trifluoro propyl methyl siloxane-polystyrene thin films with SiF fraction 0.03-0.5 as a function of temperature have shown that the SiF block drives layering parallel to the surface of the diblock. Here in we report the structure and interfacial energies of SiF-PS in the plane of the films, as a function of the volume fraction of the SiF block obtained from Atomic Force microscopy and contact angle measurement studies. This work is supported by NSF DMR - 0907390

  10. AlGaInN-based ultraviolet light-emitting diodes grown on Si(111)

    International Nuclear Information System (INIS)

    Kipshidze, G.; Kuryatkov, V.; Borisov, B.; Holtz, M.; Nikishin, S.; Temkin, H.

    2002-01-01

    Ultraviolet light-emitting diodes grown on Si(111) by gas-source molecular-beam epitaxy with ammonia are described. The layers are composed of superlattices of AlGaN/GaN and AlN/AlGaInN. The layers are doped n and p type with Si and Mg, respectively. Hole concentration of 4x10 17 cm -3 , with a mobility of 8 cm2/Vs, is measured in Al 0.4 Ga 0.6 N/GaN. We demonstrate effective n- and p-type doping of structures based on AlN/AlGaInN. Light-emitting diodes based on these structures show light emission between 290 and 334 nm

  11. Si Incorporation in InP Nanowires Grown by Au-Assisted Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Lorenzo Rigutti

    2009-01-01

    Full Text Available We report on the growth, structural characterization, and conductivity studies of Si-doped InP nanowires grown by Au-assisted molecular beam epitaxy. It is shown that Si doping reduces the mean diffusion length of adatoms on the lateral nanowire surface and consequently reduces the nanowire growth rate and promotes lateral growth. A resistivity as low as 5.1±0.3×10−5 Ω⋅cm is measured for highly doped nanowires. Two dopant incorporation mechanisms are discussed: incorporation via catalyst particle and direct incorporation on the nanowire sidewalls. The first mechanism is shown to be less efficient than the second one, resulting in inhomogeneous radial dopant distribution.

  12. Crystal structure and band gap determination of HfO2 thin films

    NARCIS (Netherlands)

    Cheynet, M.C.; Pokrant, S.; Tichelaar, F.D.; Rouvière, J.L.

    2007-01-01

    Valence electron energy loss spectroscopy (VEELS) and high resolution transmission electron microscopy (HRTEM) are performed on three different HfO2 thin films grown on Si (001) by chemical vapor deposition (CVD) or atomic layer deposition (ALD). For each sample the band gap (Eg) is determined by

  13. Luminescence properties of ZnO layers grown on Si-on-insulator substrates

    International Nuclear Information System (INIS)

    Kumar, Bhupendra; Gong, Hao; Vicknesh, S.; Chua, S. J.; Tripathy, S.

    2006-01-01

    The authors report on the photoluminescence properties of polycrystalline ZnO thin films grown on compliant silicon-on-insulator (SOI) substrates by radio frequency magnetron sputtering. The ZnO thin films on SOI were characterized by micro-Raman and photoluminescence (PL) spectroscopy. The observation of E 2 high optical phonon mode near 438 cm -1 in the Raman spectra of the ZnO samples represents the wurtzite crystal structure. Apart from the near-band-edge free exciton (FX) transition around 3.35 eV at 77 K, the PL spectra of such ZnO films also showed a strong defect-induced violet emission peak in the range of 3.05-3.09 eV. Realization of such ZnO layers on SOI would be useful for heterointegration with SOI-based microelectronics and microelectromechanical systems

  14. Single-domain epitaxial silicene on diboride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Fleurence, A., E-mail: antoine@jaist.ac.jp; Friedlein, R.; Aoyagi, K.; Yamada-Takamura, Y. [School of Materials Science, Japan Advanced Institute of Science and Technology (JAIST), 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Gill, T. G. [School of Materials Science, Japan Advanced Institute of Science and Technology (JAIST), 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); London Centre for Nanotechnology, University College London (UCL), London WC1H 0AH (United Kingdom); Department of Chemistry, UCL, London WC1H 0AJ (United Kingdom); Sadowski, J. T. [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, New York 11973 (United States); Copel, M.; Tromp, R. M. [IBM Research Division, Thomas J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Hirjibehedin, C. F. [London Centre for Nanotechnology, University College London (UCL), London WC1H 0AH (United Kingdom); Department of Chemistry, UCL, London WC1H 0AJ (United Kingdom); Department of Physics and Astronomy, UCL, London WC1E 6BT (United Kingdom)

    2016-04-11

    Epitaxial silicene, which forms spontaneously on ZrB{sub 2}(0001) thin films grown on Si(111) wafers, has a periodic stripe domain structure. By adsorbing additional Si atoms on this surface, we find that the domain boundaries vanish, and a single-domain silicene sheet can be prepared without altering its buckled honeycomb structure. The amount of Si required to induce this change suggests that the domain boundaries are made of a local distortion of the silicene honeycomb lattice. The realization of a single domain sheet with structural and electronic properties close to those of the original striped state demonstrates the high structural flexibility of silicene.

  15. Electronic properties of single Ge/Si quantum dot grown by ion beam sputtering deposition.

    Science.gov (United States)

    Wang, C; Ke, S Y; Yang, J; Hu, W D; Qiu, F; Wang, R F; Yang, Y

    2015-03-13

    The dependence of the electronic properties of a single Ge/Si quantum dot (QD) grown by the ion-beam sputtering deposition technique on growth temperature and QD diameter is investigated by conductive atomic force microscopy (CAFM). The Si-Ge intermixing effect is demonstrated to be important for the current distribution of single QDs. The current staircase induced by the Coulomb blockade effect is observed at higher growth temperatures (>700 °C) due to the formation of an additional barrier between dislocated QDs and Si substrate for the resonant tunneling of holes. According to the proposed single-hole-tunneling model, the fact that the intermixing effect is observed to increase as the incoherent QD size decreases may explain the increase in the starting voltage of the current staircase and the decrease in the current step width.

  16. Advanced fabrication method for the preparation of MOF thin films: Liquid-phase epitaxy approach meets spin coating method.

    KAUST Repository

    Chernikova, Valeriya

    2016-07-14

    Here we report a new and advanced method for the fabrication of highly oriented/polycrystalline metal-organic framework (MOF) thin films. Building on the attractive features of the liquid-phase epitaxy (LPE) approach, a facile spin coating method was implemented to generate MOF thin films in a high-throughput fashion. Advantageously, this approach offers a great prospective to cost-effectively construct thin-films with a significantly shortened preparation time and a lessened chemicals and solvents consumption, as compared to the conventional LPE-process. Certainly, this new spin-coating approach has been implemented successfully to construct various MOF thin films, ranging in thickness from a few micrometers down to the nanometer scale, spanning 2-D and 3-D benchmark MOF materials including Cu2(bdc)2•xH2O, Zn2(bdc)2•xH2O, HKUST-1 and ZIF-8. This method was appraised and proved effective on a variety of substrates comprising functionalized gold, silicon, glass, porous stainless steel and aluminum oxide. The facile, high-throughput and cost-effective nature of this approach, coupled with the successful thin film growth and substrate versatility, represents the next generation of methods for MOF thin film fabrication. Thereby paving the way for these unique MOF materials to address a wide range of challenges in the areas of sensing devices and membrane technology.

  17. Vibrational Characterizations of Zn0.72Li0.28O/Si Thin Films Studied by Fourier Transform Raman Spectroscopy

    International Nuclear Information System (INIS)

    Myo Myat Thet; Win Kyaw; Yin Maung Maung; Ko Ko Kyaw Soe

    2008-03-01

    The Zn0.72Li0.28O/Si (x = 0.28mol%) thin layers were fabricated on p-Si(100) substrate with five different process temperature. Vibrational characterizations of those thin films were investigated by FT- Raman spectroscopy. The resulted spectral line characters have been compared with that of Zn0.72Li0.28O/Glass thin films. Some vibrational motions of starting materials and final(candidate) thin films molecules were found in two substrates of glass and Si and vibrational frequencies were assigned by using molecular spectroscopy. Most of the frequencies of starting and final materials were found to be shifted in each of the films of two different substrates.

  18. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  19. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  20. Epitaxial growth of metallic buffer layer structure and c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 thin film on Si for high performance piezoelectric micromachined ultrasonic transducer

    Science.gov (United States)

    Thao, Pham Ngoc; Yoshida, Shinya; Tanaka, Shuji

    2017-12-01

    This paper reports on the development of a metallic buffer layer structure, (100) SrRuO3 (SRO)/(100) Pt/(100) Ir/(100) yttria-stabilized zirconia (YSZ) layers for the epitaxial growth of a c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 (PMnN-PZT) thin film on a (100) Si wafer for piezoelectric micro-electro mechanical systems (MEMS) application. The stacking layers were epitaxially grown on a Si substrate under the optimal deposition condition. A crack-free PMnN-PZT epitaxial thin films was obtained at a thickness up to at least 1.7 µm, which is enough for MEMS applications. The unimorph MEMS cantilevers based on the PMnN-PZT thin film were fabricated and characterized. As a result, the PMnN-PZT thin film exhibited -10 to -12 C/m2 as a piezoelectric coefficient e 31,f and ˜250 as a dielectric constants ɛr. The resultant FOM for piezoelectric micromachined ultrasonic transducer (pMUT) is higher than those of general PZT and AlN thin films. This structure has a potential to provide high-performance pMUTs.

  1. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Karuppasamy, A., E-mail: karuppasamy@psnacet.edu.in

    2015-12-30

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO{sub 3} (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO{sub 3}) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O{sub 2} atmosphere. Ti:WO{sub 3} thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10{sup −3}–5.0 × 10{sup −3} mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm{sup 2}) and tungsten (3 W/cm{sup 2}) were kept constant. Ti:WO{sub 3} films deposited at an oxygen pressure of 5 × 10{sup −3} mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm{sup 2}/C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm{sup 2}, Qa: 17.72 mC/cm{sup 2}), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO{sub 3} films.

  2. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    International Nuclear Information System (INIS)

    Karuppasamy, A.

    2015-01-01

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO 3 (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO 3 ) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O 2 atmosphere. Ti:WO 3 thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10 −3 –5.0 × 10 −3 mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm 2 ) and tungsten (3 W/cm 2 ) were kept constant. Ti:WO 3 films deposited at an oxygen pressure of 5 × 10 −3 mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm 2 /C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm 2 , Qa: 17.72 mC/cm 2 ), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO 3 films.

  3. Fabrication of bright and thin Zn₂SiO₄ luminescent film for electron beam excitation-assisted optical microscope.

    Science.gov (United States)

    Furukawa, Taichi; Kanamori, Satoshi; Fukuta, Masahiro; Nawa, Yasunori; Kominami, Hiroko; Nakanishi, Yoichiro; Sugita, Atsushi; Inami, Wataru; Kawata, Yoshimasa

    2015-07-13

    We fabricated a bright and thin Zn₂SiO₄ luminescent film to serve as a nanometric light source for high-spatial-resolution optical microscopy based on electron beam excitation. The Zn₂SiO₄ luminescent thin film was fabricated by annealing a ZnO film on a Si₃N₄ substrate at 1000 °C in N₂. The annealed film emitted bright cathodoluminescence compared with the as-deposited film. The film is promising for nano-imaging with electron beam excitation-assisted optical microscopy. We evaluated the spatial resolution of a microscope developed using this Zn₂SiO₄ luminescent thin film. This is the first report of the investigation and application of ZnO/Si₃N₄ annealed at a high temperature (1000 °C). The fabricated Zn₂SiO₄ film is expected to enable high-frame-rate dynamic observation with ultra-high resolution using our electron beam excitation-assisted optical microscopy.

  4. Growth of (100)-highly textured BaBiO{sub 3} thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ferreyra, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); Departamento de Física, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 1, Ciudad Universitaria, Buenos Aires (Argentina); Marchini, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); Departamento de Química Inorgánica, Analítica y Química-Física, INQUIMAE-CONICET, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 2, Ciudad Universitaria, Buenos Aires (Argentina); Granell, P. [INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Golmar, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Escuela de Ciencia y Tecnología, UNSAM, Campus Miguelete, 1650 San Martín, Buenos Aires (Argentina); Albornoz, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); and others

    2016-08-01

    We report on the growth and characterization of non-epitaxial but (100)-highly textured BaBiO{sub 3} thin films on silicon substrates. We have found the deposition conditions that optimize the texture, and show that the textured growth is favoured by the formation of a BaO layer at the first growth stages. X-ray diffraction Φ-scans, together with the observation that the same textured growth is found on films grown on Pt and SiO{sub 2} buffered Si, demonstrate the absence of epitaxy. Finally, we have shown that our (100)-oriented BaBiO{sub 3} films can be used as suitable buffers for the growth of textured heterostructures on silicon, which could facilitate the integration of potential devices with standard electronics. - Highlights: • BaBiO{sub 3} thin films were grown on Si substrates and characterized. • Films prepared using optimized conditions are highly textured in the (100) direction. • The absence of in-plane texture was demonstrated by X-ray diffraction. • Our films are suitable buffers for the growth of (100)-textured oxide heterostructures.

  5. Structure and properties of PZT thin films on strontium ruthenate and calcium ruthenate electrodes

    International Nuclear Information System (INIS)

    Wu, T.-J.; Tsai, D.-S.

    2004-01-01

    PZT thin films have been prepared via metalorganic CVD (MOCVD) on four substrates of conducting oxides of ruthenates, SrRuO 3 /Pt/Ti/SiO 2 /Si(1 0 0), SrRuO 3 /SiO 2 /Si(1 0 0), CaRuO 3 /Pt/Ti/SiO 2 /Si(1 0 0), CaRuO 3 /SiO 2 /Si(1 0 0). The conducting ruthenate layers were also grown using MOCVD. Ferroelectric properties of polarization fatigue and leakage current density are measured. The internal strain of PZT thin crystal which is mainly constrained by the bottom electrode seems to be the decisive factor in ferroelectric properties. The internal strain of PZT is represented by its tetragonality ratio. The PZT thin film in the capacitor Au/PZT/SrRuO 3 /Pt/Ti/SiO 2 /Si, with the largest tetragonality ratio 1.026, exhibits an optimum combination of large polarization, less fatigue, and low leakage current density. Both SrRuO 3 and CaRuO 3 are good diffusion barriers to prevent interdiffusion of cations between the ferroelectric and the electrode. The slightly higher intermixing at the CaRuO 3 -to-Pt/Ti interface is owing to the high annealing temperature needed in CaRuO 3 synthesis

  6. On the way to enhance the optical absorption of a-Si in NIR by embedding Mg{sub 2}Si thin film

    Energy Technology Data Exchange (ETDEWEB)

    Chernev, I. M., E-mail: igor-chernev7@mail.ru; Shevlyagin, A. V.; Galkin, K. N. [Institute of Automation and Control Processes of FEB RAS, Radio St. 5, 690041 Vladivostok (Russian Federation); Stuchlik, J. [Institute of Physics of the ASCR, v. v. i., Cukrovarnická 10/112, 162 00 Praha 6 (Czech Republic); Remes, Z. [Institute of Physics of the ASCR, v. v. i., Cukrovarnická 10/112, 162 00 Praha 6 (Czech Republic); FBE CTU, Nam. Sitna 3105, 272 01 Kladno (Czech Republic); Fajgar, R. [Institute of Chemical Process Fundamentals of the ASCR, v. v. i., Rozvojová 135, 165 02 Praha 6 (Czech Republic); Galkin, N. G. [Institute of Automation and Control Processes of FEB RAS, Radio St. 5, 690041 Vladivostok (Russian Federation); Far Eastern Federal University, School of Natural Sciences, Sukhanova St. 8, 690950 Vladivostok (Russian Federation)

    2016-07-25

    Mg{sub 2}Si thin film was embedded in amorphous silicon matrix by solid phase epitaxy. The structure and optical properties were investigated by electron energy loss, X-ray photoelectron, Raman, and photo thermal deflection spectroscopy measurements. It was found that in the photon energy range of 0.8–1.7 eV, the light absorption of the structure with magnesium silicide (Mg{sub 2}Si) film embedded in a-Si(i) matrix is 1.5 times higher than that for the same structure without Mg{sub 2}Si.

  7. All-MOCVD-grown BH laser on P-InP substrates

    Science.gov (United States)

    Nishimura, Tadashi; Ishimura, E.; Nakajima, Yasuo; Tada, Hitoshi; Kimura, T.; Ohkura, Y.; Goto, Katsuhiko; Omura, Etsuji; Aiga, Masao

    1993-07-01

    A very low cw threshold current of 2.5 mA ( 25 degree(s)C) and 8.0 mA ( 80 degree(s)C) with high reliability has been realized in the all-MOCVD grown BH lasers on p-InP substrates. A strained MQW active layer of 1.3 micrometers wavelength and the precise carrier confinement buried structure by MOCVD is employed for the BH lasers. The excellent potential of long lifetime of the all-MOCVD grown laser has also been confirmed. After the high temperature and the high current (100 degree(s)C, 200 mA) aging test, no significant degradation is observed which is comparable with the well-established LPE grown lasers. The BH laser is also operating stably over 3700 hrs under the APC condition of 50 degree(s)C, 10 mW. Finally, an extremely uniform 10-element all-MOCVD grown LD array is demonstrated, which has the threshold current uniformity of 2.4 +/- 0.1 mA ( 25 degree(s)C) and 9.2 +/- 0.2 mA ( 80 degree(s)C). The growth mechanism in the MOCVD is also described.

  8. Structure and optical properties of aSiAl and aSiAlHx magnetron sputtered thin films

    Directory of Open Access Journals (Sweden)

    Annett Thøgersen

    2016-03-01

    Full Text Available Thin films of homogeneous mixture of amorphous silicon and aluminum were produced with magnetron sputtering using 2-phase Al–Si targets. The films exhibited variable compositions, with and without the presence of hydrogen, aSi1−xAlx and aSi1−xAlxHy. The structure and optical properties of the films were investigated using transmission electron microscopy, X-ray photoelectron spectroscopy, UV-VisNIR spectrometry, ellipsometry, and atomistic modeling. We studied the effect of alloying aSi with Al (within the range 0–25 at. % on the optical band gap, refractive index, transmission, and absorption. Alloying aSi with Al resulted in a non-transparent film with a low band gap (1 eV. Variations of the Al and hydrogen content allowed for tuning of the optoelectronic properties. The films are stable up to a temperature of 300 °C. At this temperature, we observed Al induced crystallization of the amorphous silicon and the presence of large Al particles in a crystalline Si matrix.

  9. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    Science.gov (United States)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  10. Nanomechanical properties of SiC films grown from C{sub 60} precursors using atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Morse, K. [Colorado School of Mines, Golden, CO (United States); Balooch, M.; Hamza, A.V.; Belak, J. [Lawrence Livermore National Lab., CA (United States)

    1994-12-01

    The mechanical properties of SiC films grown via C{sub 60} precursors were determined using atomic force microscopy (AFM). Conventional silicon nitride and modified diamond cantilever AFM tips were employed to determine the film hardness, friction coefficient, and elastic modulus. The hardness is found to be between 26 and 40 GPa by nanoindentation of the film with the diamond tip. The friction coefficient for the silicon nitride tip on the SiC film is about one third that for silicon nitride sliding on a silicon substrate. By combining nanoindentation and AFM measurements an elastic modulus of {approximately}300 GPa is estimated for these SiC films. In order to better understand the atomic scale mechanisms that determine the hardness and friction of SiC, we simulated the molecular dynamics of a diamond indenting a crystalline SiC substrate.

  11. Direct evidence of strain transfer for InAs island growth on compliant Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Marçal, L. A. B.; Magalhães-Paniago, R.; Malachias, Angelo, E-mail: angeloms@fisica.ufmg.br [Universidade Federal de Minas Gerais, Av. Antonio Carlos 6627, CEP 31270-901, Belo Horizonte (Brazil); Richard, M.-I. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Aix-Marseille University, IM2NP-CNRS, Faculté des Sciences de St Jérôme, 13397 Marseille (France); Cavallo, F. [Center for High Technology Materials, University of New Mexico, 1313 Goddard St., Albuquerque, New Mexico 87106 (United States); University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Lagally, M. G. [University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Schmidt, O. G. [Institute for Integrative Nanosciences, IFW-Dresden, D-01171 Dresden (Germany); Schülli, T. Ü. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Deneke, Ch. [Laboratório Nacional de Nanotecnologia (LNNano/CNPEM), C.P. 6192, CEP 13083-970, Campinas (Brazil)

    2015-04-13

    Semiconductor heteroepitaxy on top of thin compliant layers has been explored as a path to make inorganic electronics mechanically flexible as well as to integrate materials that cannot be grown directly on rigid substrates. Here, we show direct evidences of strain transfer for InAs islands on freestanding Si thin films (7 nm). Synchrotron X-ray diffraction measurements using a beam size of 300 × 700 nm{sup 2} can directly probe the strain status of the compliant substrate underneath deposited islands. Using a recently developed diffraction mapping technique, three-dimensional reciprocal space maps were reconstructed around the Si (004) peak for specific illuminated positions of the sample. The strain retrieved was analyzed using continuous elasticity theory via Finite-element simulations. The comparison of experiment and simulations yields the amount of strain from the InAs islands, which is transferred to the compliant Si thin film.

  12. Improved thermal stability and hole mobilities in a strained-Si/strained-Si1-yGe y/strained-Si heterostructure grown on a relaxed Si1-xGe x buffer

    International Nuclear Information System (INIS)

    Gupta, Saurabh; Lee, Minjoo L.; Isaacson, David M.; Fitzgerald, Eugene A.

    2005-01-01

    A dual channel heterostructure consisting of strained-Si/strained-Si 1-y Ge y on relaxed Si 1-x Ge x (y > x), provides a platform for fabricating metal-oxide-semiconductor field-effect transistors (MOSFETs) with high hole mobilities (μ eff ) which depend directly on Ge concentration and strain in the strained-Si 1-y Ge y layer. Ge out-diffuses from the strained-Si 1-y Ge y layer into relaxed Si 1-x Ge x during high temperature processing, reducing peak Ge concentration and strain in the strained-Si 1-y Ge y layer and degrades hole μ eff in these dual channel heterostructures. A heterostructure consisting of strained-Si/strained-Si 1-y Ge y /strained-Si, referred to as a trilayer heterostructure, grown on relaxed Si 1-x Ge x has much reduced Ge out-flux from the strained-Si 1-y Ge y layer and retains higher μ eff after thermal processing. Improved hole μ eff over similar dual channel heterostructures is also observed in this heterostructure. This could be a result of preventing the hole wavefunction tunneling into the low μ eff relaxed Si 1-x Ge x layer due to the additional valence band offset provided by the underlying strained-Si layer. A diffusion coefficient has been formulated and implemented in a finite difference scheme for predicting the thermal budget of the strained SiGe heterostructures. It shows that the trilayer heterostructures have superior thermal budgets at higher Ge concentrations. Ring-shaped MOSFETs were fabricated on both platforms and subjected to various processing temperatures in order to compare the extent of μ eff reduction with thermal budget. Hole μ eff enhancements are retained to a much higher extent in a trilayer heterostructure after high temperature processing as compared to a dual channel heterostructure. The improved thermal stability and hole μ eff of a trilayer heterostructure makes it an ideal platform for fabricating high μ eff MOSFETs that can be processed over higher temperatures without significant losses in hole

  13. Resistance Switching Memory Characteristics of Si/CaF2/CdF2 Quantum-Well Structures Grown on Metal (CoSi2) Layer

    Science.gov (United States)

    Denda, Junya; Uryu, Kazuya; Watanabe, Masahiro

    2013-04-01

    A novel scheme of resistance switching random access memory (ReRAM) devices fabricated using Si/CaF2/CdF2/CaF2/Si quantum-well structures grown on metal CoSi2 layer formed on a Si substrate has been proposed, and embryonic write/erase memory operation has been demonstrated at room temperature. It has been found that the oxide-mediated epitaxy (OME) technique for forming the CoSi2 layer on Si dramatically improves the stability and reproducibility of the current-voltage (I-V) curve. This technology involves 10-nm-thick Co layer deposition on a protective oxide prepared by boiling in a peroxide-based solution followed by annealing at 550 °C for 30 min for silicidation in ultrahigh vacuum. A switching voltage of lower than 1 V, a peak current density of 32 kA/cm2, and an ON/OFF ratio of 10 have been observed for the sample with the thickness sequence of 0.9/0.9/2.5/0.9/5.0 nm for the respective layers in the Si/CaF2/CdF2/CaF2/Si structure. Results of surface morphology analysis suggest that the grain size of crystal islands with flat surfaces strongly affects the quality of device characteristics.

  14. Enhancement of oxidation resistance in Cu and Cu(Al) thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Horvath, Z.E.; Peto, G. E-mail: peto@mfa.kfki.hu; Paszti, Z.; Zsoldos, E.; Szilagyi, E.; Battistig, G.; Lohner, T.; Molnar, G.L.; Gyulai, J

    1999-01-02

    High conductivity and good resistance to electromigration makes copper a promising interconnect material in microelectronics. However, one of its disadvantages is the poor corrosion resistance. Two methods of passivation are investigated and compared: Al alloying and BF{sub 2}{sup +} ion implantation. X-ray diffraction (XRD) and Rutherford Backscattering Spectrometry (RBS) show the oxidation inhibition of both methods, but the different ratio of CuO{sub 2} to CuO phases suggests different mechanisms of passivation. There are no definite oxide lines in the XRD spectrum of the implanted and annealed Cu(Al) sample, so the presence of Al and the implantation together give increased protection against oxidation. The difference between the two mechanisms of oxidation inhibition is discussed briefly.

  15. Formation of thin DLC films on SiO2/Si substrate using FCVAD technique

    International Nuclear Information System (INIS)

    Bootkul, D.; Intarasiri, S.; Aramwit, C.; Tippawan, U.; Yu, L.D.

    2013-01-01

    Diamond-like carbon (DLC) films deposited on SiO 2 /Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO 2 /Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I D /I G ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I D /I G ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp 3 site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp 3 fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO 2 substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC film showed changes in the edge shape

  16. Growth and coalescence control of inclined c-axis polar and semipolar GaN multilayer structures grown on Si(111), Si(112), and Si(115) by metalorganic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bartłomiej; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Sankowska, Iwona [The Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warszawa (Poland)

    2016-09-15

    Herein, silicon substrates in alternative orientations from the commonly used Si(111) were used to enable the growth of polar and semipolar GaN-based structures by the metalorganic vapor phase epitaxy method. Specifically, Si(112) and Si(115) substrates were used for the epitaxial growth of nitride multilayer structures, while the same layer schemes were also deposited on Si(111) for comparison purposes. Multiple approaches were studied to examine the influence of the seed layers and the growth process conditions upon the final properties of the GaN/Si(11x) templates. Scanning electron microscope images were acquired to examine the topography of the deposited samples. It was observed that the substrate orientation and the process conditions allow control to produce an isolated GaN block growth or a coalesced layer growth, resulting in inclined c-axis GaN structures under various forms. The angles of the GaN c-axis inclination were determined by x-ray diffraction measurements and compared with the results obtained from the analysis of the atomic force microscope (AFM) images. The AFM image analysis method to determine the structure tilt was found to be a viable method to estimate the c-axis inclination angles of the isolated blocks and the not-fully coalesced layers. The quality of the grown samples was characterized by the photoluminescence method conducted at a wide range of temperatures from 77 to 297 K, and was correlated with the sample degree of coalescence. Using the free-excitation peak positions plotted as a function of temperature, analytical Bose-Einstein model parameters were fitted to obtain further information about the grown structures.

  17. Europium and samarium doped calcium sulfide thin films grown by PLD

    International Nuclear Information System (INIS)

    Christoulakis, S.; Suchea, M; Katsarakis, N.; Koudoumas, E

    2007-01-01

    Europium and samarium doped calcium sulfide thin films (CaS:Eu,Sm) with different thickness were prepared by the pulsed laser deposition technique using sintered targets. A typical homemade deposition chamber and XeCl excimer laser (308 nm) were employed and the films were deposited in helium atmosphere onto silicon and corning glass substrates. Structural investigations carried out by X-ray diffraction and atomic force microscopy showed a strong influence of the deposition parameters on the film properties. The films grown had an amorphous or polycrystalline structure depending on growth temperature and the number of pulses used, the same parameters affecting the film roughness, the grain shape and dimensions, the film thickness and the optical transmittance. This work indicates that pulsed laser deposition can be a suitable technique for the preparation of CaS:Eu,Sm thin films, the film characteristics being controlled by the growth conditions

  18. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    Science.gov (United States)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  19. Determination of the optical parameters of a-Si:H thin films ...

    Indian Academy of Sciences (India)

    single-effective oscillator model to the a-Si:H samples to calculate the optical ..... et al [23] and have similar trend as those shown by El-Sayed and Amin [24]. .... [3] K L Chopra, Thin film phenomena (McGraw-Hill Book Company, USA, 1969).

  20. GaInAsP-InP Double Heterostructure Lasers on Si Substrate Grown by LP-MOCVD

    National Research Council Canada - National Science Library

    Razeghi, M

    1993-01-01

    ... #N00014-93-1-0176 'GaInAsP-InP double heterostructure lasers on Si substrate grown by MOVCD'. In order to achieve this goal of the contract, the CQD research group split the divided research work into three phases (with specific tasks...

  1. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  2. Positron mobility in thermally grown SiO2 measured by Doppler broadening technique

    International Nuclear Information System (INIS)

    Kong, Y.; Leung, T.C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K.G.

    1991-01-01

    The positron mobility in thermally grown SiO 2 is deduced from Doppler broadening lineshape data on a metal-oxide-semiconductor sample for positrons implanted into the oxide layer. The fitted mobility is ∼13(10)x10 -3 cm 2 /s V. This value is between that of the electron and hole mobilities in the same system and is two orders of magnitude smaller than the previous estimate from positron measurements

  3. Plastic relaxation of GeSi/Si(001) films grown by molecular-beam epitaxy in the presence of the Sb surfactant

    International Nuclear Information System (INIS)

    Bolkhovityanov, Yu. B.; Deryabin, A. S.; Gutakovskii, A. K.; Kolesnikov, A. V.; Sokolov, L. V.

    2007-01-01

    Plastically relaxed GeSi films with the Ge fraction equal to 0.29-0.42 and thickness as large as 0.5 μm were grown on Si (001) substrates using the low-temperature (350 deg. C) buffer Si layer and Sb as a surfactant. It is shown that introduction of Sb that smoothens the film surface at the stage of pseudomorphic growth lowers the density of threading dislocations in the plastically relaxed heterostructure by 1-1.5 orders of magnitude and also reduces the final roughness of the surface. The root-mean-square value of roughness smaller than 1 nm was obtained for a film with the Ge content of 0.29 and the density of threading dislocations of about 10 6 cm -2 . It is assumed that the effect of surfactant is based on the fact that the activity of surface sources of dislocations is reduced in the presence of Sb

  4. Understanding of CO{sub 2} interaction with thermally grown SiO{sub 2} on Si using IBA depth profiling techniques

    Energy Technology Data Exchange (ETDEWEB)

    Deokar, Geetanjali; D’Angelo, Marie; Briand, Emrick [INSP, UPMC, CNRS UMR 7588, 4 Place Jussieu, Paris F-75005 (France); Deville Cavellin, Catherine, E-mail: deville@univ-paris12.fr [INSP, UPMC, CNRS UMR 7588, 4 Place Jussieu, Paris F-75005 (France); Faculté des Sciences et Technologie UPEC, 61 Av., De Gaulle, Créteil F-94010 (France)

    2013-06-01

    Interactions between CO{sub 2} and SiO{sub 2} films thermally grown on Si have been studied using {sup 18}O and {sup 13}C as isotopic tracers associated with ion beam analysis (IBA) depth profiling techniques. From secondary ion mass spectrometry (SIMS) measurements no carbon from CO{sub 2} is detected in the silica while it is found in Si. These results suggest that CO{sub 2} diffuses through the silica. Exchanges of oxygen between CO{sub 2} and silica can be observed from {sup 18}O to {sup 16}O SIMS signals variation. The oxygen concentration depth profiles were determined quantitatively using the narrow resonance near 151 keV in the {sup 18}O(p,α){sup 15}N nuclear reaction (Narrow Resonance Profiling, NRP). We demonstrate that two distinct oxygen exchanges processes co-exist and we determine the diffusion coefficient of the CO{sub 2} molecule in the silica at 1100 °C.

  5. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  6. Physical properties of lanthanum monosulfide thin films grown on (100) silicon substrates

    Science.gov (United States)

    Cahay, M.; Garre, K.; Wu, X.; Poitras, D.; Lockwood, D. J.; Fairchild, S.

    2006-06-01

    Thin films of lanthanum monosulfide (LaS) have been deposited on Si (100) substrates by pulsed laser deposition. The films are golden yellow in appearance with a mirrorlike surface morphology and a sheet resistance around 0.1 Ω/□, as measured using a four-probe measurement technique. The thin films are characterized by atomic force microscopy (AFM), x-ray diffraction (XRD) analysis, high resolution transmission electron microscopy (HRTEM), ellipsometry, and Raman spectroscopy. The root-mean-square variation of (1 μm thick) film surface roughness measured over a 1 μm2 area by AFM was found to be 1.74 nm. XRD analysis of fairly thick films (micrometer size) reveals the growth of the cubic rocksalt structure with a lattice constant of 5.863(7) A˚, which is close to the bulk LaS value. HRTEM images reveal that the films are comprised of nanocrystals separated by regions of amorphous material. Two beam bright field TEM images show that there is a strain contrast in the Si substrate right under the interface with the LaS film and penetrating into the Si substrate. This suggests that there is an initial epitaxial-like growth of the LaS film on the Si substrate that introduces a strain as a result of the 8% lattice mismatch between the film and substrate. Ellipsometry measurements of the LaS films are well characterized by a Drude-Lorentz model from which an electron concentration of about 2.52×1022 cm-3 and a mobility around 8.5 cm2/V s are derived. Typical crystalline LaS features were evident in Raman spectra of the films, but the spectra also revealed their disordered (polycrystalline) nature.

  7. Structural and magnetic studies of Cr doped nickel ferrite thin films

    International Nuclear Information System (INIS)

    Panwar, Kalpana; Heda, N. L.; Tiwari, Shailja; Bapna, Komal; Ahuja, B. L.; Choudhary, R. J.; Phase, D. M.

    2016-01-01

    We have studied the structural and magnetic properties of Cr doped nickel ferrite thin films deposited on Si (100) and Si (111) using pulsed laser deposition technique. The films were deposited under vacuum and substrate temperature was kept at 700°C. X-ray diffraction analysis revealed that films on both substrates have single phase cubic spinel structure. However, the film grown on Si (111) shows better crystalline behavior. Fourier transform infrared spectroscopy suggests that films on both substrates have mixed spinel structure. These films show magnetic hysteresis behavior and magnetization value of film on Si (100) is larger than that on Si (111). It turns out that structural and magnetic properties of these two films are correlated.

  8. Composition dependences of crystal structure and electrical properties of epitaxial Pb(Zr,Ti)O3 films grown on Si and SrTiO3 substrates

    Science.gov (United States)

    Okamoto, Shoji; Okamoto, Satoshi; Yokoyama, Shintaro; Akiyama, Kensuke; Funakubo, Hiroshi

    2016-10-01

    {100}-oriented Pb(Zr x ,Ti1- x )O3 (PZT) thin films of approximately 2 µm thickness and Zr/(Zr + Ti) ratios of 0.39-0.65 were epitaxially grown on (100)cSrRuO3//(100)SrTiO3 (STO) and (100)cSrRuO3//(100)cLaNiO3//(100)CeO2//(100)YSZ//(100)Si (Si) substrates having different thermal expansion coefficients by pulsed metal-organic chemical vapor deposition (MOCVD). The effects of Zr/(Zr + Ti) ratio and type of substrate on the crystal structure and dielectric, ferroelectric and piezoelectric properties of the films were systematically investigated. The X-ray diffraction measurement showed that both films changed from having a tetragonal symmetry to rhombohedral symmetry through the coexisting region with increasing Zr/(Zr + Ti) ratio. This region showed the Zr/(Zr + Ti) ratios of 0.45-0.59 for the films on the STO substrates that were wider than the films on the Si substrates. Saturation polarization values were minimum at approximately Zr/(Zr + Ti) = 0.50 for the films on the STO substrates, and no obvious Zr/(Zr + Ti) ratio dependence was detected in the films on the Si substrates. On the other hand, the maximum field-induced strain values measured by scanning force microscopy at approximately Zr/(Zr + Ti) = 0.50 at 100 kV/cm were about 0.5 and 0.1% in the films on the Si and STO, respectively.

  9. Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence

    International Nuclear Information System (INIS)

    Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.

    2013-01-01

    Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)

  10. The optical properties and applications of AlN thin films prepared by a helicon sputtering system

    CERN Document Server

    Chiu, W Y; Kao, H L; Jeng, E S; Chen, J S; Jaing, C C

    2002-01-01

    AlN thin films were grown on SiO sub 2 /Si and quartz substrates using a helicon sputtering system. The dependence of film quality on growth parameters, such as total sputtering pressure, substrate temperature, and nitrogen concentration has been studied. There is a good correlation of thin film crystallinity addressed by x-ray diffraction (XRD) and spectroscopic ellipsometer. The optimized films exhibit highly oriented, with only (002) peak shown in a theta-2 theta scan XRD pattern, and extremely smooth surface with rms roughness of 2 Aa. The extinction coefficient of the film was 4x10 sup - sup 4 , which is lower than that of AlN films grown by conventional sputtering. Double-layer antireflection (DLAR) coating using AlN and Al sub 3 O sub 3 grown on quartz has been demonstrated. The transmittance of DLAR was high as 96% compared to 93% of bare substrates with the measurement error less than 0.2%. AlN films prepared by Helicon sputtering thus are potential for optical application.

  11. Ion beam synthesis and characterization of large area 3C-SiC pseudo substrates for homo- and heteroepitaxy; Ionenstrahlsynthese und Charakterisierung grossflaechiger 3C-SiC-Pseudosubstrate fuer die Homo- und Heteroepitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Haeberlen, Maik

    2006-12-15

    In this work, large area epitaxial 3C-SiC films on Si(100) and Si(111) were formed by ion beam synthesis and subsequently characterized for their structural and crystalline properties. These SiC/Si structures are meant to be used as SiC pseudosubstrates for the homo- and heteroepitaxial growth of other compound semiconductors. The suitability of these pseudosubstrates for this purpose was tested using various epitaxial systems and thin film growth methods. For this the homoepitaxial growth of 3C-SiC employing C{sub 60}-MBE and the heteroepitaxial growth of hexagonal GaN films grown by MOCVD and IBAMBA was studied in detail. The comparison of the structural and crystalline properties with data from literature enabled a qualified judgement of the potential of the 3C-SiC pseudosubstrates as an alternative substrate for the epitaxial growth of such films. These new 3C-SiC pseudosubstrates also enabled studies of other little known epitaxial systems: For the first time hexagonal ZnO films on (111) oriented pseudosubstrates were grown using PLD. The method if IBAMBE enabled the growth of cubic GaN layers on (100)-oriented pseudosubstrates. (orig.)

  12. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  13. Target swapping in PLD: An efficient approach for CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} nanocomposite thin films with enhanced luminescent properties

    Energy Technology Data Exchange (ETDEWEB)

    Saxena, Nupur, E-mail: n1saxena@gmail.com [Department of Physics & Astrophysics, University of Delhi, Delhi 110007 (India); Kumar, Pragati, E-mail: pkumar.phy@gmail.com [Department of Physics & Astrophysics, University of Delhi, Delhi 110007 (India); Department of Nano Sciences and Materials, Central University of Jammu, Rahya-Suchani (Bagla), Samba, 181143 Jammu, J& K (India); Gupta, Vinay [Department of Physics & Astrophysics, University of Delhi, Delhi 110007 (India)

    2017-06-15

    A novel synthesis method for luminescent and by-products (like CdO) free CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} (i.e. 1%Ag doped CdS/SiO{sub 2}) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS, CdS:Ag(1%) and SiO{sub 2} are used to deposit CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} nanocomposite thin films by swapping them at a frequency ratio of 2:8 laser pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO{sub 2} in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (i.e. 2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS/ CdS:Ag(1%) nanocrystals in nanocomposite systems after annealing at 500 °C. Highly intense and broad red emission is achieved from CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} nanocomposites. The efficiencies of emission from pristine CdS:SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} nanocomposites are found to be enhanced by approximately two times as compared to sole nanocrystalline CdS and CdS:Ag(1%) thin films respectively and further enhanced upto 7 times on annealing the nanocomposite systems at 500 °C. - Graphical abstract: A modified synthesis method for luminescent and by-products (like CdO) free undoped &1% Ag doped CdS/SiO{sub 2} (deposit CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2}) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS or CdS:Ag(1%) and SiO{sub 2} are used to deposit CdS/SiO{sub 2} and CdS:Ag(1%)/SiO{sub 2} nanocomposite thin films by swapping them at a frequency of 2:8 pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO{sub 2} in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS nanocrystals in nanocomposite systems after annealing at 500 °C. Intense and broad red emission is

  14. Temperature stability of c-axis oriented LiNbO{sub 3}/SiO{sub 2}/Si thin film layered structures

    Energy Technology Data Exchange (ETDEWEB)

    Tomar, Monika [Department of Physics and Astrophysics, University of Delhi, Delhi (India)]. E-mail: mtomar@physics.du.ac.in; monikatomar@rediffmail.com; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K. [Department of Physics and Astrophysics, University of Delhi, Delhi (India)

    2001-08-07

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO{sub 3} thin film layered structures on passivated silicon (SiO{sub 2}/Si) substrate with and without a non-piezoelectric SiO{sub 2} overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO{sub 2} overlayer on LiNbO{sub 3} film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K{sup 2}=3.45% and a zero TCD can be obtained in the SiO{sub 2}/LiNbO{sub 3}/SiO{sub 2}/Si structure with a 0.235{lambda} thick LiNbO{sub 3} layer sandwiched between 0.1{lambda} thick SiO{sub 2} layers. (author)

  15. Oxidation behavior of arc evaporated Al-Cr-Si-N thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tritremmel, Christian; Daniel, Rostislav; Mitterer, Christian; Mayrhofer, Paul H.; Lechthaler, Markus; Polcik, Peter [Christian Doppler Laboratory for Advanced Hard Coatings, Department of Physical Metallurgy and Materials Testing, Montanuniversitaet Leoben, Franz-Josef-Strasse 18, A-8700 Leoben (Austria); Christian Doppler Laboratory for Application Oriented Coating Development, Department of Physical Metallurgy and Materials Testing, Montanuniversitaet Leoben, Franz-Josef-Strasse 18, A-8700 Leoben (Austria); OC Oerlikon Balzers AG, Iramali 18, LI-9496 Balzers (Liechtenstein); PLANSEE Composite Materials GmbH, Siebenbuergerstrasse 23, D-86983 Lechbruck am See (Germany)

    2012-11-15

    The impact of Al and Si on the oxidation behavior of Al-Cr-(Si)-N thin films synthesized by arc evaporation of powder metallurgically prepared Al{sub x}Cr{sub 1-x} targets with x = Al/(Al + Cr) of 0.5, 0.6, and 0.7 and (Al{sub 0.5}Cr{sub 0.5}){sub 1-z}Si{sub z} targets with Si contents of z = 0.05, 0.1, and 0.2 in N{sub 2} atmosphere was studied in detail by means of differential scanning calorimetry, thermogravimetric analysis (TGA), x-ray diffraction, and Raman spectroscopy. Dynamical measurements in synthetic air (up to 1440 Degree-Sign C) revealed the highest onset temperature of pronounced oxidation for nitride coatings prepared from the Al{sub 0.4}Cr{sub 0.4}Si{sub 0.2} target. Isothermal TGA at 1100, 1200, 1250, and 1300 Degree-Sign C highlight the pronounced improvement of the oxidation resistance of Al{sub x}Cr{sub 1-x}N coatings by the addition of Si. The results show that Si promotes the formation of a dense coating morphology as well as a dense oxide scale when exposed to air.

  16. Development of a tensile-stress-induced anisotropy in amorphous magnetic thin films

    International Nuclear Information System (INIS)

    Mandal, K.; Vazquez, M.; Garcia, D.; Castano, F.J.; Prados, C.; Hernando, A.

    2000-01-01

    Magnetic anisotropy was induced in positive magnetostrictive Fe 80 B 20 and negative magnetostrictive Co 75 Si 15 B 10 thin films by developing a tensile stress within the samples. The films were grown on the concave surfaces of mechanically bowed glass substrates. On releasing the substrates from the substrate holders, a tensile stress was developed within the samples that modified the domain structure. As a result of it, a magnetic easy axis parallel to the direction of the stress was induced in FeB sample whereas in CoSiB sample the induced easy axis was perpendicular to the direction of the developed stress. To produce magnetic multilayers with crossed anisotropy, FeB/CoSiB bilayers and FeB/Cu/CoSiB trilayers were grown on bowed substrates. The study of magnetic properties of the multilayers indicates the development of crossed anisotropy within them, particularly when the magnetic layers are separated by a nonmagnetic Cu layer

  17. Dynamic magnetization of NiZn ferrite doped FeSiAl thin films fabricated by oblique sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Zhong, Xiaoxi, E-mail: xiaoxi.zhong@gmail.com [Sichuan Province Key Laboratory of Information Materials and Devices Application, Chengdu University of Information Technology, Chengdu 610225 (China); Phuoc, Nguyen N. [Temasek Laboratories, National University of Singapore, 5A Engineering Drive 2, Singapore 117411 (Singapore); Soh, Wee Tee [Center for Superconducting and Magnetic Materials, Department of Physics, National University of Singapore, 2 Science Drive 3, Singapore 117542 (Singapore); Ong, C.K. [Temasek Laboratories, National University of Singapore, 5A Engineering Drive 2, Singapore 117411 (Singapore); Center for Superconducting and Magnetic Materials, Department of Physics, National University of Singapore, 2 Science Drive 3, Singapore 117542 (Singapore); Li, Lezhong [Sichuan Province Key Laboratory of Information Materials and Devices Application, Chengdu University of Information Technology, Chengdu 610225 (China)

    2017-06-15

    Highlights: • We prepared NiZn ferrite doped FeSiAl-based thin films using oblique deposition technique. • The magnetic properties of FeSiAl-based thin films were systematically studied. • Two ferromagnetic resonance peaks were observed in the permeability spectra. • The thermal stability of microwave properties of FeSiAl-based films was studied. • The thermal stability of properties we studied was relatively good. - Abstract: In this study, we comprehensively investigate the dynamic magnetic properties of FeSiAl-NiZnFeO thin films prepared by the oblique deposition method via a shorted microstrip perturbation technique. For the films with higher oblique angle and NiZn ferrite doping amount, there are two ferromagnetic resonance peaks observed in the permeability spectra, and both of the two peaks originate from FeSiAl. Furthermore, the magnetic anisotropy field H{sub K} of the ferromagnetic resonance peak at higher frequency is enhanced with increasing doping amount, which is interpreted in terms of the contribution of reinforced stress-induced anisotropy and shape anisotropy brought about by doping elements and oblique sputtering method. In addition, the thermal stability of the ferromagnetic resonance frequency f{sub FMR} of FeSiAl-NiZnFeO films with oblique angles of 35° and 45° with respect to temperature ranging from 300 K to 420 K is deteriorated with increasing ferrite doping amount, which is mainly ascribed to the influence of pair-ordering anisotropy and/or the reduction of the FeSiAl grain size.

  18. Effect of oxygen partial pressure on the density of antiphase boundaries in Fe3O4 thin films on Si(100)

    Science.gov (United States)

    Singh, Suraj Kumar; Husain, Sajid; Kumar, Ankit; Chaudhary, Sujeet

    2018-02-01

    Polycrystalline Fe3O4 thin films were grown on Si(100) substrate by reactive DC sputtering at different oxygen partial pressures PO2 for controlling the growth associated density of antiphase boundaries (APBs). The micro-Raman analyses were performed to study the structural and electronic properties in these films. The growth linked changes in the APBs density are probed by electron-phonon coupling strength (λ) and isothermal magnetization measurements. The estimated values of λ are found to vary from 0.39 to 0.56 with the increase in PO2 from 2.2 × 10-5 to 3.0 × 10-5 Torr, respectively. The saturation magnetization (saturation field) values are found to increase (decrease) from 394 (5.9) to 439 (3.0) emu/cm3 (kOe) with the increase in PO2 . The sharp Verwey transition (∼120 K), low saturation field, high saturation magnetization and low value of λ (comparable to the bulk value ∼0.51) clearly affirm the negligible amount of APBs in the high oxygen partial pressure deposited thin films.

  19. Structural and morphological properties of ITO thin films grown by magnetron sputtering

    Science.gov (United States)

    Ghorannevis, Z.; Akbarnejad, E.; Ghoranneviss, M.

    2015-10-01

    Physical properties of transparent and conducting indium tin oxide (ITO) thin films grown by radiofrequency (RF) magnetron sputtering are studied systematically by changing deposition time. The X-ray diffraction (XRD) data indicate polycrystalline thin films with grain orientations predominantly along the (2 2 2) and (4 0 0) directions. From atomic force microscopy (AFM) it is found that by increasing the deposition time, the roughness of the film increases. Scanning electron microscopy (SEM) images show a network of a high-porosity interconnected nanoparticles, which approximately have a pore size ranging between 20 and 30 nm. Optical measurements suggest an average transmission of 80 % for the ITO films. Sheet resistances are investigated using four-point probes, which imply that by increasing the film thickness the resistivities of the films decrease to 2.43 × 10-5 Ω cm.

  20. Polycrystalline Mg2Si thin films: A theoretical investigation of their electronic transport properties

    International Nuclear Information System (INIS)

    Balout, H.; Boulet, P.; Record, M.-C.

    2015-01-01

    The electronic structures and thermoelectric properties of a polycrystalline Mg 2 Si thin film have been investigated by first-principle density-functional theory (DFT) and Boltzmann transport theory calculations within the constant-relaxation time approximation. The polycrystalline thin film has been simulated by assembling three types of slabs each having the orientation (001), (110) or (111) with a thickness of about 18 Å. The effect of applying the relaxation procedure to the thin film induces disorder in the structure that has been ascertained by calculating radial distribution functions. For the calculations of the thermoelectric properties, the energy gap has been fixed at the experimental value of 0.74 eV. The thermoelectric properties, namely the Seebeck coefficient, the electrical conductivity and the power factor, have been determined at three temperatures of 350 K, 600 K and 900 K with respect to both the energy levels and the p-type and n-type doping levels. The best Seebeck coefficient is obtained at 350 K: the S yy component of the tensor amounts to about ±1000 μV K −1 , depending on the type of charge carriers. However, the electrical conductivity is much too small which results in low values of the figure of merit ZT. Structure–property relationship correlations based on directional radial distribution functions allow us to tentatively draw some explanations regarding the anisotropy of the electrical conductivity. Finally, the low ZT values obtained for the polycrystalline Mg 2 Si thin film are paralleled with those recently reported in the literature for bulk chalcogenide glasses. - Graphical abstract: Structure of the polycrystalline thin film of Mg 2 Si. - Author-Highlights: • Polycrystalline Mg 2 Si film has been modelled by DFT approach. • Thermoelectric properties have been evaluated by semi-classical Boltzmann theory. • The structure was found to be slightly disordered after relaxation. • The highest value of Seebeck

  1. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  2. Persistent photocurrent and deep level traps in PLD-grown In-Ga-Zn-O thin films studied by thermally stimulated current spectroscopy

    Science.gov (United States)

    Wang, Buguo; Anders, Jason; Leedy, Kevin; Schuette, Michael; Look, David

    2018-02-01

    InGaZnO (IGZO) is a promising semiconductor material for thin-film transistors (TFTs) used in DC and RF switching applications, especially since it can be grown at low temperatures on a wide variety of substrates. Enhancement-mode TFTs based on IGZO thin films grown by pulsed laser deposition (PLD) have been recently fabricated and these transistors show excellent performance; however, compositional variations and defects can adversely affect film quality, especially in regard to electrical properties. In this study, we use thermally stimulated current (TSC) spectroscopy to characterize the electrical properties and the deep traps in PLD-grown IGZO thin films. It was found that the as-grown sample has a DC activation energy of 0.62 eV, and two major traps with activation energies at 0.16-0.26 eV and at 0.90 eV. However, a strong persistent photocurrent (PPC) sometimes exists in the as-grown sample, so we carry out post-growth annealing in an attempt to mitigate the effect. It was found that annealing in argon increases the conduction, produces more PPC and also makes more traps observable. Annealing in air makes the film more resistive, and removes PPC and all traps but one. This work demonstrates that current-based trap emission, such as that associated with the TSC, can effectively reveal electronic defects in highlyresistive semiconductor materials, especially those are not amenable to capacitance-based techniques, such as deeplevel transient spectroscopy (DLTS).

  3. A possibility of enhancing Jc in MgB2 film grown on metallic hastelloy tape with the use of SiC buffer layer

    International Nuclear Information System (INIS)

    Putri, W. B. K.; Kang, B.; Ranot, M.; Lee, J. H.; Kang, W. N.

    2014-01-01

    We have grown MgB 2 on SiC buffer layer by using metallic Hastelloy tape as the substrate. Hastelloy tape was chosen for its potential practical applications, mainly in the power cable industry. SiC buffer layers were deposited on Hastelloy tapes at 400, 500, and 600 degrees C by using a pulsed laser deposition method, and then by using a hybrid physical-chemical vapor deposition technique, MgB 2 films were grown on the three different SiC buffer layers. An enhancement of critical current density values were noticed in the MgB 2 films on SiC/Hastelloy deposited at 500 and 600 degrees C. From the surface analysis, smaller and denser grains of MgB 2 tapes are likely to cause this enhancement. This result infers that the addition of SiC buffer layers may contribute to the improvement of superconducting properties of MgB 2 tapes.

  4. Using MDECR-PECVD to study the impact of ion bombardment energy on microstructural properties of μc-Si:H thin film grown from an SiF{sub 4}/H{sub 2} chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Junkang; Florea, Ileana; Bulkin, Pavel V.; Maurice, Jean-Luc; Johnson, Erik V. [LPICM, CNRS, Ecole Polytechnique, Universite Paris Saclay, 91128 Palaiseau (France)

    2016-12-15

    The matrix-distributed electron cyclotron resonance plasma-enhanced chemical vapor deposition (MDECR-PECVD) technique has been shown to achieve high deposition rates for hydrogenated microcrystalline silicon (μc-Si:H) thin film. Due to the fact that plasma is sustained by a microwave discharge, by biasing the substrate holder with additional power supply, one can achieve independent control over the plasma density and the maximum ion bombardment energy (IBE). In this work, we present studies of the impact of IBE on the microstructural properties of the μc-Si:H film deposited by MDECR-PECVD. Insufficient ion bombardment is found to be responsible for the substantial presence of nano-porous regions within the material, resulting in significant post-deposition oxidation. Good agreement between transmission electron microscopy (TEM) Fresnel contrast analysis and the results of infrared absorption and hydrogen effusion measurements for the deposited films suggest that moderate IBE is of vital importance to achieve high quality μc-Si:H. In doing so, denser films with significantly decreased nano-porous regions and better stability are obtained, which is of great interest to optimize the process parameters for solar cell applications. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Epitaxial growth of InP on SI by MOCVD

    International Nuclear Information System (INIS)

    Konushi, F.; Seki, A.; Kudo, J.; Sato, H.; Kakimoto, S.; Fukushima, T.; Kubota, Y.; Koba, M.

    1988-01-01

    The authors have studied the heteroepitaxial growth of InP on large diameter Si substrates using MOCVD. A new MOCVD system with four inch wafer size capability was utilized in the growth. Single domain InP films have been successfully grown on four inch Si substrates by using a new heterostructure with a thin GaAs intermediate layer. In this paper, the authors describe the crystalline quality and residual stress of InP epilayers, estimated by etch pit density and x-ray diffraction, respectively. The authors also reports on the reduction of EPD by post-growth annealing

  6. The atomic structure of the Si(111)-Pb buried interface grown on the Si(111)-(#sq root#3 x #sq root#3)-Pb reconstruction

    DEFF Research Database (Denmark)

    Howes, P.B.; Edwards, K.A.; Macdonald, J.E.

    1998-01-01

    that there are structural differences between the buried interfaces. We present surface X-ray diffraction measurements of the interface grown from the incommensurate Si(111)-(root 3 x root 3)-R30 degrees-Pb reconstruction and show that, in contrast to the starting surface, the interface comprises the junction between...

  7. Inverted fractal analysis of TiO{sub x} thin layers grown by inverse pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Égerházi, L., E-mail: egerhazi.laszlo@gmail.com [University of Szeged, Faculty of Medicine, Department of Medical Physics and Informatics, Korányi fasor 9., H-6720 Szeged (Hungary); Smausz, T. [University of Szeged, Faculty of Science, Department of Optics and Quantum Electronics, Dóm tér 9., H-6720 Szeged (Hungary); Bari, F. [University of Szeged, Faculty of Medicine, Department of Medical Physics and Informatics, Korányi fasor 9., H-6720 Szeged (Hungary)

    2013-08-01

    Inverted fractal analysis (IFA), a method developed for fractal analysis of scanning electron microscopy images of cauliflower-like thin films is presented through the example of layers grown by inverse pulsed laser deposition (IPLD). IFA uses the integrated fractal analysis module (FracLac) of the image processing software ImageJ, and an objective thresholding routine that preserves the characteristic features of the images, independently of their brightness and contrast. IFA revealed f{sub D} = 1.83 ± 0.01 for TiO{sub x} layers grown at 5–50 Pa background pressures. For a series of images, this result was verified by evaluating the scaling of the number of still resolved features on the film, counted manually. The value of f{sub D} not only confirms the fractal structure of TiO{sub x} IPLD thin films, but also suggests that the aggregation of plasma species in the gas atmosphere may have only limited contribution to the deposition.

  8. Ion-beam mixed ultra-thin cobalt suicide (CoSi2) films by cobalt sputtering and rapid thermal annealing

    Science.gov (United States)

    Kal, S.; Kasko, I.; Ryssel, H.

    1995-10-01

    The influence of ion-beam mixing on ultra-thin cobalt silicide (CoSi2) formation was investigated by characterizing the ion-beam mixed and unmixed CoSi2 films. A Ge+ ion-implantation through the Co film prior to silicidation causes an interface mixing of the cobalt film with the silicon substrate and results in improved silicide-to-silicon interface roughness. Rapid thermal annealing was used to form Ge+ ion mixed and unmixed thin CoSi2 layer from 10 nm sputter deposited Co film. The silicide films were characterized by secondary neutral mass spectroscopy, x-ray diffraction, tunneling electron microscopy (TEM), Rutherford backscattering, and sheet resistance measurements. The experi-mental results indicate that the final rapid thermal annealing temperature should not exceed 800°C for thin (micrographs of the ion-beam mixed and unmixed CoSi2 films reveals that Ge+ ion mixing (45 keV, 1 × 1015 cm-2) produces homogeneous silicide with smooth silicide-to-silicon interface.

  9. Electrical properties of SrBi2Ta2O9 thin films deposited on Si (100) substrates by rf magnetron sputtering

    International Nuclear Information System (INIS)

    Roy, A.; Jha, G.; Dhar, A.; Ray, S.K.; Manna, I.

    2008-01-01

    Recently, metal-ferroelectric-semiconductor (MFS) structures have attracted much attention because of its potentials as nonvolatile memory device with nondestructive readout operation. In the present study ferroelectric SrBi 2 Ta 2 O 9 (SBT) thin films are grown on p-type (100) Si substrates by rf magnetron sputtering method at different deposition conditions. The crystallinity of the films is studied using grazing incidence X-ray diffraction (GIXRD) pattern. The spectra show the film are polycrystalline with dominant orientation along (115) plane. The capacitance-voltage (C-V) characteristics of Al/SBT/Si capacitors were measured at 100 kHz. The (C-V) characteristic of AI/SBT/Si capacitor post-annealed at 700-800 deg C shows a hysteresis nature with a clockwise rotation and the memory window of the hysteresis loop is 0.88 V when the gate voltage is ± 5 V. The interface trap density (D it ) calculated by using Hills method at room temperature and a value in the order of 10 11 -10 12 eV -1 cm -2 was found at mid gap region depending on the crystallization temperature. The surface morphology was investigated by atomic force microscope (AFM). The study showed the potential of SBT for application in metal- ferroelectric-silicon nonvolatile memory devices. (author)

  10. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  11. Thermal stability of Ti3SiC2 thin films

    International Nuclear Information System (INIS)

    Emmerlich, Jens; Music, Denis; Eklund, Per; Wilhelmsson, Ola; Jansson, Ulf; Schneider, Jochen M.; Hoegberg, Hans; Hultman, Lars

    2007-01-01

    The thermal stability of Ti 3 SiC 2 (0 0 0 1) thin films is studied by in situ X-ray diffraction analysis during vacuum furnace annealing in combination with X-ray photoelectron spectroscopy, transmission electron microscopy and scanning transmission electron microscopy with energy dispersive X-ray analysis. The films are found to be stable during annealing at temperatures up to ∼1000 deg. C for 25 h. Annealing at 1100-1200 deg. C results in the rapid decomposition of Ti 3 SiC 2 by Si out-diffusion along the basal planes via domain boundaries to the free surface with subsequent evaporation. As a consequence, the material shrinks by the relaxation of the Ti 3 C 2 slabs and, it is proposed, by an in-diffusion of O into the empty Si-mirror planes. The phase transformation process is followed by the detwinning of the as-relaxed Ti 3 C 2 slabs into (1 1 1)-oriented TiC 0.67 layers, which begin recrystallizing at 1300 deg. C. Ab initio calculations are provided supporting the presented decomposition mechanisms

  12. Si-based thin film coating on Y-TZP: Influence of deposition parameters on adhesion of resin cement

    Energy Technology Data Exchange (ETDEWEB)

    Queiroz, José Renato Cavalcanti, E-mail: joserenatocq@hotmail.com [Potiguar University, Department of Biotechnology, Natal (Brazil); Nogueira Junior, Lafayette [São Paulo State University, Department of Prosthodontics and Dental Materials, São José dos Campos (Brazil); Massi, Marcos [Federal University of São Paulo, Institute of Science and Technology, São José dos Campos (Brazil); Silva, Alecssandro de Moura; Bottino, Marco Antonio [São Paulo State University, Department of Prosthodontics and Dental Materials, São José dos Campos (Brazil); Sobrinho, Argemiro Soares da Silva [Technological Institute of Aeronautics, Department of Physics, São José dos Campos (Brazil); Özcan, Mutlu [University of Zurich, Dental Materials Unit, Center for Dental and Oral Medicine, Clinic for Fixed and Removable Prosthodontics and Dental Materials Science, Zurich (Switzerland)

    2013-10-01

    This study evaluated the influence of deposition parameters for Si-based thin films using magnetron sputtering for coating zirconia and subsequent adhesion of resin cement. Zirconia ceramic blocks were randomly divided into 8 groups and specimens were either ground finished and polished or conditioned using air-abrasion with alumina particles coated with silica. In the remaining groups, the polished specimens were coated with Si-based film coating with argon/oxygen magnetron discharge at 8:1 or 20:1 flux. In one group, Si-based film coating was performed on air-abraded surfaces. After application of bonding agent, resin cement was bonded. Profilometry, goniometry, Energy Dispersive X-ray Spectroscopy and Rutherford Backscattering Spectroscopy analysis were performed on the conditioned zirconia surfaces. Adhesion of resin cement to zirconia was tested using shear bond test and debonded surfaces were examined using Scanning Electron Microscopy. Si-based film coating applied on air-abraded rough zirconia surfaces increased the adhesion of the resin cement (22.78 ± 5.2 MPa) compared to those of other methods (0–14.62 MPa) (p = 0.05). Mixed type of failures were more frequent in Si film coated groups on either polished or air-abraded groups. Si-based thin films increased wettability compared to the control group but did not change the roughness, considering the parameters evaluated. Deposition parameters of Si-based thin film and after application of air-abrasion influenced the initial adhesion of resin cement to zirconia.

  13. Si-based thin film coating on Y-TZP: Influence of deposition parameters on adhesion of resin cement

    International Nuclear Information System (INIS)

    Queiroz, José Renato Cavalcanti; Nogueira Junior, Lafayette; Massi, Marcos; Silva, Alecssandro de Moura; Bottino, Marco Antonio; Sobrinho, Argemiro Soares da Silva; Özcan, Mutlu

    2013-01-01

    This study evaluated the influence of deposition parameters for Si-based thin films using magnetron sputtering for coating zirconia and subsequent adhesion of resin cement. Zirconia ceramic blocks were randomly divided into 8 groups and specimens were either ground finished and polished or conditioned using air-abrasion with alumina particles coated with silica. In the remaining groups, the polished specimens were coated with Si-based film coating with argon/oxygen magnetron discharge at 8:1 or 20:1 flux. In one group, Si-based film coating was performed on air-abraded surfaces. After application of bonding agent, resin cement was bonded. Profilometry, goniometry, Energy Dispersive X-ray Spectroscopy and Rutherford Backscattering Spectroscopy analysis were performed on the conditioned zirconia surfaces. Adhesion of resin cement to zirconia was tested using shear bond test and debonded surfaces were examined using Scanning Electron Microscopy. Si-based film coating applied on air-abraded rough zirconia surfaces increased the adhesion of the resin cement (22.78 ± 5.2 MPa) compared to those of other methods (0–14.62 MPa) (p = 0.05). Mixed type of failures were more frequent in Si film coated groups on either polished or air-abraded groups. Si-based thin films increased wettability compared to the control group but did not change the roughness, considering the parameters evaluated. Deposition parameters of Si-based thin film and after application of air-abrasion influenced the initial adhesion of resin cement to zirconia.

  14. Effect of silicon on wheat seedlings (Triticum turgidum L.) grown in hydroponics and exposed to 0 to 30 µM Cu.

    Science.gov (United States)

    Keller, C; Rizwan, M; Davidian, J-C; Pokrovsky, O S; Bovet, N; Chaurand, P; Meunier, J-D

    2015-04-01

    Aqueous Si limits Cu uptake by a Si-accumulating plant via physicochemical mechanisms occurring at the root level. Sufficient Si supply may alleviate Cu toxicity in Cu-contaminated soils. Little information is available on the role of silicon (Si) in copper (Cu) tolerance while Cu toxicity is widespread in crops grown on Cu-contaminated soils. A hydroponic study was set up to investigate the influence of Si on Cu tolerance in durum wheat (Triticum turgidum L.) grown in 0, 0.7, 7.0 and 30 µM Cu without and with 1.0 mM Si, and to identify the mechanisms involved in mitigation of Cu toxicity. Si supply alleviated Cu toxicity in durum wheat at 30 µM Cu, while Cu significantly increased Si concentration in roots. Root length, photosynthetic pigments concentrations, macroelements, and organic anions (malate, acetate and aconitate) in roots, were also increased. Desorption experiments, XPS analysis of the outer thin root surface (≤100 Å) and µXRF analyses showed that Si increased adsorption of Cu at the root surface as well as Cu accumulation in the epidermis while Cu was localised in the central cylinder when Si was not applied. Copper was not detected in phytoliths. This study provides evidences for Si-mediated alleviation of Cu toxicity in durum wheat. It also shows that Si supplementation to plants exposed to increasing levels of Cu in solution induces non-simultaneous changes in physiological parameters. We propose a three-step mechanism occurring mainly at the root level and limiting Cu uptake and translocation to shoots: (i) increased Cu adsorption onto the outer thin layer root surface and immobilisation in the vicinity of root epidermis, (ii) increased Cu complexation by both inorganic and organic anions such as aconitate and, (iii) limitation of translocation through an enhanced thickening of a Si-loaded endodermis.

  15. Sulfide and Oxide Heterostructures For the SrTiO3 Thin Film Growth on Si and Their Structural and Interfacial Stabilities

    Science.gov (United States)

    Yoo, Young‑Zo; Song, Jeong‑Hwan; Konishi, Yoshinori; Kawasaki, Masashi; Koinuma, Hideomi; Chikyow, Toyohiro

    2006-03-01

    Epitaxial SrTiO3 (STO) thin films with high electrical properties were grown on Si using ZnS single- and SrS/MnS hetero-buffer layers. STO films on both ZnS-buffered and SrS/MnS-buffered Si showed two growth orientations, (100) and (110). The temperature dependence of the growth orientation for STO films was different for the ZnS single-buffer layer in comparison with the SrS/MnS heterobuffer layers. (100) growth of STO films on SrS/MnS-buffered Si became dominant at high temperatures about 700 °C, while (100) growth of STO films on ZnS-buffered Si became dominant at a relatively low growth temperature of 550 °C. STO(100) films on ZnS-buffered and SrS/MnS-buffered Si showed lattice and domain matches for epitaxial relationships with [001]ZnS\\parallel[011]STO and SrS[001]\\parallel[011]STO, respectively via 45° in-plane rotation of STO films relative to both ZnS and SrS layers. The ZnS buffer layer contained many stacking faults because of the mismatch between ZnS and Si, however, those defects were terminated at the ZnS/STO interface. In contrast, the MnS buffer was very stable against stacking defect formation. Transmission electron microscopy measurements revealed the presence of a disordered region at the ZnS/Si and MnS/Si interfaces. Auger electron spectroscopy and transmission electron microscopy results showed that a good MnS/Si interface at the initial growth stage degraded to a SiS2-x-rich phase during MnS deposition and again into a SiO2-x-rich phase during STO deposition at the high growth temperature of 700 °C. It was also observed that STO on SrS/MnS-buffered Si showed a markedly high dielectric constant compared with that of STO on ZnS-buffered Si.

  16. Li4SiO4-Based Artificial Passivation Thin Film for Improving Interfacial Stability of Li Metal Anodes.

    Science.gov (United States)

    Kim, Ji Young; Kim, A-Young; Liu, Guicheng; Woo, Jae-Young; Kim, Hansung; Lee, Joong Kee

    2018-03-14

    An amorphous SiO 2 (a-SiO 2 ) thin film was developed as an artificial passivation layer to stabilize Li metal anodes during electrochemical reactions. The thin film was prepared using an electron cyclotron resonance-chemical vapor deposition apparatus. The obtained passivation layer has a hierarchical structure, which is composed of lithium silicide, lithiated silicon oxide, and a-SiO 2 . The thickness of the a-SiO 2 passivation layer could be varied by changing the processing time, whereas that of the lithium silicide and lithiated silicon oxide layers was almost constant. During cycling, the surface of the a-SiO 2 passivation layer is converted into lithium silicate (Li 4 SiO 4 ), and the portion of Li 4 SiO 4 depends on the thickness of a-SiO 2 . A minimum overpotential of 21.7 mV was observed at the Li metal electrode at a current density of 3 mA cm -2 with flat voltage profiles, when an a-SiO 2 passivation layer of 92.5 nm was used. The Li metal with this optimized thin passivation layer also showed the lowest charge-transfer resistance (3.948 Ω cm) and the highest Li ion diffusivity (7.06 × 10 -14 cm 2 s -1 ) after cycling in a Li-S battery. The existence of the Li 4 SiO 4 artificial passivation layer prevents the corrosion of Li metal by suppressing Li dendritic growth and improving the ionic conductivity, which contribute to the low charge-transfer resistance and high Li ion diffusivity of the electrode.

  17. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO{sub 2}/Si interfaces with low defect densities

    Energy Technology Data Exchange (ETDEWEB)

    Stegemann, Bert, E-mail: bert.stegemann@htw-berlin.de [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Gad, Karim M. [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Balamou, Patrice [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Sixtensson, Daniel [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Vössing, Daniel; Kasemann, Martin [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Angermann, Heike [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany)

    2017-02-15

    Highlights: • Fabrication of ultrathin SiO{sub 2} tunnel layers on c-Si. • Correlation of electronic and chemical SiO{sub 2}/Si interface properties revealed by XPS/SPV. • Chemically abrupt SiO{sub 2}/Si interfaces generate less interface defect states considerable. - Abstract: Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO{sub 2}/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO{sub 2}/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO{sub 2}/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO{sub 2}/Si interfaces have been shown to generate less interface defect states.

  18. Influence of deposition temperature on the structural and morphological properties of Be3N2 thin films grown by reactive laser ablation

    International Nuclear Information System (INIS)

    Chale-Lara, F.; Farias, M.H.; De la Cruz, W.; Zapata-Torres, M.

    2010-01-01

    Be 3 N 2 thin films have been grown on Si(1 1 1) substrates using the pulsed laser deposition method at different substrate temperatures: room temperature (RT), 200 deg. C, 400 deg. C, 600 deg. C and 700 deg. C. Additionally, two samples were deposited at RT and were annealed after deposition in situ at 600 deg. C and 700 deg. C. In order to obtain the stoichiometry of the samples, they have been characterized in situ by X-ray photoelectron (XPS) and reflection electron energy loss spectroscopy (REELS). The influence of the substrate temperature on the morphological and structural properties of the films was investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD). The results show that all prepared films presented the Be 3 N 2 stoichiometry. Formation of whiskers with diameters of 100-200 nm appears at the surface of the films prepared with a substrate temperature of 600 deg. C or 700 deg. C. However, the samples grown at RT and annealed at 600 deg. C or 700 deg. C do not show whiskers on the surface. The average root mean square (RMS) roughness and the average grain size of the samples grown with respect the substrate temperature is presented. The films grown with a substrate temperature between the room temperature to 400 deg. C, and the sample annealed in situ at 600 deg. C were amorphous; while the αBe 3 N 2 phase was presented on the samples with a substrate temperature of 600 deg. C, 700 deg. C and that deposited with the substrate at RT and annealed in situ at 700 deg. C.

  19. Effect of the stoichiometry of Si-rich silicon nitride thin films on their photoluminescence and structural properties

    Energy Technology Data Exchange (ETDEWEB)

    Torchynska, T.V., E-mail: ttorch@esfm.ipn.mx [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Casas Espinola, J.L. [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Vergara Hernandez, E. [UPIITA—Instituto Politecnico Nacional, Mexico DF 07320 (Mexico); Khomenkova, L., E-mail: khomen@ukr.net [V. Lashkaryov Institute of Semiconductor Physics, 45 Pr. Nauky, 03028 Kyiv (Ukraine); Delachat, F.; Slaoui, A. [ICube, 23 rue du Loess, BP 20 CR, 67037 Strasbourg Cedex 2 (France)

    2015-04-30

    Si-rich Silicon nitride films were grown on silicon substrates by plasma enhanced chemical vapor deposition. The film stoichiometry was controlled via the variation of NH{sub 3}/SiH{sub 4} ratio from 0.45 up to 1.0. Thermal annealing at 1100 °C for 30 min in the nitrogen flow was applied to form the Si nanocrystals in the films that have been investigated by means of photoluminescence and Raman scattering methods, as well as transmission electron microscopy. Several emission bands have been detected with the peak positions at: 2.8–3.0 eV, 2.5–2.7 eV, 2.10–2.25 eV, and 1.75–1.98 eV. The temperature dependences of photoluminescence spectra were studied with the aim to confirm the types of optical transitions and the nature of light emitting defects in silicon nitride. The former three bands were assigned to the defects in silicon nitride, whereas the last one (1.75–1.98 eV) was attributed to the exciton recombination inside of Si nanocrystals. The photoluminescence mechanism is discussed. - Highlights: • Substoichiometric silicon nitride films were grown by PECVD technique. • The variation of the NH{sub 3}/SiH{sub 4} ratio controls excess Si content in the films. • Both Si nanocrystals and amorphous Si phase were observed in annealed films. • Temperature evolution of carrier recombination via Si nanocrystals and host defects.

  20. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  1. Dielectric Scattering Patterns for Efficient Light Trapping in Thin-Film Solar Cells.

    Science.gov (United States)

    van Lare, Claire; Lenzmann, Frank; Verschuuren, Marc A; Polman, Albert

    2015-08-12

    We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.

  2. Modification of erbium photoluminescence decay rate due to ITO layers on thin films of SiO{sub 2}:Er doped with Si-nanoclusters

    Energy Technology Data Exchange (ETDEWEB)

    Wojdak, M., E-mail: m.wojdak@ucl.ac.uk [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Jayatilleka, H. [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario, Canada M5S 3G4 (Canada); Shah, M. [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Kenyon, A.J., E-mail: t.kenyon@ucl.ac.uk [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Gourbilleau, F.; Rizk, R. [Centre de Recherche sur les Ions, les Matériaux et la Photonique (CIMAP), ENSICAEN, CNRS, CEA/IRAMIS, Université de Caen, 14050 CAEN cedex (France)

    2013-04-15

    During the fabrication of MOS light emitting devices, the thin film of active material is usually characterized by photoluminescence measurements before electrical contacts are deposited. However, the presence of a conductive contact layer can alter the luminescent properties of the active material. The local optical density of states changes due to the proximity of luminescent species to the interface with the conductive medium (the top electrode), and this modifies the radiative rate of luminescent centers within the active layer. In this paper we report enhancement of the observed erbium photoluminescence rate after deposition of indium tin oxide contacts on thin films of SiO{sub 2}:Er containing silicon nanoclusters, and relate this to Purcell enhancement of the erbium radiative rate. -- Highlights: ► We studied photoluminescence of Er in SiO{sub 2} thin films doped with Si nanoclusters. ► Presence of ITO layer on the top enhances photoluminescence decay rate of Er. ► The effect depends on the thickness of active film. ► Radiative rate change in proximity of ITO layer was calculated theoretically. ► The calculation results are compared with the experiment and discussed.

  3. The Effect of Ge Content on the Optical and Electrical Properties of A-Sige: H Thin Films

    Directory of Open Access Journals (Sweden)

    Mursal Mursal

    2014-07-01

    Full Text Available The effect of Ge content on the optical and electrical properties of a-SiGe:H thin films deposited by HWC-PECVD had been investigated. The a-SiGe:H films ware grown on corning glass 7059 substrate using 10% diluted mixture of GeH4 and SiH4 gases, respectively. The GeH4 gas flow rate was varied from 2.5 – 12.5 sccm, while the flow rate of SiH4 was kept constant at 70 sccm. The results showed that the deposition rate of a-SiGe:H thin films increased by  increasing of GeH4 gas flow rate. In addition, the Ge content in the film increased and  the optical band gap decreased. The dark conductivity of a-SiGe:H films were relatively constant, whereas the photo conductivity decreased with increasing of Ge content.

  4. Fabrication and characterization of Al2O3 /Si composite nanodome structures for high efficiency crystalline Si thin film solar cells

    Directory of Open Access Journals (Sweden)

    Ruiying Zhang

    2015-12-01

    Full Text Available We report on our fabrication and characterization of Al2O3/Si composite nanodome (CND structures, which is composed of Si nanodome structures with a conformal cladding Al2O3 layer to evaluate its optical and electrical performance when it is applied to thin film solar cells. It has been observed that by application of Al2O3thin film coating using atomic layer deposition (ALD to the Si nanodome structures, both optical and electrical performances are greatly improved. The reflectivity of less than 3% over the wavelength range of from 200 nm to 2000 nm at an incident angle from 0° to 45° is achieved when the Al2O3 film is 90 nm thick. The ultimate efficiency of around 27% is obtained on the CND textured 2 μm-thick Si solar cells, which is compared to the efficiency of around 25.75% and 15% for the 2 μm-thick Si nanodome surface-decorated and planar samples respectively. Electrical characterization was made by using CND-decorated MOS devices to measure device’s leakage current and capacitance dispersion. It is found the electrical performance is sensitive to the thickness of the Al2O3 film, and the performance is remarkably improved when the dielectric layer thickness is 90 nm thick. The leakage current, which is less than 4x10−9 A/cm2 over voltage range of from -3 V to 3 V, is reduced by several orders of magnitude. C-V measurements also shows as small as 0.3% of variation in the capacitance over the frequency range from 10 kHz to 500 kHz, which is a strong indication of surface states being fully passivated. TEM examination of CND-decorated samples also reveals the occurrence of SiOx layer formed between the interface of Si and the Al2O3 film, which is thin enough that ensures the presence of field-effect passivation, From our theoretical and experimental study, we believe Al2O3 coated CND structures is a truly viable approach to achieving higher device efficiency.

  5. Impacts of doping on epitaxial germanium thin film quality and Si-Ge interdiffusion

    KAUST Repository

    Zhou, Guangnan

    2018-04-03

    Ge-on-Si structures with three different dopants (P, As and B) and those without intentional doping were grown, annealed and characterized by several different material characterization methods. All samples have a smooth surface (roughness < 1.5 nm), and the Ge films are almost entirely relaxed. B doped Ge films have threading dislocations above 1 × 10 cm, while P and As doping can reduce the threading dislocation density to be less than 10 cm without annealing. The interdiffusion of Si and Ge of different films have been investigated experimentally and theoretically. A quantitative model of Si-Ge interdiffusion under extrinsic conditions across the full x range was established including the dislocationmediated diffusion. The Kirkendall effect has been observed. The results are of technical significance for the structure, doping, and process design of Ge-on-Si based devices, especially for photonic applications.

  6. Impacts of doping on epitaxial germanium thin film quality and Si-Ge interdiffusion

    KAUST Repository

    Zhou, Guangnan; Lee, Kwang Hong; Anjum, Dalaver H.; Zhang, Qiang; Zhang, Xixiang; Tan, Chuan Seng; Xia, Guangrui

    2018-01-01

    Ge-on-Si structures with three different dopants (P, As and B) and those without intentional doping were grown, annealed and characterized by several different material characterization methods. All samples have a smooth surface (roughness < 1.5 nm), and the Ge films are almost entirely relaxed. B doped Ge films have threading dislocations above 1 × 10 cm, while P and As doping can reduce the threading dislocation density to be less than 10 cm without annealing. The interdiffusion of Si and Ge of different films have been investigated experimentally and theoretically. A quantitative model of Si-Ge interdiffusion under extrinsic conditions across the full x range was established including the dislocationmediated diffusion. The Kirkendall effect has been observed. The results are of technical significance for the structure, doping, and process design of Ge-on-Si based devices, especially for photonic applications.

  7. Synthesis and characterization of amorphous SiO{sub 2} nanowires via pulsed laser deposition accompanied by N{sub 2} annealing

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hui; Guan, Leilei; Xu, Zhuoqi; Zhao, Yu; Sun, Jian; Wu, Jiada; Xu, Ning, E-mail: ningxu@fudan.edu.cn

    2016-12-15

    Highlights: • The SiO{sub 2} nanowires were synthesized by PLD accompanied by N{sub 2} annealing. • The as-grown SiO{sub 2} nanowires were analyzed by HRTEM, SAED and EDS. • The grown SiO{sub 2} nanowire films are transparent in the range of 350–800 nm. • The SiO{sub 2} nanowire films can emit stable ultraviolet emission. - Abstract: Amorphous SiO{sub 2} nanowires are successfully fabricated on fused silica substrates covered by nickel/carbon catalyst bilayers via a method of pulsed laser deposition accompanied by annealing in ambient N{sub 2}. The field emission scanning electron microscopy images show that the optimum annealing temperature for the growth of SiO{sub 2} nanowires is about 1200 °C and the grown SiO{sub 2} nanowires become denser, longer and more uniform with the increment of annealing duration. The results of transmission electron microscopy and high-resolution transmission electron microscopy show that the grown nanowires are amorphous and have dark spheres on their tops. The analyses of energy dispersive X-ray spectroscopy reveal that the nanowires are composed of SiO{sub 2} and the dark spheres on their tops contain little nickel. It is inferred that nickel, carbon and CO are the key elements to promote the SiO{sub 2} nanowire growth in the solid-liquid-solid mode. Transmission spectra demonstrate that the as-grown nanowire thin films can have about 94% average transmittance in the range of 350–800 nm, meanwhile the photoluminescence spectra of the as-grown SiO{sub 2} nanowire samples show stable ultraviolet emission centered at about 363 nm with a shoulder at about 393 nm.

  8. Structural damage in thin SLIM-Cut c-Si foils fabricated for solar cell purposes: atomic assessment by electron spin resonance

    International Nuclear Information System (INIS)

    Kepa, J; Stesmans, A; Martini, R

    2015-01-01

    Within the context of reducing production costs, thin (<90 μm) silicon foils intended for photovoltaic applications have been fabricated from standard (100)Si wafers using a low-temperature (<150 °C) stress-induced lift-off process. A multi-frequency electron spin resonance (ESR) study was performed in order to evaluate, at atomic scale, the quality of the material in terms of defects, including identification and quantification. Generally, a complex ESR spectrum is observed, disentangled as the superposition of three separate signals. This includes, most prominently (∼91% of total density) the D-line (Si 3  ≡ Si· dangling bonds in a disordered Si environment), a set (∼6%) of highly anisotropic signals ascribed to dislocations (K1-like), and a triplet, identified as the Si-SL5 N-donor defect. Defect density depth profiling from the lift-off side shows all signals disappear in tandem after etching off a ∼33 μm thick Si layer, indicating a highly correlated−equal in relative terms−distribution of the three types of defects over the affected top part of the Si foil. The defect density is found to be highly non-uniform laterally, with the density peaking near the crack initiation point, from which defect generation spreads. It is thus found that the SLIM-Cut method for fabrication of thin Si foils results in the introduction of defects that would unacceptably impair the functionality of photovoltaic cells built on these substrates. Fortunately, this may be cured by etching off a thin top Si layer, resulting in a most useful thin Si foil of standard high quality. (paper)

  9. Enhanced field emission from Si doped nanocrystalline AlN thin films

    International Nuclear Information System (INIS)

    Thapa, R.; Saha, B.; Chattopadhyay, K.K.

    2009-01-01

    Si doped and undoped nanocrystalline aluminum nitride thin films were deposited on various substrates by direct current sputtering technique. X-ray diffraction analysis confirmed the formation of phase pure hexagonal aluminum nitride with a single peak corresponding to (1 0 0) reflection of AlN with lattice constants, a = 0.3114 nm and c = 0.4986 nm. Energy dispersive analysis of X-rays confirmed the presence of Si in the doped AlN films. Atomic force microscopic studies showed that the average particle size of the film prepared at substrate temperature 200 deg. C was 9.5 nm, but when 5 at.% Si was incorporated the average particle size increased to ∼21 nm. Field emission study indicated that, with increasing Si doping concentration, the emission characteristics have been improved. The turn-on field (E to ) was 15.0 (±0.7) V/μm, 8.0 (±0.4) V/μm and 7.8 (±0.5) V/μm for undoped, 3 at.% and 5 at.% Si doped AlN films respectively and the maximum current density of 0.27 μA/cm 2 has been observed for 5 at.% Si doped nanocrystalline AlN film. It was also found that the dielectric properties were highly dependent on Si doping.

  10. Preparation and study of nanostructured TiAlSiN thin films

    Directory of Open Access Journals (Sweden)

    Jakab-Farkas L.

    2011-12-01

    Full Text Available TiAlSiN thin film coatings were deposited by DC reactive magnetron sputtering of TiAlSi target with 40 at.% Ti, 40 at.% Al and 20 at.% Si, performed in N2-Ar gas mixture. The sputtering power used in these experiments was controlled for 400 W. The bias voltage of the substrates was kept at -20 V DC and the temperature at 500 0C. All the samples were prepared with a constant flow rate of Ar and different nitrogen flow rates, which were selected from 1.25 sccm to 4.0 sccm. Nanostructured TiAlSiN coatings were developed on Si(100 and HSS substrates. Microstructure investigation of the coatings was performed by transmission electron microscopy investigation, structure investigation was performed by XRD analysis, and the mechanical properties of the coatings have been tested by ball-on-disk tribological investigation and micro-Vickers hardness measurements. In this paper will be shown that for optimized nitrogen concentration the microstructure of TiAlSiN coating evolve from a competitive columnar growth to a dendritic growth one with very fine nano-lamellae like morphology. The developed nanostructured TiAlSiN coatingshave hardness HV exceeding 40 GPa and show an increased abrasive wear resistance

  11. Investigation of AgInS{sub 2} thin films grown by coevaporation

    Energy Technology Data Exchange (ETDEWEB)

    Arredondo, C A; Gordillo, G [Departamento de Fisica, Universidad Nacional de Colombia, Bogota (Colombia); J, Clavijo, E-mail: caarredondoo@unal.edu.c, E-mail: ggordillog@unal.edu.c [Departamento de Quimica, Universidad Nacional de Colombia, Bogota, Cr.30 N0 45-03 (Colombia)

    2009-05-01

    AgInS{sub 2} thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS{sub 2} phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS{sub 2} films present p-type conductivity, a high absorption coefficient (greater than 10{sub 4} cm{sub -1}) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  12. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001 Patterned Si Substrates by Metal Organic Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Ludovico Megalini

    2018-02-01

    Full Text Available We report on the use of InGaAsP strain-compensated superlattices (SC-SLs as a technique to reduce the defect density of Indium Phosphide (InP grown on silicon (InP-on-Si by Metal Organic Chemical Vapor Deposition (MOCVD. Initially, a 2 μm thick gallium arsenide (GaAs layer was grown with very high uniformity on exact oriented (001 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2 stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD; atomic force microscopy (AFM; transmission electron microscopy (TEM; and electron channeling contrast imaging (ECCI; which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer.

  13. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. X-ray diffraction and surface acoustic wave analysis of BST/Pt/TiO{sub 2}/SiO{sub 2}/Si thin films

    Energy Technology Data Exchange (ETDEWEB)

    Mseddi, Souhir; Hedi Ben Ghozlen, Mohamed [Laboratoire de Physique des Materiaux, Faculte des Sciences de Sfax, Universite de Sfax, 3018 Sfax (Tunisia); Njeh, Anouar [Unite de Physique, Informatique et Matematiques, Faculte des Sciences de Gafsa, Universite de Gafsa, 2112 Gafsa (Tunisia); Schneider, Dieter [Fraunhofer-Institut fuer Material- und Strahltechnologie, Winterbergstrasse 28, 1277 Dresden (Germany); Fuess, Hartmut [Institute of Materials Science, University of Technology, Petersenstr.23, 64287 Darmstadt (Germany)

    2011-11-15

    High dielectric constant and electrostriction property of (Ba, Sr)Ti0{sub 3} (BST) thin films result in an increasing interest for dielectric devices and microwave acoustic resonator. Barium strontium titanate (Ba{sub 0.645}Sr{sub 0.355}TiO{sub 3}) films of about 300 nm thickness are grown on Pt(111)/TiO{sub 2}/SiO{sub 2}/Si(001) substrates by rf magnetron sputtering deposition techniques. X-ray diffraction is applied for the microstructural characterization. The BST films exhibit a cubic perovskite structure with a dense and smooth surface. A laser acoustic waves (LA-waves) technique is used to generate surface acoustic waves (SAW) propagating in the BST films. Young's modulus E and the Poisson ratio {nu} of TiO{sub 2,} Pt and BST films in different propagation directions are derived from the measured dispersion curves. Estimation of BST elastics constants are served in SAW studies. Impact of stratification process on SAW, propagating along [100] and [110] directions of silicon substrate, has been interpreted on the basis of ordinary differential equation (ODE) and stiffness matrix method (SMM). A good agreement is observed between experimental and calculated dispersion curves. The performed calculations are strongly related to the implemented crystallographic data of each layer. Dispersion curves are found to be sensitive to the SAW propagation direction and the stratification process for the explored frequency ranges 50-250 MHz, even though it corresponds to a wave length clearly higher than the whole films thickness.

  15. Epitaxial c-axis oriented BaTiO3 thin films on SrTiO3-buffered Si(001) by atomic layer deposition

    International Nuclear Information System (INIS)

    Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G.; Posadas, Agham B.; Demkov, Alexander A.; Hu, Chengqing; Yu, Edward T.; Bruley, John

    2014-01-01

    Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO 3 (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO 3 (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225  °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600  °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure

  16. Interlayer exchange coupling, crystalline and magnetic structure in Fe/CsCl-FeSi multilayers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Dekoster, J.; Degroote, S.; Meersschaut, J.; Moons, R.; Vantomme, A. [K.U. Leuven, Instituut voor Kern- en Stralingsfysica (Belgium); Bottyan, L.; Deak, L.; Szilagyi, E.; Nagy, D.L. [KFKI Research Institute for Particle and Nuclear Physics (Hungary); Baron, A.Q.R. [European Synchrotron Radiation Facility (France); Langouche, G. [K.U. Leuven, Instituut voor Kern- en Stralingsfysica (Belgium)

    1999-09-15

    Crystalline and magnetic structure as well as the interlayer exchange coupling in MBE grown Fe/FeSi multilayers are investigated. From conversion electron Moessbauer spectroscopy and ion beam channeling measurements the spacer FeSi material is found to be stabilized in a crystalline metastable metallic FeSi phase with the CsCl structure. Strong non-oscillatory interlayer exchange coupling is identified with magnetometry and synchrotron Moessbauer reflectometry. From the fits of the time spectrum and the resonant {phi}-{phi} scans a model for the sublayer magnetization of the multilayer is deduced.

  17. Nanomechanical properties of thick porous silicon layers grown on p- and p+-type bulk crystalline Si

    International Nuclear Information System (INIS)

    Charitidis, C.A.; Skarmoutsou, A.; Nassiopoulou, A.G.; Dragoneas, A.

    2011-01-01

    Highlights: → The nanomechanical properties of bulk crystalline Si. → The nanomechanical properties of porous Si. → The elastic-plastic deformation of porous Si compared to bulk crystalline quantified by nanoindentation data analysis. - Abstract: The nanomechanical properties and the nanoscale deformation of thick porous Si (PSi) layers of two different morphologies, grown electrochemically on p-type and p+-type Si wafers were investigated by the depth-sensing nanoindentation technique over a small range of loads using a Berkovich indenter and were compared with those of bulk crystalline Si. The microstructure of the thick PSi layers was characterized by field emission scanning electron microscopy. PSi layers on p+-type Si show an anisotropic mesoporous structure with straight vertical pores of diameter in the range of 30-50 nm, while those on p-type Si show a sponge like mesoporous structure. The effect of the microstructure on the mechanical properties of the layers is discussed. It is shown that the hardness and Young's modulus of the PSi layers exhibit a strong dependence on their microstructure. In particular, PSi layers with the anisotropic straight vertical pores show higher hardness and elastic modulus values than sponge-like layers. However, sponge-like PSi layers reveal less plastic deformation and higher wear resistance compared with layers with straight vertical pores.

  18. Nanostructures based in boro nitride thin films deposited by PLD onto Si/Si3N4/DLC substrate

    International Nuclear Information System (INIS)

    Roman, W S; Riascos, H; Caicedo, J C; Ospina, R; Tirado-MejIa, L

    2009-01-01

    Diamond-like carbon and boron nitride were deposited like nanostructered bilayer on Si/Si 3 N 4 substrate, both with (100) crystallographic orientation, these films were deposited through pulsed laser technique (Nd: YAG: 8 Jcm -2 , 9ns). Graphite (99.99%) and boron nitride (99.99%) targets used to growth the films in argon atmosphere. The thicknesses of bilayer were determined with a perfilometer, active vibration modes were analyzed using infrared spectroscopy (FTIR), finding bands associated around 1400 cm -1 for B - N bonding and bands around 1700 cm -1 associated with C=C stretching vibrations of non-conjugated alkenes and azometinic groups, respectively. The crystallites of thin films were analyzed using X-ray diffraction (XRD) and determinated the h-BN (0002), α-Si 3 N 4 (101) phases. The aim of this study is to relate the dependence on physical and chemical characteristics of the system Si/Si 3 N 4 /DLC/BN with gas pressure adjusted at the 1.33, 2.67 and 5.33 Pa values.

  19. Corrosion resistance of the NdFeB coated with AlN/SiC bilayer thin films by magnetron sputtering under different environments

    International Nuclear Information System (INIS)

    Tao, Lei; Li, Heqin; Shen, Jiong; Qiao, Kai; Wang, Wei; Zhou, Chu; Zhang, Jing; Tang, Qiong

    2015-01-01

    The AlN/SiC bilayer and SiC monolayer thin films were deposited on sintered NdFeB by RF magnetron sputtering to improve the corrosion resistance. Their structures and morphologies were studied by XRD and AFM and SEM. The corrosion behaviors of AlN/SiC and SiC-coated NdFeB in 3.5 wt% NaCl, 20 wt% NaOH and 0.1 mol/L H 2 SO 4 solutions were characterized with potentiodynamic polarization curves. The results show that AlN/SiC and SiC thin films can evidently improve the corrosion resistance of NdFeB, and the AlN/SiC films have the better resistance than the SiC film. - Highlights: • SiC monolayer and AlN/SiC bilayer thin films have been prepared on NdFeB at room temperature by RF magnetron sputtering. • NdFeB coated with AlN/SiC bilayer films has more corrosion resistance than that coated with SiC monolayer film under different environments. • The grains of the AlN/SiC bilayer films are finer and the surface roughness is lower than that of SiC monolayer film

  20. Corrosion resistance of the NdFeB coated with AlN/SiC bilayer thin films by magnetron sputtering under different environments

    Energy Technology Data Exchange (ETDEWEB)

    Tao, Lei [School of Materials Science and Engineering, Hefei University of Technology, Anhui Hefei 230009 (China); Li, Heqin, E-mail: lhqjs@hfut.edu.cn [School of Materials Science and Engineering, Hefei University of Technology, Anhui Hefei 230009 (China); Shen, Jiong [Earth-Panda Advance Magnetic Material Co., Ltd., Anhui Lujiang 231500 (China); Qiao, Kai; Wang, Wei; Zhou, Chu [School of Materials Science and Engineering, Hefei University of Technology, Anhui Hefei 230009 (China); Zhang, Jing; Tang, Qiong [School of Materials Science and Engineering, Hefei University of Technology, Anhui Hefei 230009 (China); School of Electronic Science and Applied Physics, Hefei University of Technology, Anhui Hefei 230009 (China)

    2015-02-01

    The AlN/SiC bilayer and SiC monolayer thin films were deposited on sintered NdFeB by RF magnetron sputtering to improve the corrosion resistance. Their structures and morphologies were studied by XRD and AFM and SEM. The corrosion behaviors of AlN/SiC and SiC-coated NdFeB in 3.5 wt% NaCl, 20 wt% NaOH and 0.1 mol/L H{sub 2}SO{sub 4} solutions were characterized with potentiodynamic polarization curves. The results show that AlN/SiC and SiC thin films can evidently improve the corrosion resistance of NdFeB, and the AlN/SiC films have the better resistance than the SiC film. - Highlights: • SiC monolayer and AlN/SiC bilayer thin films have been prepared on NdFeB at room temperature by RF magnetron sputtering. • NdFeB coated with AlN/SiC bilayer films has more corrosion resistance than that coated with SiC monolayer film under different environments. • The grains of the AlN/SiC bilayer films are finer and the surface roughness is lower than that of SiC monolayer film.

  1. Microprocessing of ITO and a-Si thin films using ns laser sources

    Science.gov (United States)

    Molpeceres, C.; Lauzurica, S.; Ocaña, J. L.; Gandía, J. J.; Urbina, L.; Cárabe, J.

    2005-06-01

    Selective ablation of thin films for the development of new photovoltaic panels and sensoring devices based on amorphous silicon (a-Si) is an emerging field, in which laser micromachining systems appear as appropriate tools for process development and device fabrication. In particular, a promising application is the development of purely photovoltaic position sensors. Standard p-i-n or Schottky configurations using transparent conductive oxides (TCO), a-Si and metals are especially well suited for these applications, appearing selective laser ablation as an ideal process for controlled material patterning and isolation. In this work a detailed study of laser ablation of a widely used TCO, indium-tin-oxide (ITO), and a-Si thin films of different thicknesses is presented, with special emphasis on the morphological analysis of the generated grooves. Excimer (KrF, λ = 248 nm) and DPSS lasers (λ = 355 and λ = 1064 nm) with nanosecond pulse duration have been used for material patterning. Confocal laser scanning microscopy (CLSM) and scanning electron microscopy (SEM) techniques have been applied for the characterization of the ablated grooves. Additionally, process parametric windows have been determined in order to assess this technology as potentially competitive to standard photolithographic processes. The encouraging results obtained, with well-defined ablation grooves having thicknesses in the order of 10 µm both in ITO and in a-Si, open up the possibility of developing a high-performance double Schottky photovoltaic matrix position sensor.

  2. Formation of {beta}-FeSi{sub 2} thin films by partially ionized vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of {beta}-FeSi{sub 2} thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of {beta}-FeSi{sub 2} films deposited on Si substrates. It was confirmed that {beta}-FeSi{sub 2} can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of {beta}-FeSi{sub 2} depends strongly on the content and the acceleration energy of ions.

  3. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  4. Epitaxial growth of 3C-SiC by using C{sub 60} as a carbon source; Untersuchungen zum epitaktischen Wachstum von 3C-SiC bei Verwendung einer C{sub 60}-Kohlenstoffquelle

    Energy Technology Data Exchange (ETDEWEB)

    Schreiber, Sascha

    2006-01-15

    Within this work epitaxial 3C-SiC-films were grown on Si(001) substrates and on ion beam synthesized 3C-SiC(001) pseudo substrates. A rather new process was used which is based on the simultaneous deposition of C60 and Si. In order to set up the necessary experimental conditions an ultra-high vacuum chamber has been designed and built. A RHEED system was used to examine SiC film growth in-situ. Using the described technique 3C-SiC films were grown void-free on Si(001) substrates. Deposition rates of C60 and Si were chosen adequately to maintain a Si:C ratio of approximately one during the deposition process. It was shown that stoichiometric and epitaxial 3C-SiC growth with the characteristic relationship (001)[110]Si(001)[110]3C-SiC could be achieved. TEM investigations revealed that the grown 3C-SiC films consist of individual grains that extend from the Si substrate to the film surface. Two characteristic grain types could be identified. The correlation between structure and texture of void-free grown 3C-SiC films and film thickness was studied by X-ray diffraction (XRD). Pole figure measurements showed that thin films only contain first-order 3C-SiC twins. With higher film thickness also second-order twins are found which are located as twin lamellae in grain type 2. Improvement of polar texture with increasing film thickness couldn't be observed in the investigated range of up to 550 nm. On ion beam synthesized 3C-SiC pseudo substrates homoepitaxial 3C-SiC growth could be demonstrated for the first time by using a C{sub 60} carbon source. In respect to the crystalline quality of the grown films the surface quality of the used substrates was identified as a crucial factor. Furthermore a correlation between the ratio of deposition rates of C{sub 60} and Si and 3C-SiC film quality could be found. Under silicon-rich conditions, i.e. with a Si:C ratio of slightly greater one, homoepitaxial 3C-SiC layer-by-layer growth can be achieved. Films grown under these

  5. Application of pentacene thin-film transistors with controlled threshold voltages to enhancement/depletion inverters

    Science.gov (United States)

    Takahashi, Hajime; Hanafusa, Yuki; Kimura, Yoshinari; Kitamura, Masatoshi

    2018-03-01

    Oxygen plasma treatment has been carried out to control the threshold voltage in organic thin-film transistors (TFTs) having a SiO2 gate dielectric prepared by rf sputtering. The threshold voltage linearly changed in the range of -3.7 to 3.1 V with the increase in plasma treatment time. Although the amount of change is smaller than that for organic TFTs having thermally grown SiO2, the tendency of the change was similar to that for thermally grown SiO2. To realize different plasma treatment times on the same substrate, a certain region on the SiO2 surface was selected using a shadow mask, and was treated with oxygen plasma. Using the process, organic TFTs with negative threshold voltages and those with positive threshold voltages were fabricated on the same substrate. As a result, enhancement/depletion inverters consisting of the organic TFTs operated at supply voltages of 5 to 15 V.

  6. Role of SiNx Barrier Layer on the Performances of Polyimide Ga2O3-doped ZnO p-i-n Hydrogenated Amorphous Silicon Thin Film Solar Cells

    Science.gov (United States)

    Wang, Fang-Hsing; Kuo, Hsin-Hui; Yang, Cheng-Fu; Liu, Min-Chu

    2014-01-01

    In this study, silicon nitride (SiNx) thin films were deposited on polyimide (PI) substrates as barrier layers by a plasma enhanced chemical vapor deposition (PECVD) system. The gallium-doped zinc oxide (GZO) thin films were deposited on PI and SiNx/PI substrates at room temperature (RT), 100 and 200 °C by radio frequency (RF) magnetron sputtering. The thicknesses of the GZO and SiNx thin films were controlled at around 160 ± 12 nm and 150 ± 10 nm, respectively. The optimal deposition parameters for the SiNx thin films were a working pressure of 800 × 10−3 Torr, a deposition power of 20 W, a deposition temperature of 200 °C, and gas flowing rates of SiH4 = 20 sccm and NH3 = 210 sccm, respectively. For the GZO/PI and GZO-SiNx/PI structures we had found that the GZO thin films deposited at 100 and 200 °C had higher crystallinity, higher electron mobility, larger carrier concentration, smaller resistivity, and higher optical transmittance ratio. For that, the GZO thin films deposited at 100 and 200 °C on PI and SiNx/PI substrates with thickness of ~000 nm were used to fabricate p-i-n hydrogenated amorphous silicon (α-Si) thin film solar cells. 0.5% HCl solution was used to etch the surfaces of the GZO/PI and GZO-SiNx/PI substrates. Finally, PECVD system was used to deposit α-Si thin film onto the etched surfaces of the GZO/PI and GZO-SiNx/PI substrates to fabricate α-Si thin film solar cells, and the solar cells’ properties were also investigated. We had found that substrates to get the optimally solar cells’ efficiency were 200 °C-deposited GZO-SiNx/PI. PMID:28788494

  7. Role of SiNx Barrier Layer on the Performances of Polyimide Ga2O3-doped ZnO p-i-n Hydrogenated Amorphous Silicon Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Fang-Hsing Wang

    2014-02-01

    Full Text Available In this study, silicon nitride (SiNx thin films were deposited on polyimide (PI substrates as barrier layers by a plasma enhanced chemical vapor deposition (PECVD system. The gallium-doped zinc oxide (GZO thin films were deposited on PI and SiNx/PI substrates at room temperature (RT, 100 and 200 °C by radio frequency (RF magnetron sputtering. The thicknesses of the GZO and SiNx thin films were controlled at around 160 ± 12 nm and 150 ± 10 nm, respectively. The optimal deposition parameters for the SiNx thin films were a working pressure of 800 × 10−3 Torr, a deposition power of 20 W, a deposition temperature of 200 °C, and gas flowing rates of SiH4 = 20 sccm and NH3 = 210 sccm, respectively. For the GZO/PI and GZO-SiNx/PI structures we had found that the GZO thin films deposited at 100 and 200 °C had higher crystallinity, higher electron mobility, larger carrier concentration, smaller resistivity, and higher optical transmittance ratio. For that, the GZO thin films deposited at 100 and 200 °C on PI and SiNx/PI substrates with thickness of ~1000 nm were used to fabricate p-i-n hydrogenated amorphous silicon (α-Si thin film solar cells. 0.5% HCl solution was used to etch the surfaces of the GZO/PI and GZO-SiNx/PI substrates. Finally, PECVD system was used to deposit α-Si thin film onto the etched surfaces of the GZO/PI and GZO-SiNx/PI substrates to fabricate α-Si thin film solar cells, and the solar cells’ properties were also investigated. We had found that substrates to get the optimally solar cells’ efficiency were 200 °C-deposited GZO-SiNx/PI.

  8. Structural and nanomechanical properties of InN films grown on Si(1 0 0) by femtosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Hafez, M A; Mamun, M A; Elmustafa, A A; Elsayed-Ali, H E

    2013-01-01

    The structural and nanomechanical properties of InN films grown on Si(1 0 0) using femtosecond pulsed laser deposition were studied for different growth conditions. Atomic nitrogen was generated by either thermal cracking or laser-induced breakdown (LIB) of ammonia. Optical emission spectroscopy was conducted on the laser plasma and used to observe atomic nitrogen formation. An indium buffer layer was initially grown on the Si substrate at low temperature. The surface structure and morphology were investigated by in situ reflection high-energy electron diffraction, ex situ atomic force microscopy and x-ray diffraction (XRD). The results show that the initial buffer indium layers were terminated with the In(2 × 1) structure and had a smooth surface. With increased coverage, the growth mode developed from two-dimensional layers to three-dimensional islands. At room temperature (RT), formation of submicrometre islands resulted in mixed crystal structure of In and InN. As the substrate temperature was increased to 250–350 °C, the crystal structure was found to be dominated by fewer In and more InN, with only InN formed at 350 °C. The XRD patterns show that the grown InN films have wurtzite crystal structure. The film hardness near the surface was observed to increase from less than 1 GPa, characteristic of In for the sample grown at RT using the thermal cracker, to a hardness of 11 GPa at 30 nm from surface, characteristic of InN for samples grown at 350 °C by LIB. The hardness at deep indents reaches the hardness of the Si substrate of ∼12 GPa. (paper)

  9. Structural transformations in MoOx thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Camacho-Lopez, M.A.; Haro-Poniatowski, E.; Escobar-Alarcon, L.

    2004-01-01

    In this work, laser-induced crystallization in MoO x thin films (1.8≤x≤2.1) is reported. This transformation involves a MoO x oxidation and subsequently a crystallization process from amorphous MoO 3 to crystalline αMoO 3 . For comparison purposes crystallization is induced thermally, in an oven, as well. The crystallization kinetics is monitored by Raman spectroscopy; a threshold in the energy density necessary to induce the phase transformation is determined in the case of photo-crystallization. This threshold depends on the type of substrate on which the film is deposited. For the thin films deposited on glass substrates, the structural transformation is from amorphous MoO x to the thermodynamically stable αMoO 3 crystalline phase. For the thin films deposited on Si(100) the structural transformation is from amorphous MoO x to a mixture of αMoO 3 and the thermodynamically unstable βMoO 3 crystalline phases. The structural transformations are also characterized by scanning electron microscopy and light-transmission experiments. (orig.)

  10. Electrocatalytic activity of Pt grown by ALD on carbon nanotubes for Si-based DMFC applications

    DEFF Research Database (Denmark)

    Johansson, Alicia Charlotte; Dalslet, Bjarke Thomas; Yang, R.B.

    2012-01-01

    in a top-flow ALD reactor at 250°C, using MeCpPtMe3 and O2 as precursors. The anode was tested for the methanol oxidation reaction (MOR) in a three-electrode electrochemical set-up and it showed improved catalytic activity compared to a reference sample of Pt deposited on flat Si. It is demonstrated......We present an anode design for silicon-based direct methanol fuel cell (DMFC) applications. Platinum was deposited conformally by atomic layer deposition (ALD) onto vertically aligned, nitrogendoped multi-walled carbon nanotubes (MWCNTs) grown on porous silicon. The deposition was carried out...... that ALD could be a MEMS compatible deposition technique for Si-based fuel cell applications. © The Electrochemical Society....

  11. Structural and optical properties of Si-doped GaN

    OpenAIRE

    Cremades Rodríguez, Ana Isabel; Gorgens, L.; Ambacher, O.; Stutzmann, M.; Scholz, F.

    2000-01-01

    Structural and optical properties of Si-doped GaN thin films grown by metal-organic chemical vapor deposition have been studied by means of high resolution x-ray diffraction (XRD), atomic force microscopy, photoluminescence, photothermal deflection spectroscopy, and optical transmission measurements. The incorporation of silicon in the GaN films leads to pronounced tensile stress. The energy position of the neutral donor bound excitonic emission correlates with the measured stress. The stress...

  12. The electrical, elemental, optical, and surface properties of Si-doped ZnO thin films prepared by thermionic vacuum arc

    Science.gov (United States)

    Mohammadigharehbagh, Reza; Özen, Soner; Yudar, Hafizittin Hakan; Pat, Suat; Korkmaz, Şadan

    2017-09-01

    The purpose of this work is to study the properties of Si-doped ZnO (SZO) thin films, which were prepared using the non-reactive thermionic vacuum arc technique. The analysis of the elemental, optical, and surface properties of ZnO:Si thin films was carried out using energy dispersive x-ray spectroscopy, UV-VIS spectrophotometry, atomic force microscopy, and scanning electron microscopy, respectively. The current-voltage measurement was employed in order to study the electrical properties of the films. The effect of Si doping on the physical properties of ZnO films was investigated. The film thicknesses were measured as 55 and 35 nm for glass and PET substrates, respectively. It was clearly observed from the x-ray diffraction results that the Si and ZnO peaks were present in the coated SZO films for all samples. The morphological studies showed that the deposited surfaces are homogenous, dense, and have a uniform surface, with the existence of some cracks only on the glass substrate. The elemental composition has confirmed the existence of Zn, Si, and O elements within the prepared films. Using a UV-VIS spectrophotometer, the optical parameters such as transmittance, absorbance, refractive index, and reflectance were calculated. It should be noted that the transparency and refractive indices obtained from the measurements decrease with increasing Si concentration. The obtained optical bandgap values using transmittance spectra were determined to be 3.74 and 3.84 eV for the glass and PET substrates, respectively. An increase in the bandgap results demonstrates that the Si doping concentration is comparable to the pure ZnO thin films. The current versus voltage curves revealed the ohmic nature of the films. Subsequently, the development and fabrication of excellent transparent conducting electrodes enabled the appropriate use of Si-doped ZnO thin films.

  13. Near-infrared emitting In-rich InGaN layers grown directly on Si: Towards the whole composition range

    Energy Technology Data Exchange (ETDEWEB)

    Aseev, Pavel, E-mail: pavel.aseev@upm.es; Rodriguez, Paul E. D. Soto; Gómez, Víctor J.; Alvi, Naveed ul Hassan; Calleja, Enrique [Instituto de Sistemas Optoelectrónicos y Microtecnología, Universidad Politécnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Mánuel, José M.; Jiménez, Juan J.; García, Rafael [Departamente Ciencia de los Materiales e Ingeniería Metalúrgica y Química Inorgánica, Facultad de Ciencias, Universidad de Cádiz, Puerto Real, 11510 Cádiz (Spain); Morales, Francisco M. [Departamente Ciencia de los Materiales e Ingeniería Metalúrgica y Química Inorgánica, Facultad de Ciencias, Universidad de Cádiz, Puerto Real, 11510 Cádiz (Spain); IMEYMAT: Institute of Research on Electron Microscopy and Materials of the University of Cádiz, 11510 Cádiz (Spain); Senichev, Alexander [Max Planck Institute of Microstructure Physics, Weinberg 2, 06120 Halle (Germany); Lienau, Christoph [Institute of Physics and Center of Interface Science, Carl von Ossietzky Universität Oldenburg, Ammerländer Heerstr. 114-118, 26129 Oldenburg (Germany); and others

    2015-02-16

    The authors report compact and chemically homogeneous In-rich InGaN layers directly grown on Si (111) by plasma-assisted molecular beam epitaxy. High structural and optical quality is evidenced by transmission electron microscopy, near-field scanning optical microscopy, and X-ray diffraction. Photoluminescence emission in the near-infrared is observed up to room temperature covering the important 1.3 and 1.55 μm telecom wavelength bands. The n-InGaN/p-Si interface is ohmic due to the absence of any insulating buffer layers. This qualitatively extends the application fields of III-nitrides and allows their integration with established Si technology.

  14. Near-infrared emitting In-rich InGaN layers grown directly on Si: Towards the whole composition range

    International Nuclear Information System (INIS)

    Aseev, Pavel; Rodriguez, Paul E. D. Soto; Gómez, Víctor J.; Alvi, Naveed ul Hassan; Calleja, Enrique; Mánuel, José M.; Jiménez, Juan J.; García, Rafael; Morales, Francisco M.; Senichev, Alexander; Lienau, Christoph

    2015-01-01

    The authors report compact and chemically homogeneous In-rich InGaN layers directly grown on Si (111) by plasma-assisted molecular beam epitaxy. High structural and optical quality is evidenced by transmission electron microscopy, near-field scanning optical microscopy, and X-ray diffraction. Photoluminescence emission in the near-infrared is observed up to room temperature covering the important 1.3 and 1.55 μm telecom wavelength bands. The n-InGaN/p-Si interface is ohmic due to the absence of any insulating buffer layers. This qualitatively extends the application fields of III-nitrides and allows their integration with established Si technology

  15. Thin film solar modules: the low cost, high throughput and versatile alternative to Si wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hegedus, S. [Delaware Univ., Inst. of Energy Conversion, Newark, DE (United States)

    2006-07-01

    Thin film solar cells (TFSC) have passed adolescence and are ready to make a substantial contribution to the world's electricity generation. They can have advantages over c-Si solar modules in ease of large area, lower cost manufacturing and in several types of applications. Factors which limit TFSC module performance relative to champion cell performance are discussed along with the importance of increased throughput and yield. The consensus of several studies is that all TFSC can achieve costs below 1 $/W if manufactured at sufficiently large scale >100 MW using parallel lines of cloned equipment with high material utilization and spray-on encapsulants. There is significant new commercial interest in TFSC from small investors and large corporations, validating the thin film approach. Unique characteristics are discussed which give TFSC an advantage over c-Si in two specific markets: small rural solar home systems and building integrated photovoltaic installations. TFSC have outperformed c-Si in annual energy production (kWhrs/kW), have demonstrated outdoor durability comparable to c-Si and are being used in MW scale installations worldwide. The merits of the thin film approach cannot be judged on the basis of efficiency alone but must also account for module performance and potential for low cost. TFSC advocates should promote their unique virtues compared to c-Si: lower cost, higher kWhr/kW output, higher battery charging current, attractive visual appearance, flexible substrates, long-term stability comparable to c-Si, and multiple pathways for deposition with room for innovation and evolutionary improvement. There is a huge market for TFSC even at today's efficiency if costs can be reduced. A brief window of opportunity exists for TFSC over the next few years due the Si shortage. The demonstrated capabilities and advantages of TFSC must be proclaimed more persistently to funding decision-makers and customers without minimizing the remaining

  16. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  17. Optical properties of amorphous Ba0.7Sr0.3TiO3 thin films obtained by metal organic decomposition technique

    Science.gov (United States)

    Qiu, Fei; Xu, Zhimou

    2009-08-01

    In this study, the amorphous Ba0.7Sr0.3TiO3 (BST0.7) thin films were grown onto fused quartz and silicon substrates at low temperature by using a metal organic decomposition (MOD)-spin-coating procedure. The optical transmittance spectrum of amorphous BST0.7 thin films on fused quartz substrates has been recorded in the wavelength range 190~900 nm. The films were highly transparent for wavelengths longer than 330 nm; the transmission drops rapidly at 330 nm, and the cutoff wavelength occurs at about 260 nm. In addition, we also report the amorphous BST0.7 thin film groove-buried type waveguides with 90° bent structure fabricated on Si substrates with 1.65 μm thick SiO2 thermal oxide layer. The design, fabrication and optical losses of amorphous BST0.7 optical waveguides were presented. The amorphous BST0.7 thin films were grown onto the SiO2/Si substrates by using a metal organic decomposition (MOD)-spin-coating procedure. The optical propagation losses were about 12.8 and 9.4 dB/cm respectively for the 5 and 10 μm wide waveguides at the wavelength of 632.8 nm. The 90° bent structures with a small curvature of micrometers were designed on the basis of a double corner mirror structure. The bend losses were about 1.2 and 0.9 dB respectively for 5 and 10 μm wide waveguides at the wavelength of 632.8 nm. It is expected for amorphous BST0.7 thin films to be used not only in the passive optical interconnection in monolithic OEICs but also in active waveguide devices on the Si chip.

  18. Effects of Mev Si Ions and Thermal Annealing on Thermoelectric and Optical Properties of SiO2/SiO2+Ge Multi-nanolayer thin Films

    Science.gov (United States)

    Budak, S.; Alim, M. A.; Bhattacharjee, S.; Muntele, C.

    Thermoelectric generator devices have been prepared from 200 alternating layers of SiO2/SiO2+Ge superlattice films using DC/RF magnetron sputtering. The 5 MeV Si ionsbombardmenthasbeen performed using the AAMU Pelletron ion beam accelerator to formquantum dots and / or quantum clusters in the multi-layer superlattice thin films to decrease the cross-plane thermal conductivity, increase the cross-plane Seebeck coefficient and increase the cross-plane electrical conductivity to increase the figure of merit, ZT. The fabricated devices have been annealed at the different temperatures to tailor the thermoelectric and optical properties of the superlattice thin film systems. While the temperature increased, the Seebeck coefficient continued to increase and reached the maximum value of -25 μV/K at the fluenceof 5x1013 ions/cm2. The decrease in resistivity has been seen between the fluence of 1x1013 ions/cm2 and 5x1013 ions/cm2. Transport properties like Hall coefficient, density and mobility did not change at all fluences. Impedance spectroscopy has been used to characterize the multi-junction thermoelectric devices. The loci obtained in the C*-plane for these data indicate non-Debye type relaxation displaying the presence of the depression parameter.

  19. Formation of thin DLC films on SiO{sub 2}/Si substrate using FCVAD technique

    Energy Technology Data Exchange (ETDEWEB)

    Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Aramwit, C.; Tippawan, U. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D. [Plasma and Beam Physics Research Facility (PBP), Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Centre of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2013-07-15

    Diamond-like carbon (DLC) films deposited on SiO{sub 2}/Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO{sub 2}/Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I{sub D}/I{sub G} ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I{sub D}/I{sub G} ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp{sup 3} site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp{sup 3} fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO{sub 2} substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC

  20. Vertically grown Ge nanowire Schottky diodes on Si and Ge substrates

    Science.gov (United States)

    Chandra, Nishant; Tracy, Clarence J.; Cho, Jeong-Hyun; Picraux, S. T.; Hathwar, Raghuraj; Goodnick, Stephen M.

    2015-07-01

    The processing and performance of Schottky diodes formed from arrays of vertical Ge nanowires (NWs) grown on Ge and Si substrates are reported. The goal of this work is to investigate CMOS compatible processes for integrating NWs as components of vertically scaled integrated circuits, and elucidate transport in vertical Schottky NWs. Vertical phosphorus (P) doped Ge NWs were grown using vapor-liquid-solid epitaxy, and nickel (Ni)-Ge Schottky contacts were made to the tops of the NWs. Current-voltage (I-V) characteristics were measured for variable ranges of NW diameters and numbers of nanowires in the arrays, and the I-V characteristics were fit using modified thermionic emission theory to extract the barrier height and ideality factor. As grown NWs did not show rectifying behavior due to the presence of heavy P side-wall doping during growth, resulting in a tunnel contact. After sidewall etching using a dilute peroxide solution, rectifying behavior was obtained. Schottky barrier heights of 0.3-0.4 V and ideality factors close to 2 were extracted using thermionic emission theory, although the model does not give an accurate fit across the whole bias range. Attempts to account for enhanced side-wall conduction due to non-uniform P doping profile during growth through a simple shunt resistance improve the fit, but are still insufficient to provide a good fit. Full three-dimensional numerical modeling using Silvaco Atlas indicates that at least part of this effect is due to the presence of fixed charge and acceptor like traps on the NW surface, which leads to effectively high ideality factors.

  1. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.

    Science.gov (United States)

    Zaumseil, P; Kozlowski, G; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-09-07

    We study the growth and relaxation processes of Ge nano-clusters selectively grown by chemical vapor deposition on free-standing 90 nm wide Si(001) nano-pillars with a thin Si(0.23)Ge(0.77) buffer layer. We found that the dome-shaped SiGe layer with a height of about 28 nm as well as the Ge dot deposited on top of it partially relaxes, mainly by elastic lattice bending. The Si nano-pillar shows a clear compliance behavior-an elastic response of the substrate on the growing film-with the tensile strained top part of the pillar. Additional annealing at 800 °C leads to the generation of misfit dislocation and reduces the compliance effect significantly. This example demonstrates that despite the compressive strain generated due to the surrounding SiO(2) growth mask it is possible to realize an overall tensile strain in the Si nano-pillar and following a compliant substrate effect by using a SiGe buffer layer. We further show that the SiGe buffer is able to improve the structural quality of the Ge nano-dot.

  2. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  3. Electron microscopy study of Ni induced crystallization in amorphous Si thin films

    International Nuclear Information System (INIS)

    Radnóczi, G. Z.; Battistig, G.; Pécz, B.; Dodony, E.; Vouroutzis, N.; Stoemenos, J.; Frangis, N.; Kovács, A.

    2015-01-01

    The crystallization of amorphous silicon is studied by transmission electron microscopy. The effect of Ni on the crystallization is studied in a wide temperature range heating thinned samples in-situ inside the microscope. Two cases of limited Ni source and unlimited Ni source are studied and compared. NiSi 2 phase started to form at a temperature as low as 250°C in the limited Ni source case. In-situ observation gives a clear view on the crystallization of silicon through small NiSi 2 grain formation. The same phase is observed at the crystallization front in the unlimited Ni source case, where a second region is also observed with large grains of Ni 3 Si 2 . Low temperature experiments show, that long annealing of amorphous silicon at 410 °C already results in large crystallized Si regions due to the Ni induced crystallization

  4. Analysis of the crystalline characteristics of nc-Si:H thin film using a hyperthermal neutral beam generated by an inclined slot-excited antenna

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jong-Bae; Kim, Young-Woo; Kim, Dae Chul; Kim, Jongsik; Hong, Seung Pyo; Yoo, Suk Jae; Oh, Kyoung Suk, E-mail: ksoh@nfri.re.kr

    2013-11-29

    The deposition of hydrogenated nano-crystal silicon (nc-Si:H) thin film for manufacturing quantum dot solar cells, which has received attention due to the use of this film third-generation solar cells, is studied here. A hyperthermal neutral beam (HNB) generated by an inclined slot-excited antenna plasma source is used to reduce damage to the silicon thin film and deposition of the crystalline thin film is carried out on a substrate at a low temperature (< 200 °C). The size and the crystalline fraction of the nc-Si:H of the deposited thin film were analyzed by scanning transmission electron microscopy and a Raman microscope. As a result, silicon crystals 1–10 nm in size were observed in the amorphous silicon matrix. According to previous studies, the size and the crystalline fraction of nc-Si:H in deposited thin films increase as the hydrogen flow rate is increased. However, the increment of hydrogen flow rate decreases the deposition rate rapidly. The size and the crystalline fraction of nc-Si:H are adjustable by varying the substrate temperature and HNB energy without a change of the hydrogen flow rate. There are optimum conditions between the HNB energy and the substrate temperature for an appropriate amount of nc-Si:H in silicon thin film. - Highlights: • The appropriate hyperthermal neutral beam energy seems to assist film formation. • The Si crystal size can be adjusted by varying hyperthermal neutral beam energy. • The nc-Si:H 1 ∼ 10 in nm size was observed in the amorphous silicon matrix.

  5. Electrical characterization of 6H-SiC grown by physical vapor transport method

    Energy Technology Data Exchange (ETDEWEB)

    Zaremba, G., E-mail: gzaremba@ite.waw.p [Institute of Electron Technology, Department of Analysis of Semiconductor Nanostructures, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Kaniewska, M.; Jung, W. [Institute of Electron Technology, Department of Analysis of Semiconductor Nanostructures, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Guziewicz, M. [Institute of Electron Technology, Department of Semiconductor Processing for Photonics, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Grasza, K. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Institute of Electronic Materials Technology, ul. Wolczynska 133, 01-919 Warsaw (Poland)

    2009-11-25

    Deep level transient spectroscopy (DLTS) and capacitance versus voltage (C-V) measurements have been used to study the electrical properties of electron traps in n-type 6H-silicon carbide (SiC) grown by physical vapor transport (PVT) technique, designed as Schottky diodes. Ir Schottky- and Ni ohmic-contacts were deposited by sputtering. Current versus voltage (I-V) measurements showed that sputter deposition of the Schottky contact yields diodes with a reduced barrier height and poor rectification characteristics. Four main electron traps revealed in DLTS spectra have activation energies at 0. 39, 0.41, 0,66, and 0.74 eV below the conduction band. Based on a comparison made with electron traps reported in the literature, we conclude that three of them are well-known traps found in the as-grown or irradiated material. There was no emission signature in the literature to make such a correspondence for the trap at 0.74 eV. Strongly nonhomogenous spatial distribution with a tendency of the trap to accumulation at the surface was found by DLTS and C-V profiling. This together with the fact that the trap at 0.74 eV has not been previously reported in as-grown or processed material makes it possible that the trap is sputter deposition induced defect.

  6. Scintillation efficiency and X-ray imaging with the RE-doped LuAG thin films grown by liquid phase epitaxy

    Czech Academy of Sciences Publication Activity Database

    Touš, J.; Blažek, K.; Kučera, M.; Nikl, Martin; Mareš, Jiří A.

    2012-01-01

    Roč. 47, č. 4 (2012), s. 311-314 ISSN 1350-4487 R&D Projects: GA AV ČR KAN300100802 Institutional research plan: CEZ:AV0Z10100521 Keywords : single crystal * scintillator * LuAG * X-ray radiography * LPE growth Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.861, year: 2012

  7. Application of rapid thermal processing on SiNx thin film to solar cells

    Institute of Scientific and Technical Information of China (English)

    Youjie LI; Peiqing LUO; Zhibin ZHOU; Rongqiang CUI; Jianhua HUANG; Jingxiao WANG

    2008-01-01

    Rapid thermal processing (RTP) of SiNx thin films from PECVD with low temperature was investigated. A special processing condition of this technique which could greatly increase the minority lifetime was found in the experiments. The processing mechanism and the application of the technique to silicon solar cells fabrication were dis-cussed. A main achievement is an increase of the minority lifetime in silicon wafer with SiNx thin film by about 200% after the RTP was reached. PC-1D simulation results exhibit an enhancement of the efficiency of the solar cell by 0.42% coming from the minority lifetime improvement. The same experiment was also conducted with P-diffusion silicon wafers, but the increment of minority lifetime is just about 55%. It could be expected to improve the solar cell efficiency if it would be used in silicon solar cells fabrication with the combination of laser firing contact technique.

  8. Compositionally graded SiCu thin film anode by magnetron sputtering for lithium ion battery

    Energy Technology Data Exchange (ETDEWEB)

    Polat, B.D., E-mail: bpolat@itu.edu.tr [Department of Metallurgical and Materials Engineering, Istanbul Technical University, Maslak, Istanbul 34469 (Turkey); Eryilmaz, O.L. [Energy Systems Division, Argonne National Laboratory, Argonne, IL 60439 (United States); Keleş, O., E-mail: ozgulkeles@itu.edu.tr [Department of Metallurgical and Materials Engineering, Istanbul Technical University, Maslak, Istanbul 34469 (Turkey); Erdemir, A. [Energy Systems Division, Argonne National Laboratory, Argonne, IL 60439 (United States); Amine, K. [Chemical Sciences and Engineering Division, Argonne National Laboratory, Argonne, IL 60439 (United States)

    2015-12-01

    Compositionally graded and non-graded composite SiCu thin films were deposited by magnetron sputtering technique on Cu disks for investigation of their potentials in lithium ion battery applications. The compositionally graded thin film electrodes with 30 at.% Cu delivered a 1400 mAh g{sup −1} capacity with 80% Coulombic efficiency in the first cycle and still retained its capacity at around 600 mAh g{sup −1} (with 99.9% Coulombic efficiency) even after 100 cycles. On the other hand, the non-graded thin film electrodes with 30 at.% Cu exhibited 1100 mAh g{sup −1} as the first discharge capacity with 78% Coulombic efficiency but the cycle life of this film degraded very quickly, delivering only 250 mAh g{sup −1} capacity after 100th cycles. Not only the Cu content but also the graded film thickness were believed to be the main contributors to the much superior performance of the compositionally graded SiCu films. We also believe that the Cu-rich region of the graded film helped reduce internal stress build-up and thus prevented film delamination during cycling. In particular, the decrease of Cu content from interface region to the top of the coating reduced the possibility of stress build-up across the film during cycling, thus leading to a high electrochemical performance.b - Highlights: • Highly adherent SiCu films are deposited by magnetron sputtering. • Compositionally graded SiCu film is produced and characterized. • Decrease of Cu content diverted the propagation of stress in the anode. • Cu rich layer at the bottom improves the adherence of the film.

  9. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  10. Crystalline thin films of transition metal hexacyanochromates grown under Langmuir monolayer

    International Nuclear Information System (INIS)

    Bagkar, Nitin; Choudhury, Sipra; Kim, Kyung-Hee; Chowdhury, Prasanta; Lee, Sung-Ik; Yakhmi, J.V.

    2006-01-01

    Crystalline films of cobalt, nickel and iron hexacyanochromates (analogues of Prussian blue) were grown at air-water interface using a surfactant monolayer as a template. These films were transferred on suitable substrates and characterized by X-ray diffraction (XRD), cyclic voltammetry and magnetization measurements. XRD patterns confirmed the formation of oriented crystals in {100} direction for all these films. Magnetization data on nickel and iron hexacyanochromate films indicated ferromagnetic behaviour below Curie temperatures of 72 and 21 K, respectively. The methodology adopted by us to grow crystalline films is useful in obtaining magnetic thin films of analogues of Prussian blue with interesting magnetic properties with respect to transition temperatures and nature of magnetic ordering

  11. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  12. Fabrication of highly oriented β-FeSi2 by ion beam sputter deposition

    International Nuclear Information System (INIS)

    Nakanoya, Takamitsu; Sasase, Masato; Yamamoto, Hiroyuki; Saito, Takeru; Hojou, Kiichi

    2002-01-01

    We have prepared the 'environmentally friendly' semiconductor, β-FeSi 2 thin films by ion beam sputter deposition method. The temperature of Si (100) substrate during the deposition and total amount of deposited Fe have been changed in order to find the optimum condition of the film formation. The crystallinity and surface morphology of the formed silicides were analyzed by X-ray diffraction (XRD) and scanning electron microscope (SEM), respectively. It is understood that the domain of the epitaxially grown β-FeSi 2 increases with the substrate temperature up to 700degC at the fixed amount of deposited Fe (33 nm) by XRD spectra. On the other hand, α-FeSi 2 is appeared and increased with the temperature above 700degC. Granulation of the surface is also observed by SEM images at this temperature region. At the fixed temperature condition (700degC), formation of α phase, which is obtained at the higher temperature compared with β phase, is observed for the fewer deposited samples. These results suggest the possibility of the epitaxially grown β-FeSi 2 formation at the lower (< 700degC) temperature region. (author)

  13. Structural evolution of self-assisted GaAs nanowires grown on Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [University of Siegen, Solid State Physics Group, Walter-Flex-Str. 3, 57072 Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-04-15

    GaAs nanowires are grown on Si(111) by self-assisted molecular beam epitaxy, and the ratio between wurtzite and zinc-blende phases is determined as function of nanowire length using asymmetric X-ray diffraction. We show that under the applied growth conditions, nanowires grow in both phases during the initial stage of growth, whereas the zinc-blende content increases with growth time and dominates in long nanowires. Compared to the zinc-blende units, the vertical lattice parameter of the wurtzite segments is 0.7% larger, as measured by the positions of respective diffraction peaks. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Low-field tunnel-type magnetoresistance properties of polycrystalline and epitaxial La sub 0 sub . sub 6 sub 7 Sr sub 0 sub . sub 3 sub 3 MnO sub 3 thin films

    CERN Document Server

    Shim, I B; Choi, S Y

    2000-01-01

    The low-field tunnel-type magnetoresistance (TMB) properties of sol-gel derived polycrystalline and epitaxial La sub 0 sub . sub 6 sub 7 Sr sub 0 sub . sub 3 sub 3 MnO sub 3 (LSMO) thin films were investigated. The polycrystalline thin films were fabricated on Si (100) with a thermally oxidized SiO sub 2 layer while the epitaxial thin films were grown on LaAlO sub 3 (001) single-crystal substrates. The epitaxial thin films displayed both typical intrinsic colossal magnetoresistance (CMR) and abnormal extrinsic tunnel-type magnetoresistance behaviors. Tunnel-type MR ratio as high as 0.4% were observed in the polycrystalline thin films at a field of 120 Oe at room temperature (300 K) whereas the ratios were less than 0.1% for the epitaxial films in the same field range. The low-field tunnel-type MR of polycrystalline LSMO/SiO sub 2 ?Si (100) thin films originated from the behaviors of the grain-boundary properties.

  15. Thermal phase separation of ZrSiO4 thin films and frequency- dependent electrical characteristics of the Al/ZrSiO4/p-Si/Al MOS capacitors

    Science.gov (United States)

    Lok, R.; Kaya, S.; Yilmaz, E.

    2018-05-01

    In this work, the thermal phase separation and annealing optimization of ZrSiO4 thin films have been carried out. Following annealing optimization, the frequency-dependent electrical characteristics of the Al/ZrSiO4/p-Si/Al MOS capacitors were investigated in detail. The chemical evolution of the films under various annealing temperatures was determined by Fourier transform infrared spectroscopy (FTIR) measurements. The phase separation was determined by x-ray diffraction (XRD) measurements. The electrical parameters were determined via the capacitance–voltage (C–V), conductance–voltage (G/ω) and leakage-current–voltage (Ig–Vg ). The results demonstrate that zirconium silicate formations are present at 1000 °C annealing with the SiO2 interfacial layer. The film was in amorphous form after annealing at 250 °C. The tetragonal phases of ZrO2 were obtained after annealing at 500 °C. When the temperature approaches 750 °C, transitions from the tetragonal phase to the monoclinic phase were observed. The obtained XRD peaks after 1000 °C annealing matched the crystalline peaks of ZrSiO4. This means that the crystalline zirconium dioxide in the structure has been converted into a crystalline silicate phase. The interface states increased to 5.71 × 1010 and the number of border traps decreased to 7.18 × 1010 cm‑2 with the increasing temperature. These results indicate that an excellent ZrSiO4/Si interface has been fabricated. The order of the leakage current varied from 10‑9 Acm‑2 to 10‑6 Acm‑2. The MOS capacitor fabricated with the films annealed at 1000 °C shows better behavior in terms of its structural, chemical and electrical properties. Hence, detailed frequency-dependent electrical characteristics were performed for the ZrSiO4 thin film annealed at 1000 °C. Very slight capacitance variations were observed under the frequency variations. This shows that the density of frequency-dependent charges is very low at the ZrSiO4/Si interface. The

  16. In-situ determination of the effective absorbance of thin μc-Si:H layers growing on rough ZnO:Al

    Directory of Open Access Journals (Sweden)

    Meier Matthias

    2013-10-01

    Full Text Available In this study optical transmission measurements were performed in-situ during the growth of microcrystalline silicon (μc-Si:H layers by plasma enhanced chemical vapor deposition (PECVD. The stable plasma emission was used as light source. The effective absorption coefficient of the thin μc-Si:H layers which were deposited on rough transparent conductive oxide (TCO surfaces was calculated from the transient transmission signal. It was observed that by increasing the surface roughness of the TCO, the effective absorption coefficient increases which can be correlated to the increased light scattering effect and thus the enhanced light paths inside the silicon. A correlation between the in-situ determined effective absorbance of the μc-Si:H absorber layer and the short-circuit current density of μc-Si:H thin-film silicon solar cells was found. Hence, an attractive technique is demonstrated to study, on the one hand, the absorbance and the light trapping in thin films depending on the roughness of the substrate and, on the other hand, to estimate the short-circuit current density of thin-film solar cells in-situ, which makes the method interesting as a process control tool.

  17. Contact resistance and stability study for Au, Ti, Hf and Ni contacts on thin-film Mg2Si

    KAUST Repository

    Zhang, Bo

    2016-12-28

    We present a detailed study of post-deposition annealing effects on contact resistance of Au, Ti, Hf and Ni electrodes on Mg2Si thin films. Thin-film Mg2Si and metal contacts were deposited using magnetron sputtering. Various post-annealing temperatures were studied to determine the thermal stability of each contact metal. The specific contact resistivity (SCR) was determined using the Cross Bridge Kelvin Resistor (CBKR) method. Ni contacts exhibits the best thermal stability, maintaining stability up to 400 °C, with a SCR of approximately 10−2 Ω-cm2 after annealing. The increased SCR after high temperature annealing is correlated with the formation of a Mg-Si-Ni mixture identified by cross-sectional scanning transmission electron microscopy (STEM) characterization, X-ray diffraction characterization (XRD) and other elemental analyses. The formation of this Mg-Si-Ni mixture is attributed to Ni diffusion and its reaction with the Mg2Si film.

  18. Low cost back contact heterojunction solar cells on thin c-Si wafers. integrating laser and thin film processing for improved manufacturability

    Energy Technology Data Exchange (ETDEWEB)

    Hegedus, Steven S. [Univ. of Delaware, Newark, DE (United States)

    2015-09-08

    An interdigitated back contact (IBC) Si wafer solar cell with deposited a-Si heterojunction (HJ) emitter and contacts is considered the ultimate single junction Si solar cell design. This was confirmed in 2014 by both Panasonic and Sharp Solar producing IBC-HJ cells breaking the previous record Si solar cell efficiency of 25%. But manufacturability at low cost is a concern for the complex IBC-HJ device structure. In this research program, our goals were to addressed the broad industry need for a high-efficiency c-Si cell that overcomes the dominant module cost barriers by 1) developing thin Si wafers synthesized by innovative, kerfless techniques; 2) integrating laser-based processing into most aspects of solar cell fabrication, ensuring high speed and low thermal budgets ; 3) developing an all back contact cell structure compatible with thin wafers using a simplified, low-temperature fabrication process; and 4) designing the contact patterning to enable simplified module assembly. There were a number of significant achievements from this 3 year program. Regarding the front surface, we developed and applied new method to characterize critical interface recombination parameters including interface defect density Dit and hole and electron capture cross-section for use as input for 2D simulation of the IBC cell to guide design and loss analysis. We optimized the antireflection and passivation properties of the front surface texture and a-Si/a-SiN/a-SiC stack depositions to obtain a very low (< 6 mA/cm2) front surface optical losses (reflection and absorption) while maintaining excellent surface passivation (SRV<5 cm/s). We worked with kerfless wafer manufacturers to apply defect-engineering techniques to improve bulk minority-carrier lifetime of thin kerfless wafers by both reducing initial impurities during growth and developing post-growth gettering techniques. This led insights about the kinetics of nickel, chromium, and dislocations in PV-grade silicon and to

  19. Low cost back contact heterojunction solar cells on thin c-Si wafers. Integrating laser and thin film processing for improved manufacturability

    Energy Technology Data Exchange (ETDEWEB)

    Hegedus, Steven S. [Univ. of Delaware, Newark, DE (United States)

    2015-09-08

    An interdigitated back contact (IBC) Si wafer solar cell with deposited a-Si heterojunction (HJ) emitter and contacts is considered the ultimate single junction Si solar cell design. This was confirmed in 2014 by both Panasonic and Sharp Solar producing IBC-HJ cells breaking the previous record Si solar cell efficiency of 25%. But manufacturability at low cost is a concern for the complex IBC-HJ device structure. In this research program, our goals were to addressed the broad industry need for a high-efficiency c-Si cell that overcomes the dominant module cost barriers by 1) developing thin Si wafers synthesized by innovative, kerfless techniques; 2) integrating laser-based processing into most aspects of solar cell fabrication, ensuring high speed and low thermal budgets ; 3) developing an all back contact cell structure compatible with thin wafers using a simplified, low-temperature fabrication process; and 4) designing the contact patterning to enable simplified module assembly. There were a number of significant achievements from this 3 year program. Regarding the front surface, we developed and applied new method to characterize critical interface recombination parameters including interface defect density Dit and hole and electron capture cross-section for use as input for 2D simulation of the IBC cell to guide design and loss analysis. We optimized the antireflection and passivation properties of the front surface texture and a-Si/a-SiN/a-SiC stack depositions to obtain a very low (< 6 mA/cm2) front surface optical losses (reflection and absorption) while maintaining excellent surface passivation (SRV<5 cm/s). We worked with kerfless wafer manufacturers to apply defect-engineering techniques to improve bulk minority-carrier lifetime of thin kerfless wafers by both reducing initial impurities during growth and developing post-growth gettering techniques. This led insights about the kinetics of nickel, chromium, and dislocations in PV-grade silicon and to

  20. Ion beam synthesis and characterization of large area 3C-SiC pseudo substrates for homo- and heteroepitaxy

    International Nuclear Information System (INIS)

    Haeberlen, Maik

    2006-12-01

    In this work, large area epitaxial 3C-SiC films on Si(100) and Si(111) were formed by ion beam synthesis and subsequently characterized for their structural and crystalline properties. These SiC/Si structures are meant to be used as SiC pseudosubstrates for the homo- and heteroepitaxial growth of other compound semiconductors. The suitability of these pseudosubstrates for this purpose was tested using various epitaxial systems and thin film growth methods. For this the homoepitaxial growth of 3C-SiC employing C 60 -MBE and the heteroepitaxial growth of hexagonal GaN films grown by MOCVD and IBAMBA was studied in detail. The comparison of the structural and crystalline properties with data from literature enabled a qualified judgement of the potential of the 3C-SiC pseudosubstrates as an alternative substrate for the epitaxial growth of such films. These new 3C-SiC pseudosubstrates also enabled studies of other little known epitaxial systems: For the first time hexagonal ZnO films on (111) oriented pseudosubstrates were grown using PLD. The method if IBAMBE enabled the growth of cubic GaN layers on (100)-oriented pseudosubstrates. (orig.)