WorldWideScience

Sample records for crystalline wet etching

  1. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    Science.gov (United States)

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  2. A study on electric properties for pulse laser annealing of ITO film after wet etching

    International Nuclear Information System (INIS)

    Lee, C.J.; Lin, H.K.; Li, C.H.; Chen, L.X.; Lee, C.C.; Wu, C.W.; Huang, J.C.

    2012-01-01

    The electric properties of ITO thin film after UV or IR laser annealing and wet etching was analyzed via grazing incidence in-plane X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectra and residual stress measurement. The laser annealing process readily induced microcracks or quasi-microcracks on the ITO thin film due to the residual tension stress of crystalline phase transformation between irradiated and non-irradiated areas, and these defects then became the preferred sites for a higher etching rate, resulting in discontinuities in the ITO thin film after the wet etching process. The discontinuities in the residual ITO thin film obstruct carrier transmission and further result in electric failure. - Highlights: ► The laser annealing process induces microcracks in InSnO 2 thin films. ► The defects result in higher local etching rate during wet etching. ► These process defects originate from residual tension stress. ► Decreasing the thermal shock is suggested in order to reduce these process defects.

  3. Light-trapping optimization in wet-etched silicon photonic crystal solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Eyderman, Sergey, E-mail: sergey.eyderman@utoronto.ca [Department of Physics, University of Toronto, 60 St. George Street, Toronto, Ontario M5S 1A7 (Canada); John, Sajeev [Department of Physics, University of Toronto, 60 St. George Street, Toronto, Ontario M5S 1A7 (Canada); Department of Physics, King Abdul-Aziz University, Jeddah (Saudi Arabia); Hafez, M.; Al-Ameer, S. S.; Al-Harby, T. S.; Al-Hadeethi, Y. [Department of Physics, King Abdul-Aziz University, Jeddah (Saudi Arabia); Bouwes, D. M. [iX-factory GmbH, Konrad Adenauer–Allee 11, 44263 Dortmund (Germany)

    2015-07-14

    We demonstrate, by numerical solution of Maxwell's equations, near-perfect solar light-trapping and absorption over the 300–1100 nm wavelength band in silicon photonic crystal (PhC) architectures, amenable to fabrication by wet-etching and requiring less than 10 μm (equivalent bulk thickness) of crystalline silicon. These PhC's consist of square lattices of inverted pyramids with sides comprised of various (111) silicon facets and pyramid center-to-center spacing in the range of 1.3–2.5 μm. For a wet-etched slab with overall height H = 10 μm and lattice constant a = 2.5 μm, we find a maximum achievable photo-current density (MAPD) of 42.5 mA/cm{sup 2}, falling not far from 43.5 mA/cm{sup 2}, corresponding to 100% solar absorption in the range of 300–1100 nm. We also demonstrate a MAPD of 37.8 mA/cm{sup 2} for a thinner silicon PhC slab of overall height H = 5 μm and lattice constant a = 1.9 μm. When H is further reduced to 3 μm, the optimal lattice constant for inverted pyramids reduces to a = 1.3 μm and provides the MAPD of 35.5 mA/cm{sup 2}. These wet-etched structures require more than double the volume of silicon, in comparison to the overall mathematically optimum PhC structure (consisting of slanted conical pores), to achieve the same degree of solar absorption. It is suggested these 3–10 μm thick structures are valuable alternatives to currently utilized 300 μm-thick textured solar cells and are suitable for large-scale fabrication by wet-etching.

  4. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    Science.gov (United States)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  5. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  6. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  7. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  8. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  9. Effects of UV light intensity on electrochemical wet etching of SiC for the fabrication of suspended graphene

    Science.gov (United States)

    O, Ryong-Sok; Takamura, Makoto; Furukawa, Kazuaki; Nagase, Masao; Hibino, Hiroki

    2015-03-01

    We report on the effects of UV light intensity on the photo assisted electrochemical wet etching of SiC(0001) underneath an epitaxially grown graphene for the fabrication of suspended structures. The maximum etching rate of SiC(0001) was 2.5 µm/h under UV light irradiation in 1 wt % KOH at a constant current of 0.5 mA/cm2. The successful formation of suspended structures depended on the etching rate of SiC. In the Raman spectra of the suspended structures, we did not observe a significant increase in the intensity of the D peak, which originates from defects in graphene sheets. This is most likely explained by the high quality of the single-crystalline graphene epitaxially grown on SiC.

  10. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  11. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  12. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  13. Physical chemistry of wet chemical anisotropic etching of silicon

    NARCIS (Netherlands)

    Elwenspoek, Michael Curt

    1995-01-01

    In this paper we explain a view to understand the anisotropy of the etching of silicon in certain wet chemical agents (such as KOH). The starting point is the assumption that the [Left angle bracket]111[Right Angle Bracket] face of silicon is a flat face, the etch rate of which is then governed by a

  14. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  15. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  16. Formation of Mach angle profiles during wet etching of silica and silicon nitride materials

    Energy Technology Data Exchange (ETDEWEB)

    Ghulinyan, M., E-mail: ghulinyan@fbk.eu [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Bernard, M.; Bartali, R. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Deptartment of Physics, University of Trento, I-38123 Povo (Italy); Pucker, G. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy)

    2015-12-30

    Highlights: • Photoresist adhesion induces the formation of complex etch profiles in dielectrics. • Hydrofluoric acid etching of silica glass and silicon nitride materials was studied. • The phenomenon has been modeled in analogy with sonic boom propagation. • The material etch rate and resist adhesion/erosion define the final profile. - Abstract: In integrated circuit technology peeling of masking photoresist films is a major drawback during the long-timed wet etching of materials. It causes an undesired film underetching, which is often accompanied by a formation of complex etch profiles. Here we report on a detailed study of wedge-shaped profile formation in a series of silicon oxide, silicon oxynitride and silicon nitride materials during wet etching in a buffered hydrofluoric acid (BHF) solution. The shape of etched profiles reflects the time-dependent adhesion properties of the photoresist to a particular material and can be perfectly circular, purely linear or a combination of both, separated by a knee feature. Starting from a formal analogy between the sonic boom propagation and the wet underetching process, we model the wedge formation mechanism analytically. This model predicts the final form of the profile as a function of time and fits the experimental data perfectly. We discuss how this knowledge can be extended to the design and the realization of optical components such as highly efficient etch-less vertical tapers for passive silicon photonics.

  17. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  18. Dynamic Wet Etching of Silicon through Isopropanol Alcohol Evaporation

    Directory of Open Access Journals (Sweden)

    Tiago S. Monteiro

    2015-10-01

    Full Text Available In this paper, Isopropanol (IPA availability during the anisotropic etching of silicon in Potassium Hydroxide (KOH solutions was investigated. Squares of 8 to 40 µm were patterned to (100 oriented silicon wafers through DWL (Direct Writing Laser photolithography. The wet etching process was performed inside an open HDPE (High Density Polyethylene flask with ultrasonic agitation. IPA volume and evaporation was studied in a dynamic etching process, and subsequent influence on the silicon etching was inspected. For the tested conditions, evaporation rates for water vapor and IPA were determined as approximately 0.0417 mL/min and 0.175 mL/min, respectively. Results demonstrate that IPA availability, and not concentration, plays an important role in the definition of the final structure. Transversal SEM (Scanning Electron Microscopy analysis demonstrates a correlation between microloading effects (as a consequence of structure spacing and the angle formed towards the (100 plane.

  19. Wet-etch sequence optimisation incorporating time dependent chemical maintenance

    NARCIS (Netherlands)

    Kruif, B.J. de

    2015-01-01

    Wafer fabrication is the major cost contributor in semiconductor manufacturing. One of the steps in the fabrication is the removal of exposed layers in an automatic wet-etch station with chemicals. In time, these chemicals get polluted and their effectiveness decreases. Therefore, the chemicals in

  20. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  1. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  2. Summary of Chalcogenide Glass Processing: Wet-Etching and Photolithography

    Energy Technology Data Exchange (ETDEWEB)

    Riley, Brian J.; Sundaram, S. K.; Johnson, Bradley R.; Saraf, Laxmikant V.

    2006-12-01

    This report describes a study designed to explore the different properties of two different chalcogenide materials, As2S3 and As24S38Se38, when subjected to photolithographic wet-etching techniques. Chalcogenide glasses are made by combining chalcogen elements S, Se, and Te with Group IV and/or V elements. The etchant was selected from the literature and was composed of sodium hydroxide, isopropyl alcohol, and deionized water and the types of chalcogenide glass for study were As2S3 and As24S38Se38. The main goals here were to obtain a single variable etch rate curve of etch depth per time versus NaOH overall solution concentration in M and to see the difference in etch rate between a given etchant when used on the different chalcogenide stoichiometries. Upon completion of these two goals, future studies will begin to explore creating complex, integrated photonic devices via these methods.

  3. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    Science.gov (United States)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  4. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    Directory of Open Access Journals (Sweden)

    Woong-Kirl Choi

    2018-01-01

    Full Text Available Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks.

  5. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  6. Study of surfactant-added TMAH for applications in DRIE and wet etching-based micromachining

    Science.gov (United States)

    Tang, B.; Shikida, M.; Sato, K.; Pal, P.; Amakawa, H.; Hida, H.; Fukuzawa, K.

    2010-06-01

    In this paper, etching anisotropy is evaluated for a number of different crystallographic orientations of silicon in a 0.1 vol% Triton-X-100 added 25 wt% tetramethylammonium hydroxide (TMAH) solution using a silicon hemisphere. The research is primarily aimed at developing advanced applications of wet etching in microelectromechanical systems (MEMS). The etching process is carried out at different temperatures in the range of 61-81 °C. The etching results of silicon hemisphere and different shapes of three-dimensional structures in {1 0 0}- and {1 1 0}-Si surfaces are analyzed. Significantly important anisotropy, different from a traditional etchant (e.g. pure KOH and TMAH), is investigated to extend the applications of the wet etching process in silicon bulk micromachining. The similar etching behavior of exact and vicinal {1 1 0} and {1 1 1} planes in TMAH + Triton is utilized selectively to remove the scalloping from deep reactive-ion etching (DRIE) etched profiles. The direct application of the present research is demonstrated by fabricating a cylindrical lens with highly smooth etched surface finish. The smoothness of a micro-lens at different locations is measured qualitatively by a scanning electron microscope and quantitatively by an atomic force microscope. The present paper provides a simple and effective fabrication method of the silicon micro-lens for optical MEMS applications.

  7. Control technology for crystalline silica exposures in construction: wet abrasive blasting.

    Science.gov (United States)

    Golla, Vijay; Heitbrink, William

    2004-03-01

    This study was designed to document the effect that wet abrasive blasting has on reducing worker exposure to crystalline silica, which has been associated with silicosis and premature death. In this study, worker exposure to respirable crystalline silica was monitored during wet abrasive blasting on the exterior walls of a parking garage to remove surface concrete and expose the underlying aggregate. In this process a wet sand mix comprised of 80% dry sand and 20% water was used. Sampling and analysis revealed that the geometric mean respirable quartz concentration was 0.2 mg/m(3) for workers conducting abrasive blasting and 0.06 mg/m(3) for helpers. When abrasive blasting was conducted in areas that apparently had reduced natural ventilation, dust exposures appeared to increase. When compared with other published data, this case study suggests that wet abrasive blasting causes less exposure to crystalline silica than dry abrasive blasting.

  8. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    Science.gov (United States)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  9. Wet etching rates of InGaZnO for the fabrication of transparent thin-film transistors on plastic substrates

    International Nuclear Information System (INIS)

    Lee, Chi-Yuan; Chang, Chienliu; Shih, Wen-Pin; Dai, Ching-Liang

    2010-01-01

    The wet etch process for amorphous indium gallium zinc oxide (a-IGZO or a-InGaZnO) by using various etchants is reported. The etch rates of a-IGZO, compared to another indium-based oxides including indium gallium oxide (IGO), indium zinc oxide (IZO), and indium tin oxide (ITO), are measured by using acetic acid, citric acid, hydrochloric acid, perchloric acid, and aqua ammonia as etchants, respectively. In our experimental results, the etch rate of the transparent oxide semiconductor (TOS) films by using acid solutions ranked accordingly from high to low are IZO, IGZO, IGO and ITO. Comparatively, the etch rate of the TOS films by using alkaline ammonia solution ranked from high to low are IGZO, IZO, IGO and ITO, in that order. Using the proposed wet etching process with high etch selectivity, bottom-gate-type thin-film transistors (TFTs) based on a-IGZO channels and Y 2 O 3 gate-insulators were fabricated by radio-frequency sputtering on plastic substrates. The wet etch processed TFT with 30 μm gate length and 120 μm gate width exhibits a saturation mobility of 46.25 cm 2 V -1 s -1 , a threshold voltage of 1.3 V, a drain current on-off ratio > 10 6 , and subthreshold gate voltage swing of 0.29 V decade -1 . The performance of the TFTs ensures the applicability of the wet etching process for IGZO to electronic devices on organic polymer substrates.

  10. Wet etching and chemical polishing of InAs/GaSb superlattice photodiodes

    International Nuclear Information System (INIS)

    Chaghi, R; Cervera, C; Aït-Kaci, H; Grech, P; Rodriguez, J B; Christol, P

    2009-01-01

    In this paper, we studied wet chemical etching fabrication of the InAs/GaSb superlattice mesa photodiode for the mid-infrared region. The details of the wet chemical etchants used for the device process are presented. The etching solution is based on orthophosphoric acid (H 3 PO 4 ), citric acid (C 6 H 8 O 7 ) and H 2 O 2 , followed by chemical polishing with the sodium hypochlorite (NaClO) solution and protection with photoresist polymerized. The photodiode performance is evaluated by current–voltage measurements. The zero-bias resistance area product R 0 A above 4 × 10 5 Ω cm 2 at 77 K is reported. The device did not show dark current degradation at 77 K after exposition during 3 weeks to the ambient air

  11. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  12. Composite shear bond strength to dry and wet enamel with three self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Shafiee F

    2006-01-01

    Full Text Available Background and Aim: The bonding mechanisms of self etching primers, based upon the simultaneous etching and priming of dentin, simplifies the bonding technique, but the efficiency of these systems is still controversial. This study compared the shear bond strength of three self etch adhesive systems in dry and wet conditions. Materials and Method: In this experimental study, 77 intact bovine lower incisors with flat 600 grit sanded enamel surface were fixed in acrylic molds and divided into 7 groups, of 11 teeth. The enamel surfaces were treated according to a special procedure as follows: Group 1: Prompt L-Pop (PLP in dry condition, Group 2: Prompt L-Pop in wet condition, Group 3: Clearfield SE Bond (CSEB in dry condition, Group 4: Clearfield SE Bond in wet condition, Group 5: iBond (iB in dry condition, Group 6: iBond in wet condition, Group 7: Margin Bond (Control in dry condition. Surfaces were air dried for ten seconds, or blot dried in wet condition. Composite resin was bonded on the enamel and built up by applying a cylindric teflon split mold (4 mm height 2mm diameter. After 24 hours storage in dionized water at room temperature, all specimens were thermocycled and shear bond test was employed by a universal testing machine (Instron with a cross-head speed of 1mm/min. The shear bond strength was recorded in MPa and data were analyzed with ANOVA and Scheffe statistical tests. P<0.05 was considered as statistically significant. The mode of failure was examined under a stereomicroscope. Results: 1- Shear bond strength of CSEB in dry condition (21.5 ± 4.8 MPa was significantly higher than PLP and iB groups (p<0.0001. 2- Shear bond strength of iB and PLP groups in dry condition (9.60 ± 2.2, 9.49 ± 3 MPa were significantly lower than CSEB and control (2.99 ± 5.1 MPa (P<0.0001. 3- There was no significant difference between PLP and iB groups in dry condition (P=1. 4- Shear bond strength of CSEB in wet condition (21.8 ± 3 MPa was

  13. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  14. Low-loss slot waveguides with silicon (111 surfaces realized using anisotropic wet etching

    Directory of Open Access Journals (Sweden)

    Kapil Debnath

    2016-11-01

    Full Text Available We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI platform. Waveguides oriented along the (11-2 direction on the Si (110 plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.

  15. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  16. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  17. The influence of the laser spot size and the pulse number on laser-induced backside wet etching

    International Nuclear Information System (INIS)

    Boehme, R.; Zimmer, K.

    2005-01-01

    The laser-induced backside wet etching (LIBWE) of transparent solids at the interface to absorbing liquid is a new promising method for laser microstructuring. The influence of the laser spot size and the applied pulse number to the etch rate were investigated in detail for fused silica and two different liquids. Additional to the significant rise of the etch rate with increasing spot size considerable incubation effects have been observed at low laser fluences and pulse numbers. Based on the bubble formation during LIBWE processing, a relation between the bubble collapse time and the etch rate was ascertained. This relation fits the etch rate dependence on the spot size well. It is assumed that the deposition of decomposition products from the bubble accounts for the spot size influence the etch rate

  18. The Investigation of Intermediate Stage of Template Etching with Metal Droplets by Wetting Angle Analysis on (001 GaAs Surface

    Directory of Open Access Journals (Sweden)

    Lyamkina AA

    2011-01-01

    Full Text Available Abstract In this work, we study metal droplets on a semiconductor surface that are the initial stage for both droplet epitaxy and local droplet etching. The distributions of droplet geometrical parameters such as height, radius and volume help to understand the droplet formation that strongly influences subsequent nanohole etching. To investigate the etching and intermixing processes, we offer a new method of wetting angle analysis. The aspect ratio that is defined as the ratio of the height to radius was used as an estimation of wetting angle which depends on the droplet material. The investigation of the wetting angle and the estimation of indium content revealed significant materials intermixing during the deposition time. AFM measurements reveal the presence of two droplet groups that is in agreement with nanohole investigations. To explain this observation, we consider arsenic evaporation and consequent change in the initial substrate. On the basis of our analysis, we suggest the model of droplet evolution and the formation of two droplet groups.

  19. Surface changes of biopolymers PHB and PLLA induced by Ar+ plasma treatment and wet etching

    Science.gov (United States)

    Slepičková Kasálková, N.; Slepička, P.; Sajdl, P.; Švorčík, V.

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar+ plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers - polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  20. Interface and transport properties of metallization contacts to flat and wet-etching roughed N-polar n-type GaN.

    Science.gov (United States)

    Wang, Liancheng; Liu, Zhiqiang; Guo, Enqing; Yang, Hua; Yi, Xiaoyan; Wang, Guohong

    2013-06-26

    The electrical characteristics of metallization contacts to flat (F-sample, without wet-etching roughed) and wet-etching roughed (R-sample) N-polar (Nitrogen-polar) n-GaN have been investigated. R-sample shows higher contact resistance (Rc) to Al/Ti/Au (~2.5 × 10(-5) Ω·cm(2)) and higher Schottky barriers height (SBH, ~0.386 eV) to Ni/Au, compared with that of F-sample (~1.3 × 10(-6) Ω·cm(2), ~0.154 eV). Reasons accounting for this discrepancy has been detail investigated and discussed: for R-sample, wet-etching process caused surface state and spontaneous polarization variation will degraded its electrical characteristics. Metal on R-sample shows smoother morphology, however, the effect of metal deposition state on electrical characteristics is negligible. Metallization contact area for both samples has also been further considered. Electrical characteristics of metallization contact to both samples show degradation upon annealing. The VLED chip (1 mm × 1 mm), which was fabricated on the basis of a hybrid scheme, coupling the advantage of F- and R-sample, shows the lowest forward voltage (2.75 V@350 mA) and the highest light output power.

  1. The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching

    International Nuclear Information System (INIS)

    Sievilae, Paeivi; Chekurov, Nikolai; Tittonen, Ilkka

    2010-01-01

    Local gallium implantation of silicon by a focused ion beam (FIB) has been used to create a mask for anisotropic tetramethylammonium hydroxide (TMAH) wet etching. The dependence of the etch stop properties of gallium-doped silicon on the implanted dose has been investigated and a dose of 4 x 10 13 ions cm -2 has been determined to be the threshold value for achieving observable etching resistance. Only a thin, approx. 50 nm, surface layer is found to be durable enough to serve as a mask with a high selectivity of at least 2000:1 between implanted and non-implanted areas. The combined FIB-TMAH process has been used to generate various types of 3D nanostructures including nanochannels separated by thin vertical sidewalls with aspect ratios up to 1:30, ultra-narrow (approx. 25 nm) freestanding bridges and cantilevers, and gratings with a resolution of 20 lines μm -1 .

  2. Nickel silicide thin films as masking and structural layers for silicon bulk micro-machining by potassium hydroxide wet etching

    International Nuclear Information System (INIS)

    Bhaskaran, M; Sriram, S; Sim, L W

    2008-01-01

    This paper studies the feasibility of using titanium and nickel silicide thin films as mask materials for silicon bulk micro-machining. Thin films of nickel silicide were found to be more resistant to wet etching in potassium hydroxide. The use of nickel silicide as a structural material, by fabricating micro-beams of varying dimensions, is demonstrated. The micro-structures were realized using these thin films with wet etching using potassium hydroxide solution on (1 0 0) and (1 1 0) silicon substrates. These results show that nickel silicide is a suitable alternative to silicon nitride for silicon bulk micro-machining

  3. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    Science.gov (United States)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  4. Nanowall formation by maskless wet-etching on a femtosecond laser irradiated silicon surface

    Science.gov (United States)

    Lee, Siwoo; Jo, Kukhyun; Keum, Hee-sung; Chae, Sangmin; Kim, Yonghyeon; Choi, Jiyeon; Lee, Hyun Hwi; Kim, Hyo Jung

    2018-04-01

    We found that micro-cells surrounded by nanowalls can be formed by a maskless wet-etching process on Si (100) surfaces possessing Laser Induced Periodic Surface Structure (LIPSS) by femtosecond laser irradiation. The LIPSS process could produce periodic one-dimensional micron scale ripples on a Si surface, which could be developed into micro-cells by a subsequent etching process. The solution etching conditions strongly affected both the micro-cell and nanowall shapes such as the height and the thickness of nanowalls. The tetramethylammonium hydroxide solution created thin nanowalls and the resulting micro-cells with a well-flattened bottom while the KOH solution formed thick walls and incomplete micro-cells. The bottoms of micro-cells surrounded by the nanowalls were considerably flat with a 3.10 nm surface roughness. A pentacene layer was deposited on the micro-cells of a Si surface to evaluate the film properties by grazing incidence wide angle x-ray scattering measurements. The pentacene film on the micro-cell Si surface showed a strong film phase, which was comparable to the film phase grown on the atomically flat Si surface.

  5. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  6. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  7. Surface changes of biopolymers PHB and PLLA induced by Ar{sup +} plasma treatment and wet etching

    Energy Technology Data Exchange (ETDEWEB)

    Slepičková Kasálková, N. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Slepička, P., E-mail: petr.slepicka@vscht.cz [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Sajdl, P. [Department of Power Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Švorčík, V. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic)

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar{sup +} plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers – polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  8. Novel light trapping scheme for thin crystalline cells utilizing deep structures on both wafer sides [solar cells

    DEFF Research Database (Denmark)

    Jørgensen, Anders Michael; Clausen, Thomas; Leistiko, Otto

    1998-01-01

    62 times the average thickness. The structure consists of deep (-200 μm) inverted pyramids on the front side and deep (-200 μm) truncated pyramids with eight sides on the back. The structure is realized in crystalline silicon by wet chemical etching using potassium hydroxide (KOH) and isopropanol...

  9. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers

    International Nuclear Information System (INIS)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-01-01

    To develop x-ray mirrors for micropore optics, smooth silicon (111)sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 μm wide (111) sidewalls was fabricated using a 220 μm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time,x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements

  10. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang, Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-12-10

    To develop x-ray mirrors for micropore optics, smooth silicon (111) sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 microm wide (111) sidewalls was fabricated using a 220 microm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time, x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements.

  11. Simple fabrication of closed-packed IR microlens arrays on silicon by femtosecond laser wet etching

    Science.gov (United States)

    Meng, Xiangwei; Chen, Feng; Yang, Qing; Bian, Hao; Du, Guangqing; Hou, Xun

    2015-10-01

    We demonstrate a simple route to fabricate closed-packed infrared (IR) silicon microlens arrays (MLAs) based on femtosecond laser irradiation assisted by wet etching method. The fabricated MLAs show high fill factor, smooth surface and good uniformity. They can be used as optical devices for IR applications. The exposure and etching parameters are optimized to obtain reproducible microlens with hexagonal and rectangular arrangements. The surface roughness of the concave MLAs is only 56 nm. This presented method is a maskless process and can flexibly change the size, shape and the fill factor of the MLAs by controlling the experimental parameters. The concave MLAs on silicon can work in IR region and can be used for IR sensors and imaging applications.

  12. The Influence of Surface Anisotropy Crystalline Structure on Wetting of Sapphire by Molten Aluminum

    Science.gov (United States)

    Aguilar-Santillan, Joaquin

    2013-05-01

    The wetting of sapphire by molten aluminum was investigated by the sessile drop technique from 1073 K to 1473 K (800 °C to 1200 °C) at PO2 <10-15 Pa under Ar atmosphere. This study focuses on sapphire crystalline structure and its principle to the interface. The planes " a" and " b" are oxygen terminated structures and wet more by Al, whereas the " c" plane is an aluminum terminated structure. A wetting transition at 1273 K (1000 °C) was obtained and a solid surface tension proves the capillarity trends of the couple.

  13. Fabrication and Photovoltaic Characteristics of Coaxial Silicon Nanowire Solar Cells Prepared by Wet Chemical Etching

    Directory of Open Access Journals (Sweden)

    Chien-Wei Liu

    2012-01-01

    Full Text Available Nanostructured solar cells with coaxial p-n junction structures have strong potential to enhance the performances of the silicon-based solar cells. This study demonstrates a radial junction silicon nanowire (RJSNW solar cell that was fabricated simply and at low cost using wet chemical etching. Experimental results reveal that the reflectance of the silicon nanowires (SNWs declines as their length increases. The excellent light trapping was mainly associated with high aspect ratio of the SNW arrays. A conversion efficiency of ∼7.1% and an external quantum efficiency of ∼64.6% at 700 nm were demonstrated. Control of etching time and diffusion conditions holds great promise for the development of future RJSNW solar cells. Improving the electrode/RJSNW contact will promote the collection of carries in coaxial core-shell SNW array solar cells.

  14. Nanosecond laser-induced back side wet etching of fused silica with a copper-based absorber liquid

    Science.gov (United States)

    Lorenz, Pierre; Zehnder, Sarah; Ehrhardt, Martin; Frost, Frank; Zimmer, Klaus; Schwaller, Patrick

    2014-03-01

    Cost-efficient machining of dielectric surfaces with high-precision and low-roughness for industrial applications is still challenging if using laser-patterning processes. Laser induced back side wet etching (LIBWE) using UV laser pulses with liquid heavy metals or aromatic hydrocarbons as absorber allows the fabrication of well-defined, nm precise, free-form surfaces with low surface roughness, e.g., needed for optical applications. The copper-sulphatebased absorber CuSO4/K-Na-Tartrate/NaOH/formaldehyde in water is used for laser-induced deposition of copper. If this absorber can also be used as precursor for laser-induced ablation, promising industrial applications combining surface structuring and deposition within the same setup could be possible. The etching results applying a KrF excimer (248 nm, 25 ns) and a Nd:YAG (1064 nm, 20 ns) laser are compared. The topography of the etched surfaces were analyzed by scanning electron microscopy (SEM), white light interferometry (WLI) as well as laser scanning microscopy (LSM). The chemical composition of the irradiated surface was studied by energy-dispersive X-ray spectroscopy (EDX) and Fourier transform infrared spectroscopy (FT-IR). For the discussion of the etching mechanism the laser-induced heating was simulated with finite element method (FEM). The results indicate that the UV and IR radiation allows micro structuring of fused silica with the copper-based absorber where the etching process can be explained by the laser-induced formation of a copper-based absorber layer.

  15. Fabrication of 3D solenoid microcoils in silica glass by femtosecond laser wet etch and microsolidics

    Science.gov (United States)

    Meng, Xiangwei; Yang, Qing; Chen, Feng; Shan, Chao; Liu, Keyin; Li, Yanyang; Bian, Hao; Du, Guangqing; Hou, Xun

    2015-02-01

    This paper reports a flexible fabrication method for 3D solenoid microcoils in silica glass. The method consists of femtosecond laser wet etching (FLWE) and microsolidics process. The 3D microchannel with high aspect ratio is fabricated by an improved FLWE method. In the microsolidics process, an alloy was chosen as the conductive metal. The microwires are achieved by injecting liquid alloy into the microchannel, and allowing the alloy to cool and solidify. The alloy microwires with high melting point can overcome the limitation of working temperature and improve the electrical property. The geometry, the height and diameter of microcoils were flexibly fabricated by the pre-designed laser writing path, the laser power and etching time. The 3D microcoils can provide uniform magnetic field and be widely integrated in many magnetic microsystems.

  16. Study on morphology of high-aspect-ratio grooves fabricated by using femtosecond laser irradiation and wet etching

    International Nuclear Information System (INIS)

    Chen, Tao; Pan, An; Li, Cunxia; Si, Jinhai; Hou, Xun

    2015-01-01

    Highlights: • We studied morphologies of silicon grooves fabricated by laser irradiation and wet etching. • We found nano-ripple structures formed on the groove sidewall. • Formations of nano-ripples were due to the formation of standing wave and nanoplanes. • Remaining debris on the groove bottom was removed by KOH etching. - Abstract: Morphologies of high-aspect-ratio silicon grooves fabricated by using femtosecond laser irradiation and selective chemical etching of hydrofluoric acid (HF) were studied. Oxygen was deeply doped into silicon under femtosecond laser irradiation in air, and then the oxygen-doped regions were removed by HF etching to form high-aspect-ratio grooves. After HF etching, periodic nano-ripples which were induced in silicon by femtosecond laser were observed on the groove sidewalls. The ripple orientation was perpendicular or parallel to the laser propagation direction (z direction), which depended on the relative direction between the laser polarization direction and the scanning direction. The formation of nano-ripples with orientations perpendicular to z direction could be attributed to the standing wave generated by the interference of the incident light and the reflected light in z direction. The formation of nano-ripples with orientations parallel to z direction could be attributed to the formation of self-organized periodic nanoplanes (bulk nanogratings) induced by femtosecond laser inside silicon. Materials in the tail portion of laser-induced oxygen doping (LIOD) regions were difficult to be etched by HF solution due to low oxygen concentration. The specimen was etched further in KOH solution to remove remaining materials in LIOD regions and all-silicon grooves were fabricated

  17. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers.

    Science.gov (United States)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Maeda, Yoshitomo; Yamasaki, Noriko Y; Mitsuda, Kazuhisa; Shirata, Takayuki; Hayashi, Takayuki; Takano, Takayuki; Maeda, Ryutaro

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K(alpha)0.28 keV and Al K(alpha)1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K(alpha) (approximately 6 nm rms) is significantly larger than approximately 1 nm at Al K(alpha). This can be explained by different coherent lengths at two energies.

  18. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Maeda, Yoshitomo; Yamasaki, Noriko Y.; Mitsuda, Kazuhisa; Shirata, Takayuki; Hayashi, Takayuki; Takano, Takayuki; Maeda, Ryutaro

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K{alpha}0.28 keV and Al K{alpha}1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K{alpha} ({approx}6 nm rms) is significantly larger than {approx}1 nm at Al K{alpha}. This can be explained by different coherent lengths at two energies.

  19. Dry technologies for the production of crystalline silicon solar cells; Trockentechnologien zur Herstellung von kristallinen Siliziumsolarzellen

    Energy Technology Data Exchange (ETDEWEB)

    Rentsch, J.

    2005-04-15

    Within this work, dynamic plasma etching technologies for the industrial production of crystalline silicon solar cells has been investigated. The research activity can be separated into three major steps: the characterisation of the etching behaviour of a newly developed dynamic plasma etching system, the development and analysis of dry etching processes for solar cell production and the determination of the ecological and economical impacts of such a new technology compared to standard up to date technologies. The characterisation of the etching behaviour has been carried out for two different etching sources, a low frequency (110 kHz) and a microwave (2.45 GHz) plasma source. The parameter of interest was the delivered ion energy of each source mainly determining the reachable etch rate. The etch rate turned out to be the main most critical parameter concerning the reachable wafer throughput per hour. Other points of interest in characterisation of the etching system were the material of the transport carriers, the silicon load as well as the process temperatures. The development of different dry etching processes targets the design of a complete dry production process for crystalline silicon solar cells. Therefore etching processes for saw damage removal, texturing, edge isolation as well as etching of dielectric layers have been developed and optimised. The major benefits of a complete dry production process would be the reduction of handling steps in between process steps and therefore offers a large cost reduction potential. For multicrystalline silicon solar cells a cost reduction potential of 5 % compared to a standard wet chemical based reference process could be realized only including the dry etching of a phosphorus silicate glass layer after diffusion. Further reduction potential offers the implementation of a dry texturing process due to a significant efficiency increase. (orig.)

  20. Wet chemical deposition of single crystalline epitaxial manganite thin films with atomically flat surface

    International Nuclear Information System (INIS)

    Mishra, Amita; Dutta, Anirban; Samaddar, Sayanti; Gupta, Anjan K.

    2013-01-01

    We report the wet chemical deposition of single crystalline epitaxial thin films of the colossal magneto-resistive manganite La 0.67 Sr 0.33 MnO 3 on the lattice-matched (001)-face of a La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 substrate. Topographic images of these films taken with a scanning tunneling microscope show atomically flat terraces separated by steps of monatomic height. The resistivity of these films shows an insulator-metal transition at 310 K, nearly coincident with the Curie temperature of 340 K, found from magnetization measurements. The films show a magnetoresistance of 7% at 300 K and 1.2 T. Their saturation magnetization value at low temperatures is consistent with that of the bulk. - Highlights: ► Wet chemical deposition of La 0.67 Sr 0.33 MnO 3 (LSMO) on a lattice-matched substrate. ► Single crystalline epitaxial LSMO films obtained. ► Flat terraces separated by monatomic steps observed by scanning tunneling microscope

  1. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion.

    Directory of Open Access Journals (Sweden)

    R Zahran

    Full Text Available Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5-7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time.

  2. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: swain@iae.re.kr [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Mishra, Chinmayee [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Hong, Hyun Seon [Sungshin University, Dept. of Interdisciplinary ECO Science, Seoul, 142-732 (Korea, Republic of); Cho, Sung-Soo [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of)

    2016-05-15

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m{sup 3} of copper and 1.35 kg/m{sup 3} of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching

  3. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    International Nuclear Information System (INIS)

    Swain, Basudev; Mishra, Chinmayee; Hong, Hyun Seon; Cho, Sung-Soo

    2016-01-01

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m 3 of copper and 1.35 kg/m 3 of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching wastewater

  4. Antireflective surface structures in glass by self-assembly of SiO2 nanoparticles and wet etching.

    Science.gov (United States)

    Maier, Thomas; Bach, David; Müllner, Paul; Hainberger, Rainer; Brückl, Hubert

    2013-08-26

    We describe the fabrication of an antireflective surface structure with sub-wavelength dimensions on a glass surface using scalable low-cost techniques involving sol-gel coating, thermal annealing, and wet chemical etching. The glass surface structure consists of sand dune like protrusions with 250 nm periodicity and a maximum peak-to-valley height of 120 nm. The antireflective structure increases the transmission of the glass up to 0.9% at 700 nm, and the transmission remains enhanced over a wide spectral range and for a wide range of incident angles. Our measurements reveal a strong polarization dependence of the transmission change.

  5. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  6. Response of murine bone marrow-derived mesenchymal stromal cells to dry-etched porous silicon scaffolds.

    Science.gov (United States)

    Hajj-Hassan, Mohamad; Khayyat-Kholghi, Maedeh; Wang, Huifen; Chodavarapu, Vamsy; Henderson, Janet E

    2011-11-01

    Porous silicon shows great promise as a bio-interface material due to its large surface to volume ratio, its stability in aqueous solutions and to the ability to precisely regulate its pore characteristics. In the current study, porous silicon scaffolds were fabricated from single crystalline silicon wafers by a novel xenon difluoride dry etching technique. This simplified dry etch fabrication process allows selective formation of porous silicon using a standard photoresist as mask material and eliminates the post-formation drying step typically required for the wet etching techniques, thereby reducing the risk of damaging the newly formed porous silicon. The porous silicon scaffolds supported the growth of primary cultures of bone marrow derived mesenchymal stromal cells (MSC) plated at high density for up to 21 days in culture with no significant loss of viability, assessed using Alamar Blue. Scanning electron micrographs confirmed a dense lawn of cells at 9 days of culture and the presence of MSC within the pores of the porous silicon scaffolds. Copyright © 2011 Wiley Periodicals, Inc.

  7. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  8. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon, E-mail: mphoung@eembox.ncku.edu.tw

    2015-06-15

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H{sub 3}PO{sub 4}, HCl, and HNO{sub 3} are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO{sub 3} exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10{sup −4} Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H{sub 3}PO{sub 4}, HCl and HNO{sub 3} were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm{sup 2} and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO{sub 3}-etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%.

  9. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    International Nuclear Information System (INIS)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon

    2015-01-01

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H 3 PO 4 , HCl, and HNO 3 are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO 3 exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10 −4 Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H 3 PO 4 , HCl and HNO 3 were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO 3 -etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm 2 and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO 3 -etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO 3 -etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%

  10. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  11. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  12. Enhancement of terahertz radiation in a Smith-Purcell backward-wave oscillator by an inverse wet-etched grating

    International Nuclear Information System (INIS)

    Kim, Jung-Il; Jeon, Seok-Gy; Kim, Geun-Ju; Kim, Jaehong

    2011-01-01

    A terahertz (THz) Smith-Purcell (SP) backward-wave oscillator with an inverse wet-etched grating based on silicon has been proposed to enhance radiation intensity. This grating strengthens the interactions between an electron beam and the evanescent wave due to the adjacent surface structure between gratings that improves the magnitude of the electric field up to 1.7 times compared to the conventional rectangular gratings. A two-dimensional particle-in-cell (PIC) simulation shows that the radiated power is increased up to 2.3 times higher at the radiated frequency of 0.66 THz for an electron-beam energy of 30 keV.

  13. Optimization of permanganic etching of polyethylenes for scanning electron microscopy

    International Nuclear Information System (INIS)

    Naylor, K.L.; Phillips, P.J.

    1983-01-01

    The permanganic etching technique has been studied as a function of time, temperature, and concentration for a series of polyethylenes. Kinetic studies show that a film of reaction products builds up on the surface, impeding further etching, an effect which is greatest for the lowest-crystallinity polymers. SEM studies combined with EDS show that the film contains sulfur, potassium and some manganese. An artifact is produced by the etching process which is impossible to remove by washing procedures if certain limits of time, temperature, and concentration are exceeded. For lower-crystallinity polyethylenes multiple etching and washing steps were required for optimal resolution. Plastic deformation during specimen preparation, whether from scratches or freeze fracturing, enhances artifact formation. When appropriate procedures are used, virtually artifact-free surfaces can be produced allowing a combination of permanganic etching and scanning electron microscopy to give a rapid method for detailed morphological characterization of bulk specimens

  14. Formation of hydrogen-related traps in electron-irradiated n-type silicon by wet chemical etching

    International Nuclear Information System (INIS)

    Tokuda, Yutaka; Shimada, Hitoshi

    1998-01-01

    Interaction of hydrogen atoms and vacancy-related defects in 10 MeV electron-irradiated n-type silicon has been studied by deep-level transient spectroscopy. Hydrogen has been incorporated into electron-irradiated n-type silicon by wet chemical etching. The reduction of the concentration of the vacancy-oxygen pair and divacancy occurs by the incorporation of hydrogen, while the formation of the NH1 electron trap (E c - 0.31 eV) is observed. Further decrease of the concentration of the vacancy-oxygen pair and further increase of the concentration of the NH1 trap are observed upon subsequent below-band-gap light illumination. It is suggested that the trap NH1 is tentatively ascribed to the vacancy-oxygen pair which is partly saturated with hydrogen

  15. Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography

    International Nuclear Information System (INIS)

    Woldering, Leon A; Tjerkstra, R Willem; Vos, Willem L; Jansen, Henri V; Setija, Irwan D

    2008-01-01

    We report on the fabrication of periodic arrays of deep nanopores with high aspect ratios in crystalline silicon. The radii and pitches of the pores were defined in a chromium mask by means of deep UV scan and step technology. The pores were etched with a reactive ion etching process with SF 6 , optimized for the formation of deep nanopores. We have realized structures with pitches between 440 and 750 nm, pore diameters between 310 and 515 nm, and depth to diameter aspect ratios up to 16. To the best of our knowledge, this is the highest aspect ratio ever reported for arrays of nanopores in silicon made with a reactive ion etching process. Our experimental results show that the etching rate of the nanopores is aspect-ratio-dependent, and is mostly influenced by the angular distribution of the etching ions. Furthermore we show both experimentally and theoretically that, for sub-micrometer structures, reducing the sidewall erosion is the best way to maximize the aspect ratio of the pores. Our structures have potential applications in chemical sensors, in the control of liquid wetting of surfaces, and as capacitors in high-frequency electronics. We demonstrate by means of optical reflectivity that our high-quality structures are very well suited as photonic crystals. Since the process studied is compatible with existing CMOS semiconductor fabrication, it allows for the incorporation of the etched arrays in silicon chips

  16. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  17. Growing Embossed Nanostructures of Polymer Brushes on Wet-Etched Silicon Templated via Block Copolymers

    Science.gov (United States)

    Lu, Xiaobin; Yan, Qin; Ma, Yinzhou; Guo, Xin; Xiao, Shou-Jun

    2016-02-01

    Block copolymer nanolithography has attracted enormous interest in chip technologies, such as integrated silicon chips and biochips, due to its large-scale and mass production of uniform patterns. We further modified this technology to grow embossed nanodots, nanorods, and nanofingerprints of polymer brushes on silicon from their corresponding wet-etched nanostructures covered with pendent SiHx (X = 1-3) species. Atomic force microscopy (AFM) was used to image the topomorphologies, and multiple transmission-reflection infrared spectroscopy (MTR-IR) was used to monitor the surface molecular films in each step for the sequential stepwise reactions. In addition, two layers of polymethacrylic acid (PMAA) brush nanodots were observed, which were attributed to the circumferential convergence growth and the diffusion-limited growth of the polymer brushes. The pH response of PMAA nanodots in the same region was investigated by AFM from pH 3.0 to 9.0.

  18. Single Mode Optical Fiber based Refractive Index Sensor using Etched Cladding

    OpenAIRE

    Kumar, Ajay; Gupta, Geeta; Mallik, Arun; Bhatnagar, Anuj

    2011-01-01

    The use of optical fiber for sensor applications is a topic of current interest. We report the fabrication of etched single mode optical fiber based refractive index sensor. Experiments are performed to determine the etch rate of fiber in buffered hydrofluoric acid, which can be high or low depending upon the temperature at which etching is carried out. Controlled wet etching of fiber cladding is performed using these measurements and etched fiber region is tested for refractive index sensing...

  19. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  20. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching.

    Science.gov (United States)

    Irrera, Alessia; Artoni, Pietro; Fioravanti, Valeria; Franzò, Giorgia; Fazio, Barbara; Musumeci, Paolo; Boninelli, Simona; Impellizzeri, Giuliana; Terrasi, Antonio; Priolo, Francesco; Iacona, Fabio

    2014-02-12

    Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm-2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS: 61.46.Km; 78.55.-m; 78.67.Lt.

  1. Cradle-to-gate life cycle assessment of the dry etching step in the manufacturing of photovoltaic cells

    Directory of Open Access Journals (Sweden)

    Otto Andersen

    2014-11-01

    Full Text Available A new photovoltaic silicon crystalline solar cell dry chemical etching process (DCEP is developed. It is an alternative to the current State-of-the-Art (SoA wet chemical etching process (WCEP, associated with relatively large environmental loadings in the form of high water consumption and emissions of greenhouse gases with high Global Warming Potential (GWP. In order to compare the environmental impacts of DCEP to the corresponding impacts from WCEP, a comparative attributional life cycle assessment (LCA is conducted. From the LCA it can be concluded that the DCEP will lead to 86% reduction in water consumption compared to WCEP (acidic, and 89% reduction compared to WCEP (alkaline. The emissions of greenhouse gases, as expressed by the GWP100 indicator of the etching step, are also reduced with 63% and 20% respectively, when compared with current SoA acidic and alkaline WCEP. The toxicity impacts are also assessed to be lower for the DCEP compared to WCEP technologies, although the uncertainty is relatively high for the applied toxicity indicators. All in all, DCEP can reduce the CO2eq emissions of solar photovoltaic systems production by 5-10%.

  2. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, H. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany)], E-mail: angermann@hmi.de; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M. [Hahn-Meitner-Institut, Abt. Siliziumphotovoltaik, Kekulestrasse 5, D-12489 Berlin (Germany); Huebener, K.; Hauschild, J. [Freie Universitaet Berlin, FB Physik, Arnimallee 14, 14195 Berlin (Germany)

    2008-08-30

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D{sub it}(E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency.

  3. Optimisation of electronic interface properties of a-Si:H/c-Si hetero-junction solar cells by wet-chemical surface pre-treatment

    International Nuclear Information System (INIS)

    Angermann, H.; Korte, L.; Rappich, J.; Conrad, E.; Sieber, I.; Schmidt, M.; Huebener, K.; Hauschild, J.

    2008-01-01

    The relation between structural imperfections at structured silicon surfaces, energetic distribution of interface state densities, recombination loss at a-Si:H/c-Si interfaces and solar cell characteristics have been intensively investigated using non-destructive, surface sensitive techniques, surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and electron microscopy (SEM). Sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of Si(111) pyramids. Special wet-chemical smoothing and oxide removal procedures for structured substrates were developed, in order to reduce the preparation-induced surface micro-roughness and density of electronically active defects. H-termination and passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological process. We achieved significantly lower micro-roughness, densities of surface states D it (E) and recombination loss at a-Si:H/c-Si interfaces on wafers with randomly distributed pyramids, compared to conventional pre-treatments. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H/c-Si/BSF/Al), the c-Si surface becomes part of the a-Si:H/c-Si interface, whose recombination activity determines cell performance. With textured substrates, the smoothening procedure results in a significant increase of short circuit current, fill factor and efficiency

  4. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  5. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  6. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  7. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  8. Coupling of single quantum emitters to plasmons propagating on mechanically etched wires

    DEFF Research Database (Denmark)

    Kumar, Shailesh; Huck, Alexander; Lu, Ying-Wei

    2013-01-01

    We demonstrate the coupling of a single nitrogen vacancy center in a nanodiamond to propagating plasmonic modes of mechanically etched silver nanowires. The mechanical etch is performed on single crystalline silver nanoplates by the tip of an atomic force microscope cantilever to produce wires...

  9. Selective etching characteristics of the AgInSbTe phase-change film in laser thermal lithography

    International Nuclear Information System (INIS)

    Li, Hao; Geng, Yongyou; Wu, Yiqun

    2012-01-01

    In the current work, the etching selectivity of the AgInSbTe phase-change film in laser thermal lithography is reported for the first time. Film phase change induced by laser irradiation and etching selectivity to crystalline and amorphous states in different etchants, including hydrochloric acid, sulfuric acid, phosphoric acid, nitric acid, sodium hydroxide, sodium sulfide, ammonium sulfide and ammonium hydroxide, are investigated. The results indicated that ammonium sulfide solvent (2.5 mol/L) had excellent etching selectivity to crystalline and amorphous states of the AgInSbTe film, and the etching characteristics were strongly influenced by the laser power density and laser irradiation time. The etching rate of the crystalline state of the AgInSbTe film was 40.4 nm/min, 20 times higher than that of the amorphous state under optimized irradiation conditions (power density: 6.63 mW/μm 2 and irradiation time: 330 ns), with ammonium sulfide solvent (2.5 mol/L) as etchant. The step profile produced in the selective etching was clear, and smooth surfaces remained both on the step-up and step-down with a roughness of less than 4 nm (10 x 10 μm). The excellent performance of the AgInSbTe phase-change film in selective etching is significant for fabrication of nanostructures with super-resolution in laser thermal lithography. (orig.)

  10. Modeling early in situ wetting of a compacted bentonite buffer installed in low permeable crystalline bedrock

    Science.gov (United States)

    Dessirier, B.; Frampton, A.; Fransson, À.; Jarsjö, J.

    2016-08-01

    The repository concept for geological disposal of spent nuclear fuel in Sweden and Finland is planned to be constructed in sparsely fractured crystalline bedrock and with an engineered bentonite buffer to embed the waste canisters. An important stage in such a deep repository is the postclosure phase following the deposition and the backfilling operations when the initially unsaturated buffer material gets hydrated by the groundwater delivered by the natural bedrock. We use numerical simulations to interpret observations on buffer wetting gathered during an in situ campaign, the Bentonite Rock Interaction Experiment, in which unsaturated bentonite columns were introduced into deposition holes in the floor of a 417 m deep tunnel at the Äspö Hard Rock Laboratory in Sweden. Our objectives are to assess the performance of state-of-the-art flow models in reproducing the buffer wetting process and to investigate to which extent dependable predictions of buffer wetting times and saturation patterns can be made based on information collected prior to buffer insertion. This would be important for preventing insertion into unsuitable bedrock environments. Field data and modeling results indicate the development of a de-saturated zone in the rock and show that in most cases, the presence or absence of fractures and flow heterogeneity are more important factors for correct wetting predictions than the total inflow. For instance, for an equal open-hole inflow value, homogeneous inflow yields much more rapid buffer wetting than cases where fractures are represented explicitly thus creating heterogeneous inflow distributions.

  11. Fabrication of etched facets and vertical couplers in InP for packaging and on-wafer test

    NARCIS (Netherlands)

    Lemos Alvares Dos Santos, Rui; D'Agostino, D.; Soares, F. M.; Haghighi, H. Rabbani; Williams, K. A.; Leijtens, X. J. M.

    2016-01-01

    In this letter, the fabrication and the characterization of angled and straight etched facets in InP-based technology are reported. In addition, we report on etched facets combined with coupler mirrors for vertical outcoupling, realized with a wet-etching process.

  12. Wet-etching induced abnormal phase transition in highly strained VO{sub 2}/TiO{sub 2} (001) epitaxial film

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Hui; Chen, Shi; Chen, Yuliang; Luo, Zhenlin; Zhou, Jingtian; Zheng, Xusheng; Wang, Liangxin; Li, Bowen; Zou, Chongwen [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei (China)

    2018-01-15

    The metal-insulator transition (MIT) behavior in vanadium dioxide (VO{sub 2}) epitaxial film is known to be dramatically affected by interfacial stress due to lattice mismatching. For the VO{sub 2}/TiO{sub 2} (001) system, there exists a considerable strain in ultra-thin VO{sub 2} thin film, which shows a lower T{sub c} value close to room temperature. As the VO{sub 2} epitaxial film grows thicker layer-by-layer along the ''bottom-up'' route, the strain will be gradually relaxed and T{sub c} will increase as well, until the MIT behavior becomes the same as that of bulk material with a T{sub c} of about 68 C. Whereas, in this study, we find that the VO{sub 2}/TiO{sub 2} (001) film thinned by ''top-down'' wet-etching shows an abnormal variation in MIT, which accompanies the potential relaxation of film strain with thinning. It is observed that even when the strained VO{sub 2} film is etched up to several nanometers, the MIT persists, and T{sub c} will increase up to that of bulk material, showing the trend to a stress-free ultra-thin VO{sub 2} film. The current findings demonstrate a facial chemical-etching way to change interfacial strain and modulate the phase transition behavior of ultrathinVO{sub 2} films, which can also be applied to other strained oxide films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Fabrication of 3D electro-thermal micro actuators in silica glass by femtosecond laser wet etch and microsolidics

    Science.gov (United States)

    Li, Qichao; Shan, Chao; Yang, Qing; Chen, Feng; Bian, Hao; Hou, Xun

    2017-02-01

    This paper demonstrates a novel electro-thermal micro actuator's design, fabrication and device tests which combine microfluidic technology and microsolidics process. A three-dimensional solenoid microchannel with high aspect ratio is fabricated inside the silica glass by an improved femtosecond laser wet etch (FLWE) technology, and the diameter of the spiral coil is only 200 μm. Molten alloy (Bi/In/Sn/Pb) with high melting point is injected into the three-dimensional solenoid microchannel inside the silica glass , then it solidifys and forms an electro-thermal micro actuator. The device is capable of achieving precise temperature control and quick response, and can also be easily integrated into MEMS, sensors and `lab on a chip' (LOC) platform inside the fused silica substrate.

  14. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  15. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  16. High energy heavy ion beam lithography in silicon

    International Nuclear Information System (INIS)

    Rout, Bibhudutta; Dymnikov, Alexander D.; Zachry, Daniel P.; Eschenazi, Elia V.; Wang, Yongqiang Q.; Greco, Richard R.; Glass, Gary A.

    2007-01-01

    As high energy ions travel through a crystalline semiconductor materials they produce damage along the path which results in resistance to some of the wet chemical etching. A series of preliminary experiments have been performed at the Louisiana Accelerator Center (LAC) to examine the feasibility of irradiating high energy (keV-MeV) ions such as protons, xenon and gold through microscale masked structures on crystalline (n-type) Si substrates followed by wet chemical etch with KOH for attaining deep micromachining in Si. The results of these experiments are reported

  17. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    International Nuclear Information System (INIS)

    Upadhyay, Janardan; Phillips, Larry; Valente, Anne-Marie

    2011-01-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  18. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    Energy Technology Data Exchange (ETDEWEB)

    Janardan Upadhyay, Larry Phillips, Anne-Marie Valente

    2011-09-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  19. In situ reflectivity investigations of solid/liquid interface during laser backside etching

    International Nuclear Information System (INIS)

    Boehme, R.; Otto, T.; Zimmer, K.

    2006-01-01

    In situ reflectivity measurements of the solid/liquid interface with a pump-probe setup were performed during laser-induced backside wet etching (LIBWE) of fused silica with KrF excimer laser using toluene as absorbing liquid. The intensity, the temporal shape, and the duration of the reflected light measured in dependence on the laser fluence are discussed referring to the surface modification and the bubble formation. The vaporisation of the superheated liquid at the solid interface causes a considerable increase of the reflectivity and gives information about the bubble lifetime. The alterations of the reflectivity after bubbles collapse can be explained with the changed optical properties due to surface modifications of the solid surface. Comparative studies of the reflectivity at different times and the etch rate behaviour in dependence on the laser fluence show that the in situ measured surface modification begins just at the etch threshold fluence and correlates further with etch rate behaviour and the etched surface appearance. The already observed surface modification at LIBWE due to a carbon deposition and structural changes of the near surface region are approved by the changes of the interface reflectivity and emphasizes the importance of the modified surface region in the laser-induced backside wet etching process

  20. Single step sequential polydimethylsiloxane wet etching to fabricate a microfluidic channel with various cross-sectional geometries

    Science.gov (United States)

    Wang, C.-K.; Liao, W.-H.; Wu, H.-M.; Lo, Y.-H.; Lin, T.-R.; Tung, Y.-C.

    2017-11-01

    Polydimethylsiloxane (PDMS) has become a widely used material to construct microfluidic devices for various biomedical and chemical applications due to its desirable material properties and manufacturability. PDMS microfluidic devices are usually fabricated using soft lithography replica molding methods with master molds made of photolithogrpahy patterned photoresist layers on silicon wafers. The fabricated microfluidic channels often have rectangular cross-sectional geometries with single or multiple heights. In this paper, we develop a single step sequential PDMS wet etching process that can be used to fabricate microfluidic channels with various cross-sectional geometries from single-layer PDMS microfluidic channels. The cross-sections of the fabricated channel can be non-rectangular, and varied along the flow direction. Furthermore, the fabricated cross-sectional geometries can be numerically simulated beforehand. In the experiments, we fabricate microfluidic channels with various cross-sectional geometries using the developed technique. In addition, we fabricate a microfluidic mixer with alternative mirrored cross-sectional geometries along the flow direction to demonstrate the practical usage of the developed technique.

  1. Influence of sputtering conditions on the optical and electrical properties of laser-annealed and wet-etched room temperature sputtered ZnO:Al thin films

    Energy Technology Data Exchange (ETDEWEB)

    Boukhicha, Rym, E-mail: rym.boukhicha@polytechnique.edu [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Charpentier, Coralie [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Total S and M — New Energies Division, R and D Division, Department of Solar Energies EN/BO/RD/SOL, Tour Michelet, 24 cours Michelet, La Défense 10, 92069 Paris La Défense Cedex (France); Prod' Homme, Patricia [Total S and M — New Energies Division, R and D Division, Department of Solar Energies EN/BO/RD/SOL, Tour Michelet, 24 cours Michelet, La Défense 10, 92069 Paris La Défense Cedex (France); Roca i Cabarrocas, Pere [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France); Lerat, Jean-François; Emeraud, Thierry [Photovoltaic Business Unit, Excico Group NV, Kempische Steenweg 305/2, B-3500 Hasselt (Belgium); Johnson, Erik [CNRS, LPICM, Ecole Polytechnique, 91128 Palaiseau (France)

    2014-03-31

    We explore the influence of the sputtering deposition conditions on the outcome of an excimer laser anneal and chemical etching process with the goal of producing highly textured substrates for thin film silicon solar cells. Aluminum-doped zinc oxide (ZnO:Al) thin films were prepared on glass substrates by radio frequency magnetron sputtering from a ceramic target at room temperature. The effects of the process pressure (0.11–1.2 Pa) and oxygen flow (0–2 sccm) on the optical and electrical properties of ZnO:Al thin films have been studied both before and after an excimer laser annealing treatment followed by a dilute HCl chemical etch. The as-deposited films varied from completely opaque to yellowish. Thin film laser annealing dramatically improves the optical properties of the most opaque thin films. After laser annealing at the optimum fluence, the average transmittance in the visible wavelength range was around 80% for most films, and reasonable electrical performance was obtained for the films deposited at lower pressures and without oxygen flux (7 Ω/□ for films of 1 μm). After etching, all films displayed a dramatic improvement in haze, but only the low pressure, low oxygen films retained acceptable electrical properties (< 11 Ω/□). - Highlights: • Al:ZnO thin films were deposited at room temperature. • The ZnO:Al films were excimer laser annealed and then wet-etched. • The optical and electrical properties were studied in details.

  2. Neutron-induced modifications on Hostaphan and Makrofol wettability and etching behaviors

    International Nuclear Information System (INIS)

    El-Sayed, D.; El-Saftawy, A.A.; Abd El Aal, S.A.; Fayez-Hassan, M.; Al-Abyad, M.; Mansour, N.A.; Seddik, U.

    2017-01-01

    Understanding the nature of polymers used as nuclear detectors is crucial to enhance their behaviors. In this work, the induced modifications in wettability and etching properties of Hostaphan and Makrofol polymers irradiated by different fluences of thermal neutrons are investigated. The wetting properties are studied by contact angle technique which showed the spread out of various liquids over the irradiated polymers surfaces (wettability enhanced). This wetting behavior is attributed to the induced changes in surface free energy (SFE), morphology, roughness, structure, hardness, and chemistry. SFE values are calculated by three different models and found to increase after neutrons irradiation associated with differences depending on the used model. These differences result from the intermolecular interactions in the liquid/polymer system. Surface morphology and roughness of both polymers showed drastic changes after irradiation. Additionally, surface structure and hardness of pristine and irradiated polymers were discussed and correlated to the surface wettability improvements. The changes in surface chemistry are examined by Fourier transform infrared spectroscopy (FTIR), which indicate an increase in surface polarity due to the formation of polar groups. The irradiated polymers etching characteristics and activation energies are discussed as well. Lastly, it is evident that thermal neutrons show efficiency in improving surface wettability and etching properties of Hostaphan and Makrofol in a controlled way. - Highlights: • Neutrons radiation used to modify Hostaphan and Makrofol polymer wetting behavior. • Tailoring surface structure, topography and chemistry control its wettability. • Bulk etching rate and activation energy improved after neutrons irradiation.

  3. Ethanol Wet-bonding Technique Sensitivity Assessed by AFM

    OpenAIRE

    Osorio, E.; Toledano, M.; Aguilera, F.S.; Tay, F.R.; Osorio, R.

    2010-01-01

    In ethanol wet bonding, water is replaced by ethanol to maintain dehydrated collagen matrices in an extended state to facilitate resin infiltration. Since short ethanol dehydration protocols may be ineffective, this study tested the null hypothesis that there are no differences in ethanol dehydration protocols for maintaining the surface roughness, fibril diameter, and interfibrillar spaces of acid-etched dentin. Polished human dentin surfaces were etched with phosphoric acid and water-rinsed...

  4. Near-infrared emission from mesoporous crystalline germanium

    Energy Technology Data Exchange (ETDEWEB)

    Boucherif, Abderraouf; Aimez, Vincent; Arès, Richard, E-mail: richard.ares@usherbrooke.ca [Institut Interdisciplinaire d’Innovation Technologique (3IT), Université de Sherbrooke, 3000 Boulevard Université, Sherbrooke, J1K OA5, Québec (Canada); Laboratoire Nanotechnologies Nanosystèmes (LN2)-CNRS UMI-3463, Université de Sherbrooke, 3000 Boulevard Université, Sherbrooke, J1K OA5, Québec (Canada); Korinek, Andreas [Canadian Centre for Electron Microscopy, Brockhouse Institute for Materials Research, McMaster University, Hamilton, Ontario, L8S 4M1 (Canada)

    2014-10-15

    Mesoporous crystalline germanium was fabricated by bipolar electrochemical etching of Ge wafer in HF-based electrolyte. It yields uniform mesoporous germanium layers composed of high density of crystallites with an average size 5-7 nm. Subsequent extended chemical etching allows tuning of crystallites size while preserving the same chemical composition. This highly controllable nanostructure exhibits photoluminescence emission above the bulk Ge bandgap, in the near-infrared range (1095-1360nm) with strong evidence of quantum confinement within the crystallites.

  5. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  6. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  7. Effect of ethanol-wet-bonding technique on resin–enamel bonds

    Directory of Open Access Journals (Sweden)

    Muhammet Kerim Ayar

    2014-03-01

    Conclusion: The ethanol-wet-bonding technique may increase the bond strength of commercial adhesives to enamel. The chemical composition of the adhesives can affect the bond strength of adhesives when bonding to acid-etched enamel, using the ethanol-wet-bonding technique. Some adhesive systems used in the present study may simultaneously be applied to enamel and dentin using ethanol-wet-bonding. Furthermore, deploying ethanol-wet-bonding for the tested commercial adhesives to enamel can increase the adhesion abilities of these adhesives to enamel.

  8. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  9. Damage-free back channel wet-etch process in amorphous indium-zinc-oxide thin-film transistors using a carbon-nanofilm barrier layer.

    Science.gov (United States)

    Luo, Dongxiang; Zhao, Mingjie; Xu, Miao; Li, Min; Chen, Zikai; Wang, Lang; Zou, Jianhua; Tao, Hong; Wang, Lei; Peng, Junbiao

    2014-07-23

    Amorphous indium-zinc-oxide thin film transistors (IZO-TFTs) with damage-free back channel wet-etch (BCE) process were investigated. A carbon (C) nanofilm was inserted into the interface between IZO layer and source/drain (S/D) electrodes as a barrier layer. Transmittance electron microscope images revealed that the 3 nm-thick C nanofilm exhibited a good corrosion resistance to a commonly used H3PO4-based etchant and could be easily eliminated. The TFT device with a 3 nm-thick C barrier layer showed a saturated field effect mobility of 14.4 cm(2) V(-1) s(-1), a subthreshold swing of 0.21 V/decade, an on-to-off current ratio of 8.3 × 10(10), and a threshold voltage of 2.0 V. The favorable electrical performance of this kind of IZO-TFTs was due to the protection of the inserted C to IZO layer in the back-channel-etch process. Moreover, the low contact resistance of the devices was proved to be due to the graphitization of the C nanofilms after annealing. In addition, the hysteresis and thermal stress testing confirmed that the usage of C barrier nanofilms is an effective method to fabricate the damage-free BCE-type devices with high reliability.

  10. Optical ridge waveguides preserving the thermo-optic features in LiNbO3 crystals fabricated by combination of proton implantation and selective wet etching.

    Science.gov (United States)

    Tan, Yang; Chen, Feng

    2010-05-24

    We report on a new, simple method to fabricate optical ridge waveguides in a z-cut LiNbO3 wafer by using proton implantation and selective wet etching. The measured modal field is well confined in the ridge waveguide region, which is also confirmed by the numerical simulation. With thermal annealing treatment at 400 degrees C, the propagation loss of the ridge waveguides is determined to be as low as approximately 0.9 dB/cm. In addition, the measured thermo-optic coefficients of the waveguides are in good agreement with those of the bulk, suggesting potential applications in integrated photonics.

  11. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    Science.gov (United States)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  12. Liquid droplet sensing using twisted optical fiber couplers fabricated by hydrofluoric acid flow etching

    Science.gov (United States)

    Son, Gyeongho; Jung, Youngho; Yu, Kyoungsik

    2017-04-01

    We report a directional-coupler-based refractive index sensor and its cost-effective fabrication method using hydrofluoric acid droplet wet-etching and surface-tension-driven liquid flows. The proposed fiber sensor consists of a pair of twisted tapered optical fibers with low excess losses. The fiber cores in the etched microfiber region are exposed to the surrounding medium for efficient interaction with the guided light. We observe that the etching-based low-loss fiber-optic sensors can measure the water droplet volume by detecting the refractive index changes of the surrounding medium around the etched fiber core region.

  13. Self-Anchored Catalyst Interface Enables Ordered Via Array Formation from Submicrometer to Millimeter Scale for Polycrystalline and Single-Crystalline Silicon.

    Science.gov (United States)

    Kim, Jeong Dong; Kim, Munho; Kong, Lingyu; Mohseni, Parsian K; Ranganathan, Srikanth; Pachamuthu, Jayavel; Chim, Wai Kin; Chiam, Sing Yang; Coleman, James J; Li, Xiuling

    2018-03-14

    Defying text definitions of wet etching, metal-assisted chemical etching (MacEtch), a solution-based, damage-free semiconductor etching method, is directional, where the metal catalyst film sinks with the semiconductor etching front, producing 3D semiconductor structures that are complementary to the metal catalyst film pattern. The same recipe that works perfectly to produce ordered array of nanostructures for single-crystalline Si (c-Si) fails completely when applied to polycrystalline Si (poly-Si) with the same doping type and level. Another long-standing challenge for MacEtch is the difficulty of uniformly etching across feature sizes larger than a few micrometers because of the nature of lateral etching. The issue of interface control between the catalyst and the semiconductor in both lateral and vertical directions over time and over distance needs to be systematically addressed. Here, we present a self-anchored catalyst (SAC) MacEtch method, where a nanoporous catalyst film is used to produce nanowires through the pinholes, which in turn physically anchor the catalyst film from detouring as it descends. The systematic vertical etch rate study as a function of porous catalyst diameter from 200 to 900 nm shows that the SAC-MacEtch not only confines the etching direction but also enhances the etch rate due to the increased liquid access path, significantly delaying the onset of the mass-transport-limited critical diameter compared to nonporous catalyst c-Si counterpart. With this enhanced mass transport approach, vias on multistacks of poly-Si/SiO 2 are also formed with excellent vertical registry through the polystack, even though they are separated by SiO 2 which is readily removed by HF alone with no anisotropy. In addition, 320 μm square through-Si-via (TSV) arrays in 550 μm thick c-Si are realized. The ability of SAC-MacEtch to etch through poly/oxide/poly stack as well as more than half millimeter thick silicon with excellent site specificity for a wide

  14. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  15. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  16. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  17. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  18. Improvement of the optical quality of site-controlled InAs quantum dots by a double stack growth technique in wet-chemically etched holes

    Energy Technology Data Exchange (ETDEWEB)

    Pfau, Tino Johannes; Gushterov, Aleksander; Reithmaier, Johann-Peter [Technische Physik, INA, Universitaet Kassel (Germany); Cestier, Isabelle; Eisenstein, Gadi [Electrical Engineering Dept., Technion, Haifa (Israel); Linder, Evgany; Gershoni, David [Solid State Institute and Physics Dept., Technion, Haifa (Israel)

    2010-07-01

    The optimization of the wet-chemically etching of holes and a special MBE growth stack technique allows enlarging the site-control of low density InAs QDs on GaAs substrates up to a buffer layer thickness of 55 nm. The strain of InAs QDs, grown in the etched holes, reduces the hole closing, so that a pre-patterned surface is conserved for the second QD layer. The distance of 50 nm GaAs between the two QD layers exceeds drastically the maximum vertical alignment based on pure strain coupling (20 nm). Compared to stacks with several QD layers, this method avoids electronic coupling between the different QD layers and reduces the problems to distinguish the dots of different layers optically. Confocal microphotoluminescence reveals a significant diminution of the low temperature photoluminescence linewidth of the second InAs QD layer to an average value of 505{+-}53 {mu}eV and a minimum width of 460 {mu}eV compared to 2 to 4 meV for QDs grown on thin buffer layers. The increase of the buffer layer thickness decreases the influence of the surface defects caused by prepatterning.

  19. Etching characteristics of Si{110} in 20 wt% KOH with addition of hydroxylamine for the fabrication of bulk micromachined MEMS

    Science.gov (United States)

    Rao, A. V. Narasimha; Swarnalatha, V.; Pal, P.

    2017-12-01

    Anisotropic wet etching is a most widely employed for the fabrication of MEMS/NEMS structures using silicon bulk micromachining. The use of Si{110} in MEMS is inevitable when a microstructure with vertical sidewall is to be fabricated using wet anisotropic etching. In most commonly employed etchants (i.e. TMAH and KOH), potassium hydroxide (KOH) exhibits higher etch rate and provides improved anisotropy between Si{111} and Si{110} planes. In the manufacturing company, high etch rate is demanded to increase the productivity that eventually reduces the cost of end product. In order to modify the etching characteristics of KOH for the micromachining of Si{110}, we have investigated the effect of hydroxylamine (NH2OH) in 20 wt% KOH solution. The concentration of NH2OH is varied from 0 to 20% and the etching is carried out at 75 °C. The etching characteristics which are studied in this work includes the etch rates of Si{110} and silicon dioxide, etched surface morphology, and undercutting at convex corners. The etch rate of Si{110} in 20 wt% KOH + 15% NH2OH solution is measured to be four times more than that of pure 20 wt% KOH. Moreover, the addition of NH2OH increases the undercutting at convex corners and enhances the etch selectivity between Si and SiO2.

  20. Wet-chemical etching of atom probe tips for artefact free analyses of nanoscaled semiconductor structures.

    Science.gov (United States)

    Melkonyan, D; Fleischmann, C; Veloso, A; Franquet, A; Bogdanowicz, J; Morris, R J H; Vandervorst, W

    2018-03-01

    We introduce an innovative specimen preparation method employing the selectivity of a wet-chemical etching step to improve data quality and success rates in the atom probe analysis of contemporary semiconductor devices. Firstly, on the example of an SiGe fin embedded in SiO 2 we demonstrate how the selective removal of SiO 2 from the final APT specimen significantly improves accuracy and reliability of the reconstructed data. With the oxide removal, we eliminate the origin of shape artefacts, i.e. the formation of a non-hemispherical tip shape, that are typically observed in the reconstructed volume of complex systems. Secondly, using the same approach, we increase success rates to ∼90% for the damage-free, 3D site-specific localization of short (250 nm), vertical Si nanowires at the specimen apex. The impact of the abrupt emitter radius change that is introduced by this specimen preparation method is evaluated as being minor using field evaporation simulation and comparison of different reconstruction schemes. The Ge content within the SiGe fin as well as the 3D boron distribution in the Si NW as resolved by atom probe analysis are in good agreement with TEM/EDS and ToF-SIMS analysis, respectively. Copyright © 2017 Elsevier B.V. All rights reserved.

  1. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    Science.gov (United States)

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  2. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  3. Imaging and etching, soft x-ray microscopy on whole wet cells

    International Nuclear Information System (INIS)

    Gilbert, J.R.; Pine, J.

    1993-01-01

    The authors have produced images of whole wet tissue culture cells with the Stony Brook/BNL scanning transmission x-ray microscope (STXM). For fixed cells the authors have taken images at theoretical resolutions of ∼50-75nm, and in practice have measured FWHM of features down to near 100nm, without any exotic image processing. For unfixed (i.e., initially live) cells the authors have imaged with 100nm pixels and measured features down to 250nm. In order to do this the authors have developed, tested and used a wet cell for maintaining fixed or live cells on the STXM stage during imaging. The design of the wet cell and the culture substrates that go with it make the STXM compatible with almost all standard systems for surface adherent tissue culture. The authors will show some new images of whole wet fixed and unfixed cells, with visible sub-micron features. The authors will also report data that helps to characterize the tissue damage due to x-ray absorption during STXM imaging

  4. Structure and magnetic properties of Zn1-xCoxO single-crystalline nanorods synthesized by a wet chemical method

    International Nuclear Information System (INIS)

    Wang Hao; Wang, H B; Yang, F J; Chen, Y; Zhang, C; Yang, C P; Li, Q; Wong, S P

    2006-01-01

    A novel approach for the synthesis of cobalt-doped ZnO single-crystalline nanorods based on a wet chemical reaction has been developed. The as-doped ZnO nanorods have a length between 0.3 and 0.6 μm and a diameter between 30 and 60 nm. Structure and composition analyses indicate that the cobalt is incorporated into the ZnO lattice, forming a solid solution without any precipitation. Magnetic property measurements reveal that there is room-temperature ferromagnetism in the Zn 1-x Co x O nanorods with T c higher than 300 K

  5. Three-dimensional photonic crystals created by single-step multi-directional plasma etching.

    Science.gov (United States)

    Suzuki, Katsuyoshi; Kitano, Keisuke; Ishizaki, Kenji; Noda, Susumu

    2014-07-14

    We fabricate 3D photonic nanostructures by simultaneous multi-directional plasma etching. This simple and flexible method is enabled by controlling the ion-sheath in reactive-ion-etching equipment. We realize 3D photonic crystals on single-crystalline silicon wafers and show high reflectance (>95%) and low transmittance (photonic bandgap. Moreover, our method simply demonstrates Si-based 3D photonic crystals that show the photonic bandgap effect in a shorter wavelength range around 0.6 μm, where further fine structures are required.

  6. Complex three-dimensional structures in Si{1 0 0} using wet bulk micromachining

    International Nuclear Information System (INIS)

    Pal, Prem; Sato, Kazuo

    2009-01-01

    Complex three-dimensional structures for microelectromechanical systems (MEMS) are fabricated in Si{1 0 0} wafers using wet bulk micromachining. The structures are divided into two categories: fixed and freestanding. The fabrication processes for both types utilize single wafers with sequentially deposited nitride and oxide layers, local oxidation of silicon (LOCOS) and two steps of wet anisotropic etching. The fixed structures contain perfectly sharp edges. Thermally deposited oxide is used as the material for the freestanding structures. Wet etching is performed in tetramethyl ammonium hydroxide (TMAH) with and without Triton X-100 (C 14 H 22 O(C 2 H 4 O) n , n = 9–10). For the fixed structures, both etching steps are performed either in 25 wt% TMAH + Triton or pure TMAH or both, depending upon the type of the structures. In the case of freestanding systems, TMAH + Triton is utilized first, followed by pure TMAH. The fabrication methods enable densely arrayed structures, allowing the manufacture of corrugated diaphragms, compact size liquid (or gas) flow delivery systems, newly shaped mold for soft MEMS structures (e.g. PDMS (polydimethylsiloxane)) and other applications. The present research is an approach to fabricate advanced MEMS structures, extending the range of 3D structures fabricated by silicon anisotropic etching

  7. Development of the DC-RF Hybrid Plasma Source and the Application to the Etching and Texturing of the Silicon Surface

    International Nuclear Information System (INIS)

    Kim, Ji Hun

    2011-02-01

    the vacuum chamber for vacuum processing. The experiment was provided on the mono-crystalline silicon wafer. The etching was carried out with plasma consisting of SF 6 (50 sccm) as a reactive etching gas with O 2 (300 sccm) as a supporting gas and Argon (2000 ∼ 3000 sccm) as a cathode protecting gas. Etching rates were 60 μm/min at low pressure (3-5 torr) and 300 μm/min at a atmospheric pressure. The sample was positioned in such as way that the plasma flow axis would coincide with the side facet of the silicon crystal. A texturing process was performed on a crystalline silicon (c-Si) wafer to increase the efficiency of a solar cell by using a high durability DC arc plasma source at atmospheric pressure and low pressure. CF 4 and SF 6 were used as the reactive etching gases at flow rates 2 as the supporting gas in the range of the 5 - 15 %. To survey the characteristics of the pyramid formation process, plasma texturing experiments were performed by varying the working time. The optimal operating conditions of the gas flow (Ar, O 2 , CF 4 , SF 6 ), plasmatron current and processing time were determined. The pyramid angle was approximately 50 .deg. to 60 .deg. when a single-crystalline silicon surface was textured in a vacuum whereas it was approximately 75 .deg. to 90 .deg. when textured at atmospheric pressure. The reflectance decreases with decreasing pyramid angle. The reflectance of the bare silicon ranged from 40 % to the 60 % but that of the textured silicon was approximately 5 % to 20 %. This reflectance is quite low, approximately half that reported by other studies using wet and reactive ion etching (RIE) texturing. Even though DC arc plasmatron has many advantages, it is difficult to apply an industry due to the small applied area. To increase an effective processing area, we suggest a DC-RF hybrid plasma system. The DC-RF hybrid plasma system was designed and made. This system consists of a DC arc plasmatron, RF parts, reaction chamber, power feeder

  8. Anti-reflection textured structures by wet etching and island lithography for surface-enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Chao, Bo-Kai [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Cheng, Hsin-Hung [Department of Marine Engineering, Taipei College of Maritime Technology, Taipei 11174, Taiwan (China); Nien, Li-Wei; Chen, Miin-Jang [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Nagao, Tadaaki [Center for Materials Nanoarchitectonics, National Institute for Materials Science, Tsukuba, Ibaraki 305-0044 (Japan); Li, Jia-Han [Department of Engineering Science and Ocean Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Hsueh, Chun-Hway, E-mail: hsuehc@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China)

    2015-12-01

    Graphical abstract: - Highlights: • We fabricated textured SERS substrate with a high surface area and low reflectance. • Large surface area of substrate contains more gold nanodroplets to absorb analytes. • Low reflectance of textured SERS substrate enabled multiple reflections of incident laser light. • We obtained strong SERS enhancement from nanopillar-on-pyramid SERS substrate. - Abstract: A high surface area and low reflection textured surface-enhanced Raman scattering (SERS) substrate with plasmonic gold nanodroplets fabricated by wet etching and island lithography was reported in the present study. Specifically, four textured substrates, planar, pyramid, nanopillar, and nanopillar-on-pyramid, were fabricated. The fabricated structures were simulated using the finite-difference time-domain method and the results agreed with the reflection and dark-field scattering measurements. Although the SERS signals varied in different measured regions because of the random nanostructure, the SERS substrates with nanopillar-on-pyramid structure always have the stronger enhancement factor than the SERS substrates with only pyramids or nanopillars. Based on the atomic force microscope and reflection measurements, the nanopillar-on-pyramid structure provided a large surface area and multiple reflections for SERS enhancement, which was about 3 orders of magnitude larger than that of the planar substrate. Our results can be applied to fabricate the inexpensive, large surface area, and high SERS enhancement substrates.

  9. Probing the phase composition of silicon films in situ by etch product detection

    International Nuclear Information System (INIS)

    Dingemans, G.; Donker, M. N. van den; Gordijn, A.; Kessels, W. M. M.; Sanden, M. C. M. van de

    2007-01-01

    Exploiting the higher etch probability for amorphous silicon relative to crystalline silicon, the transiently evolving phase composition of silicon films in the microcrystalline growth regime was probed in situ by monitoring the etch product (SiH 4 ) gas density during a short H 2 plasma treatment step. Etch product detection took place by the easy-to-implement techniques of optical emission spectroscopy and infrared absorption spectroscopy. The phase composition of the films was probed as a function of the SiH 4 concentration during deposition and as a function of the film thickness. The in situ results were corroborated by Raman spectroscopy and solar cell analysis

  10. Influence of the doping level on the porosity of silicon nanowires prepared by metal-assisted chemical etching

    International Nuclear Information System (INIS)

    Geyer, Nadine; Wollschläger, Nicole; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Fuhrmann, Bodo; Leipner, Hartmut S; Jungmann, Marco; Krause-Rehberg, Reinhard

    2015-01-01

    A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H_2O_2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology. (paper)

  11. Fabrication of antireflective nanostructures for crystalline silicon solar cells by reactive ion etching

    International Nuclear Information System (INIS)

    Lin, Hsin-Han; Chen, Wen-Hua; Wang, Chi-Jen; Hong, Franklin Chau-Nan

    2013-01-01

    In this study we have fabricated large-area (15 × 15 cm 2 ) subwavelength antireflection structure on poly-Si substrates to reduce their solar reflectivity. A reactive ion etching system was used to fabricate nanostructures on the poly-silicon surface. Reactive gases, composed of chlorine (Cl 2 ), sulfur hexafluoride (SF 6 ) and oxygen (O 2 ), were activated to fabricate nanoscale pyramids by RF plasma. The poly-Si substrates were etched in various gas compositions for 6–10 min to form nano-pyramids. The sizes of pyramids were about 200–300 nm in heights and about 100 nm in width. Besides the nanoscale features, the high pyramid density on the poly-Si surface is another important factor to reduce the reflectivity. Low-reflectivity surface was fabricated with reflectivity significantly reduced down to < 2% for photons in a wavelength range of 500–900 nm. - Highlights: ► Large-area (15 × 15 cm 2 ) antireflection structures fabricated on poly-Si substrates ► Si nano-pyramids produced by utilizing self-masked reactive ion etching process ► High density of nanoscale pyramids was formed on the entire substrate surface. ► Surface reflectivity below 2% was achieved in the wavelength range of 500–900 nm

  12. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  13. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    Science.gov (United States)

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  14. Optimization of the etch-and-rinse technique: New perspectives to improve resin-dentin bonding and hybrid layer integrity by reducing residual water using dimethyl sulfoxide pretreatments.

    Science.gov (United States)

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Abuna, Gabriel; Sinhoreti, Mário Alexandre Coelho; Martins, Luís Roberto Marcondes; Tezvergil-Mutluay, Arzu

    2018-04-13

    To determine whether bonding effectiveness and hybrid layer integrity on acid-etched dehydrated dentin would be comparable to the conventional wet-bonding technique through new dentin biomodification approaches using dimethyl sulfoxide (DMSO). Etched dentin surfaces from extracted sound molars were randomly bonded in wet or dry conditions (30s air drying) with DMSO/ethanol or DMSO/H 2 O as pretreatments using a simplified (Scotchbond Universal Adhesive, 3M ESPE: SU) and a multi-step (Adper Scotchbond Multi-Purpose, 3M ESPE: SBMP) etch-and-rinse adhesives. Untreated dentin surfaces served as control. Bonded teeth (n=8) were stored in distilled water for 24h and sectioned into resin-dentin beams (0.8mm 2 ) for microtensile bond strength test and quantitative interfacial nanoleakage analysis (n=8) under SEM. Additional teeth (n=2) were prepared for micropermeability assessment by CFLSM under simulated pulpar pressure (20cm H 2 O) using 5mM fluorescein as a tracer. Microtensile data was analyzed by 3-way ANOVA followed by Tukey Test and nanoleakage by Kruskal-Wallis and Dunn-Bonferroni multiple comparison test (α=0.05). While dry-bonding of SBMP produced significantly lower bond strengths than wet-bonding (padhesives to demineralized air-dried dentin beyond conventional wet-bonding. Less porous resin-dentin interfaces with higher bond strengths on air-dried etched dentin were achieved; nonetheless, overall efficiency varied according to DMSO's co-solvent and adhesive type. DMSO pretreatments permit etched dentin to be air-dried before hybridization facilitating residual water removal and thus improving bonding effectiveness. This challenges the current paradigm of wet-bonding requirement for the etch-and-rinse approach creating new possibilities to enhance the clinical longevity of resin-dentin interfaces. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Inc. All rights reserved.

  15. Sacrificial structures for deep reactive ion etching of high-aspect ratio kinoform silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Michael-Lindhard, Jonas; Hübner, Jörg

    2015-01-01

    This article describes the realization of complex high-aspect ratio silicon structures with feature dimensions from 100 lm to 100nm by deep reactive ion etching using the Bosch process. As the exact shape of the sidewall profiles can be crucial for the proper functioning of a device, the authors...... of the sacrificial structures was accomplished by thermal oxidation and subsequent selective wet etching. The effects of the dimensions and relative placement of sacrificial walls and pillars on the etching result were determined through systematic experiments. The authors applied this process for exact sidewall...

  16. Detachment of CVD-grown graphene from single crystalline Ni films by a pure gas phase reaction

    Science.gov (United States)

    Zeller, Patrick; Henß, Ann-Kathrin; Weinl, Michael; Diehl, Leo; Keefer, Daniel; Lippmann, Judith; Schulz, Anne; Kraus, Jürgen; Schreck, Matthias; Wintterlin, Joost

    2016-11-01

    Despite great previous efforts there is still a high need for a simple, clean, and upscalable method for detaching epitaxial graphene from the metal support on which it was grown. We present a method based on a pure gas phase reaction that is free of solvents and polymer supports and avoids mechanical transfer steps. The graphene was grown on 150 nm thick, single crystalline Ni(111) films on Si(111) wafers with YSZ buffer layers. Its quality was monitored by using low energy electron diffraction and scanning tunneling microscopy. The gas phase etching uses a chemical transport reaction, the so-called Mond process, based on the formation of gaseous nickel tetracarbonyl in 1 bar of CO at 75 °C and by adding small amounts of sulfide catalysts. X-ray photoelectron spectroscopy, Raman spectroscopy and scanning electron microscopy were used to characterize the detached graphene. It was found that the method successfully removes the nickel from underneath the graphene layer, so that the graphene lies on the insulating oxide buffer layer. Small residual particles of nickel sulfide and cracks in the obtained graphene layer were identified. The defect concentrations were comparable to graphene samples obtained by wet chemical etching and by the bubbling transfer.

  17. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  18. Exfoliation of Threading Dislocation-Free, Single-Crystalline, Ultrathin Gallium Nitride Nanomembranes

    KAUST Repository

    Elafandy, Rami T.; Cha, Dong Kyu; Majid, Mohammed Abdul; Ng, Tien Khee; Ooi, Boon S.; Zhao, Lan

    2014-01-01

    -efficiency, low-cost, GaN-based heterostructure devices. For the first time, the chemical exfoliation of completely TD-free, single-crystalline, ultrathin (tens of nanometers) GaN nanomembranes is demonstrated using UV-assisted electroless chemical etching

  19. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  20. Fabrication of luminescent porous silicon with stain etches and evidence that luminescence originates in amorphous layers

    Science.gov (United States)

    Fathauer, R. W.; George, T.; Ksendzov, A.; Lin, T. L.; Pike, W. T.; Vasquez, R. P.; Wu, Z.-C.

    1992-01-01

    Simple immersion of Si in stain etches of HF:HNO3:H2O or NaNO2 in aqueous HF was used to produce films exhibiting luminescence in the visible similar to that of anodically-etched porous Si. All of the luminescent samples consist of amorphous porous Si in at least the near surface region. No evidence was found for small crystalline regions within these amorphous layers.

  1. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  2. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    International Nuclear Information System (INIS)

    Choi, Yunju; Kim, Yangsoo; Ahn, Kwang-Soon; Kim, Hyunsoo

    2014-01-01

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10 −3 , 4.3 × 10 −4 , and 1.1 × 10 −3 Ω cm 2 for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N 2 ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples

  3. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yunju [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Kim, Yangsoo [Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Ahn, Kwang-Soon, E-mail: kstheory@ynu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, Gyeongbuk 712-749 (Korea, Republic of); Kim, Hyunsoo, E-mail: hskim7@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2014-10-30

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10{sup −3}, 4.3 × 10{sup −4}, and 1.1 × 10{sup −3} Ω cm{sup 2} for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N{sub 2} ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples.

  4. High-quality InN grown on KOH wet etched N-polar InN template by RF-MBE

    International Nuclear Information System (INIS)

    Muto, D.; Araki, T.; Kitagawa, S.; Kurouchi, M.; Nanishi, Y.; Naoi, H.; Na, H.

    2006-01-01

    We have succeeded in dramatically decreasing the density of dislocations in InN by regrowing InN films on micro-facetted N-polar InN templates. The micro-facetted N-polar InN templates were formed by wet etching in a 10 mol/l KOH solution. InN films were regrown on the micro-facetted N-polar InN templates and on flat surface N-polar InN templates for comparison by radio-frequency plasma-assisted molecular beam epitaxy. InN regrown on micro-facetted InN had considerably smaller twist distribution than that grown on the flat InN templates. From transmission electron microscopy observation, it was confirmed that the InN grown on the micro-facetted InN template had much lower density of dislocations than that grown on the flat InN template, and moreover the propagation of edge dislocations was almost completely terminated at the interface between the regrown InN and the micro-facetted InN template. Based on the results, we propose that regrowth of InN on micro-facetted InN templates is an effective way to obtain high-quality InN films. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    Science.gov (United States)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  6. Effect of Dentin Wetness on the Bond Strength of Universal Adhesives

    Directory of Open Access Journals (Sweden)

    An-Na Choi

    2017-10-01

    Full Text Available The effects of dentin wetness on the bond strength and adhesive interface morphology of universal adhesives have been investigated using micro-tensile bond strength (μTBS testing and confocal laser scanning microscopy (CLSM. Seventy-two human third molars were wet ground to expose flat dentin surfaces. They were divided into three groups according to the air-drying time of the dentin surfaces: 0 (without air drying, 5, and 10 s. The dentin surfaces were then treated with three universal adhesives: G-Premio Bond, Single Bond Universal, and All-Bond Universal in self-etch or etch-and-rinse mode. After composite build up, a μTBS test was performed. One additional tooth was prepared for each group by staining the adhesives with 0.01 wt % of Rhodamine B fluorescent dye for CLSM analysis. The data were analyzed statistically using ANOVA and Tukey’s post hoc tests (α = 0.05. Two-way ANOVA showed significant differences among the adhesive systems and dentin moisture conditions. An interaction effect was also observed (p < 0.05. One-way ANOVA showed that All-Bond Universal was the only material influenced by the wetness of the dentin surfaces. Wetness of the dentin surface is a factor influencing the micro-tensile bond strength of universal adhesives.

  7. Effectiveness of immediate bonding of etch-and-rinse adhesives to simplified ethanol-saturated dentin

    Directory of Open Access Journals (Sweden)

    Leandro Afonso Guimarães

    2012-04-01

    Full Text Available This study examined the immediate bond strength of etch-and-rinse adhesives to demineralized dentin saturated with either water or absolute ethanol. The research hypothesis was that there would be no difference in bond strength to dentin between water or ethanol wet-bonding techniques. The medium dentin of 20 third molars was exposed (n = 5. The dentin surface was then acid-etched, left moist and randomly assigned to be saturated via either water wet-bonding (WBT or absolute ethanol wet-bonding (EBT. The specimens were then treated with one of the following etch-and-rinse adhesive systems: a 3-step, water-based system (Adper Scotchbond Multipurpose, or SBMP or a 2-step, ethanol/water-based system (Adper Single Bond 2, or SB. Resin composite build-ups were then incrementally constructed. After water storage for 24 h at 37°C, the tensile strength of the specimens was tested in a universal testing machine (0.5 mm/min. Data were analyzed by two-way ANOVA and Tukey's test (a = 5%. The failure modes were verified using a stereomicroscope (40'. For both adhesives, no significant difference in bond strength was observed between WBT and EBT (p > 0.05. The highest bond strength was observed for SB, regardless of the bonding technique (p < 0.05. No significant interaction between adhesives and bonding techniques was noticed (p = 0.597. There was a predominance of adhesive failures for all tested groups. The EBT and WBT displayed similar immediate bond strength means for both adhesives. The SB adhesive exhibited higher means for all conditions tested. Further investigations are needed to evaluate long-term bonding to dentin mediated by commercial etch-and-rinse adhesives using the EBT approach.

  8. Synthesis of SiC microstructures in Si technology by high dose carbon implantation: Etch-stop properties

    International Nuclear Information System (INIS)

    Serre, C.; Perez-Rodriguez, A.; Romano-Rodriguez, A.; Calvo-Barrio, L.; Morante, J.R.; Esteve, J.; Acero, M.C.; Skorupa, W.; Koegler, R.

    1997-01-01

    The use of high dose carbon ion implantation in Si for the production of membranes and microstructures is investigated. Si wafers were implanted with carbon doses of 10 17 and 5 x 10 17 cm -2 , at an energy of 300 keV and a temperature of 500 C. The structural analysis of these samples revealed the formation of a highly stable buried layer of crystalline β-SiC precipitates aligned with the Si matrix. The etch-stop properties of this layer have been investigated using tetramethyl-ammonium hydroxide as etchant solution. Secondary ion mass spectrometry measurements performed on the etched samples have allowed an estimate of the minimum dose needed for obtaining an etch-stop layer to a value in the range 2 to 3 x 10 17 ions/cm 2 . This behavior has been explained assuming the existence of a percolation process in a SiC/Si binary system. Finally, very thin crystalline membranes and self-standing structures with average surface roughness in the range 6 to 7 nm have been obtained

  9. Improved Ohmic-contact to AlGaN/GaN using Ohmic region recesses by self-terminating thermal oxidation assisted wet etching technique

    Science.gov (United States)

    Liu, J.; Wang, J.; Wang, H.; Zhu, L.; Wu, W.

    2017-06-01

    Lower Ti/Al/Ni/Au Ohmic contact resistance on AlGaN/GaN with wider rapid thermal annealing (RTA) temperature window was achieved using recessed Ohmic contact structure based on self-terminating thermal oxidation assisted wet etching technique (STOAWET), in comparison with conventional Ohmic contacts. Even at lower temperature such as 650°C, recessed structure by STOAWET could still obtain Ohmic contact with contact resistance of 1.97Ω·mm, while conventional Ohmic structure mainly featured as Schottky contact. Actually, both Ohmic contact recess and mesa isolation processes could be accomplished by STOAWET in one process step and the process window of STOAWET is wide, simplifying AlGaN/GaN HEMT device process. Our experiment shows that the isolation leakage current by STOAWET is about one order of magnitude lower than that by inductivity coupled plasma (ICP) performed on the same wafer.

  10. Sub-micrometer-scale patterning on Zr-based metallic glass using focused ion beam irradiation and chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Morita, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Yamada, Shigeru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Takano, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Ashida, Kiwamu [Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kochi 782-8502 (Japan); Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Ofune, Hitoshi [YKK Corporation, 200 Yoshida, Kurobe, Toyama 938-8601 (Japan)

    2007-09-19

    This report describes a method of sub-micrometer-scale rapid patterning on a Zr-based metallic glass surface using a combination of focused ion beam irradiation and wet chemical etching. We found that a Zr-based metallic glass surface irradiated with Ga{sup +} ions could be selectively etched; a concave structure with a width and depth of several tens to hundreds of nanometers rapidly formed in the irradiated area. Moreover, we determined that the etching was enhanced by the presence of Ga{sup +} ions rather than a change in the crystal structure, and the structure could be fabricated while the substrate remained amorphous. The shape of the structure was principally a function of the dose and the etch time.

  11. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  12. Synthesis of Monodispersed Spherical Single Crystalline Silver Particles by Wet Chemical Process; Shisshiki kagakuho ni yoru tanbunsankyujo tankesshoginryushi no gose

    Energy Technology Data Exchange (ETDEWEB)

    Ueyama, Ryousuke.; Harada, Masahiro.; Ueyama, Tamotsu.; Harada, Akio. [Daiken Chemistry Industry Corporation, Osaka (Japan); Yamamoto, Takashi. [National Defence Academy, Kanagawa (Japan). Dept. of Electrical Engineering; Shiosaki, Tadashi. [Nara Institute of Science and Technology, Nara (Japan). Graduate School of Materials Science; Kuribayashi, Kiyoshi. [Teikyo University of Science and Technology, Yamanashi (Japan). Dept. of Materials

    1999-01-01

    Ultrafine silver monodispersed particle were prepared by wet chemical process. To decrease the reduction speed, an important factor in generating monodispersed particles is to control the following three factors: synthesis temperature, concentration of aggregation-relaxing agent added, and concentration of silver nitrate solution. Synthesis of monodispersed spherical Ag particles, used as metal powders for electrode, became possible using the nucleus grouwth reaction method. This process also allowed the control of the diameter of the powder particles. The silver particles were distributed in ta narrow particle diameter range with on average of 0.5 {mu}m. Transmission electron microscopy (TEM) revealed that single-crystalline silver particles were prepared by the present method. (author)

  13. Overview Of Dry-Etch Techniques

    Science.gov (United States)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  14. Performance improvement and better scalability of wet-recessed and wet-oxidized AlGaN/GaN high electron mobility transistors

    Science.gov (United States)

    Takhar, Kuldeep; Meer, Mudassar; Upadhyay, Bhanu B.; Ganguly, Swaroop; Saha, Dipankar

    2017-05-01

    We have demonstrated that a thin layer of Al2O3 grown by wet-oxidation of wet-recessed AlGaN barrier layer in an AlGaN/GaN heterostructure can significantly improve the performance of GaN based high electron mobility transistors (HEMTs). The wet-etching leads to a damage free recession of the gate region and compensates for the decreased gate capacitance and increased gate leakage. The performance improvement is manifested as an increase in the saturation drain current, transconductance, and unity current gain frequency (fT). This is further augmented with a large decrease in the subthreshold current. The performance improvement is primarily ascribed to an increase in the effective velocity in two-dimensional electron gas without sacrificing gate capacitance, which make the wet-recessed gate oxide-HEMTs much more scalable in comparison to their conventional counterpart. The improved scalability leads to an increase in the product of unity current gain frequency and gate length (fT × Lg).

  15. Optical and Electrical Performance of ZnO Films Textured by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Shiuh-Chuan HER

    2015-11-01

    Full Text Available Zinc oxide (ZnO films were prepared by radio frequency (RF magnetron sputtering on the glass substrate as transparent conductive oxide films. For silicon solar cells, a proper surface texture is essential to introduce light scattering and subsequent light trapping to enhance the current generation. In this study, the magnetron-sputtered ZnO films were textured by wet-chemical etching in diluted hydrochloric acid (HCl for better light scattering. The diffuse transmittance of the surface textured ZnO films was measured to evaluate the light scattering. The influence of hydrochloric acid concentration on the morphology, optical and electrical properties of the surface-textured ZnO film was investigated. The ZnO film etched in 0.05M HCl solution for 30 s exhibited average diffuse transmittance in the visible wavelength range of 9.52 % and good resistivity of 1.10 x 10-3 W×cm while the as-deposited ZnO film had average diffuse transmittance of 0.51 % and relatively high resistivity of 5.84 x 10-2 W×cm. Experimental results illustrated that the optical and electrical performance of ZnO films can be significantly improved by introducing the surface texture through the wet-chemical etching process.DOI: http://dx.doi.org/10.5755/j01.ms.21.4.9624

  16. A numerical model for etching through a circular hole

    International Nuclear Information System (INIS)

    Rath, P; Chai, J C; Zheng, H; Lam, Y C; Murukeshan, V M

    2006-01-01

    A numerical model based on the total concentration of etchant is proposed to model the wet chemical etching through a circular hole. The reaction at the etchant-substrate interface is assumed to be infinitely fast i.e. etching is controlled by the diffusion of etchant to the interface. The proposed model is based on a fixed-grid approach analogous to the enthalpy method. The total concentration of etchant is the sum of the unreacted etchant concentration and the reacted etchant concentration. The reacted concentration of etchant is a measure of the etchfront position during etching. The governing mass diffusion equation based on the total concentration of etchant includes the interface condition. The etchfront position is found implicitly using the proposed approach. The computational domain is fixed, which includes the whole etchant and substrate domain including the mask region. For demonstration purposes, the finite volume method is used to solve the governing mass diffusion equation with prescribed initial and boundary conditions. The effect of mask thickness and initial etchant concentration on the shape evolution of etchfront is studied

  17. Enamel Wetness Effects on Microshear Bond Strength of Different Bonding Agents (Adhesive Systems): An in vitro Comparative Evaluation Study.

    Science.gov (United States)

    Kulkarni, Girish; Mishra, Vinay K

    2016-05-01

    The purpose of this study was to compare the effect of enamel wetness on microshear bond strength using different adhesive systems. To evaluate microshear bond strength of three bonding agents on dry enamel; to evaluate microshear bond strength of three bonding agents on wet enamel; and to compare microshear bond strength of three different bonding agents on dry and wet enamel. Sixty extracted noncarious human premolars were selected for this study. Flat enamel surfaces of approximately 3 mm were obtained by grinding the buccal surfaces of premolars with water-cooled diamond disks. This study evaluated one etch-and-rinse adhesive system (Single Bond 2) and two self-etching adhesive systems (Clearfil SE Bond and Xeno-V). The specimens were divided into two groups (n = 30). Group I (dry) was air-dried for 30 seconds and in group II (wet) surfaces were blotted with absorbent paper to remove excess water. These groups were further divided into six subgroups (n = 10) according to the adhesives used. The resin composite, Filtek Z 250, was bonded to flat enamel surfaces that had been treated with one of the adhesives, following the manufacturer's instructions. After being stored in water at 37°C for 24 hours, bonded specimens were stressed in universal testing machine (Fig. 3) at a crosshead speed of 1 mm/min. The data were evaluated with one-way and two-way analysis of variance (ANOVA), t-test, and Tukey's Multiple Post hoc tests (a = 0.05). The two-way ANOVA and Tukey's Multiple Post hoc tests showed significant differences among adhesive systems, but wetness did not influence microshear bond strength (p = 0.1762). The one-way ANOVA and t-test showed that the all-in-one adhesive (Xeno-V) was the only material influenced by the presence of water on the enamel surface. Xeno-V showed significantly higher microshear bond strength when the enamel was kept wet. Single Bond 2 adhesive showed significantly higher microshear bond strength as compared with Xeno-V adhesive but no

  18. Estimation of track registration efficiency in solution medium and study of gamma irradiation effects on the bulk-etch rate and the activation energy for bulk etching of CR-39 (DOP) Solid State Nuclear Track Detector

    International Nuclear Information System (INIS)

    Kalsi, P.C.

    2010-01-01

    The fission track registration efficiency of diethylene glycol bis allyl carbonate (dioctyl phthalate doped) (CR-39 (DOP)) solid state nuclear track detector (SSNTD) in solution medium (K wet ) has been experimentally determined and is found to be (9.7 ± 0.5).10 -4 cm. This is in good agreement with the values of other SSNTDs. The gamma irradiation effects in the dose range of 50.0-220.0 kGy on the bulk etch rate, V b and the activation energy for bulk etching, E of this solid state nuclear track detector (SSNTD) have also been studied. It is observed that the bulk etch rates increase and the activation energies for bulk etching decrease with the increase in gamma dose. These results have been explained on the basis of scission of the detector due to gamma irradiation

  19. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    International Nuclear Information System (INIS)

    Luna, Lunet E; Tadjer, Marko J; Anderson, Travis J; Imhoff, Eugene A; Hobart, Karl D; Kub, Fritz J

    2017-01-01

    Cycles of inductively coupled SF 6 /O 2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µ m-deep trenches with 5.5 µ m-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µ m at an etch rate of ∼0.26 µ m min −1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated. (paper)

  20. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  1. Effects of Dry-Milling and Wet-Milling on Chemical, Physical and Gelatinization Properties of Rice Flour

    Directory of Open Access Journals (Sweden)

    Jitranut Leewatchararongjaroen

    2016-09-01

    Full Text Available Rice flour from nine varieties, subjected to dry- and wet-milling processes, was determined for its physical and chemical properties. The results revealed that milling method had an effect on properties of flour. Wet-milling process resulted in flour with significantly lower protein and ash contents and higher carbohydrate content. Wet-milled flour also tended to have lower lipid content and higher amylose content. In addition, wet-milled rice flour contained granules with smaller average size compared to dry-milled samples. Swelling power at 90 °C of wet-milled samples was higher while solubility was significantly lower than those of dry-milled flour. Dry milling process caused the destruction of the crystalline structure and yielded flour with lower crystallinity compared to wet-milling process, which resulted in significantly lower gelatinization enthalpy.

  2. Influence of chemistry on wetting dynamics of nanotextured hydrophobic surfaces.

    Science.gov (United States)

    Di Mundo, Rosa; Palumbo, Fabio; d'Agostino, Riccardo

    2010-04-06

    In this work, the role of a chemical parameter, such as the degree of fluorination, on the wetting behavior of nanotextured hydrophobic surfaces is investigated. Texture and chemistry tuning of the surfaces has been accomplished with single batch radiofrequency low-pressure plasma processes. Polystyrene substrates have been textured by CF(4) plasma etching and subsequently covered by thin films with a tunable F-to-C ratio, obtained in discharges fed with C(4)F(8)-C(2)H(4). Measurements of wetting dynamics reveal a regime transition from adhesive-hydrophobic to slippery-superhydrophobic, i.e., from wet to non wet states, as the F-to-C rises at constant topography. Such achievements are strengthened by calculation of the solid fraction of surface water contact area applying Cassie-Baxter advancing and receding equations to water contact angle data of textured and flat reference surfaces.

  3. Electrodeless wet etching of n-GaN assisted with ultraviolet light

    International Nuclear Information System (INIS)

    Skriniarova, J.; Novotny, I.

    2012-01-01

    In this paper we studied photo-assisted electrodeless etching (ELPEC) of n-GaN in a K_2S_2O_8/KOH solution irradiated continuously with UV light. We investigated the impact of mask material on n-GaN patterning. As mask material thin layers of Ti, Pt and Au were used. The ratio of mask covered surface area to uncovered one was 7:1, 1:2 and 1:5. The K_2S_2O_8 oxidizing agent concentration was kept in the range from 0.006 to 0.1 M, the KOH electrolyte concentration was kept in the range from 0.004 to 0.04 M. (authors)

  4. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments.

    Science.gov (United States)

    Schukfeh, M I; Storm, K; Hansen, A; Thelander, C; Hinze, P; Beyer, A; Weimann, T; Samuelson, L; Tornow, M

    2014-11-21

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor-liquid-solid grown InAs nanowires with embedded InP segments of 10-60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap.

  5. Formation of nanogaps in InAs nanowires by selectively etching embedded InP segments

    International Nuclear Information System (INIS)

    Schukfeh, M I; Hansen, A; Tornow, M; Storm, K; Thelander, C; Samuelson, L; Hinze, P; Weimann, T; Beyer, A

    2014-01-01

    We present a method to fabricate nanometer scale gaps within InAs nanowires by selectively etching InAs/InP heterostructure nanowires. We used vapor–liquid–solid grown InAs nanowires with embedded InP segments of 10–60 nm length and developed an etching recipe to selectively remove the InP segment. A photo-assisted wet etching process in a mixture of acetic acid and hydrobromic acid gave high selectivity, with accurate removal of InP segments down to 20 nm, leaving the InAs wire largely unattacked, as verified using scanning electron and transmission electron microscopy. The obtained nanogaps in InAs wires have potential as semiconducting electrodes to investigate electronic transport in nanoscale objects. We demonstrate this functionality by dielectrophoretically trapping 30 nm diameter gold nanoparticles into the gap. (paper)

  6. Glass frit bonding with controlled width and height using a two-step wet silicon etching procedure

    Science.gov (United States)

    Yifang, Liu; Daner, Chen; Liwei, Lin; Gaofeng, Zheng; Jianyi, Zheng; Lingyun, Wang; Daoheng, Sun

    2016-03-01

    A simple and versatile two-step silicon wet etching technique for the control of the width and height of the glass frit bonding layer has been developed to improve bonding strength and reliability in wafer-level microelectromechanical systems (MEMS) packaging processes. The height of the glass frit bonding layer is set by the design of a vertical reference wall which regulates the distance between the silicon wafer and the encapsulation capping substrate. On the other hand, the width of the bonding layer is constrained between two micro grooves which are used to accommodate the spillages of extra glass frit during the bonding process. An optimized thermal bonding process, including the formation of glass liquid, removal of gas bubbles under vacuum and the filling of voids under normal atmospheric condition has been developed to suppress the formation of the bubbles/voids. The stencil printing and pre-sintering processes for the glass frit have been characterized before the thermal bonding process under different magnitudes of bonding pressure. The bonding gap thickness is found to be equal to the height of the reference wall of 10 μm in the prototype design. The bubbles/voids are found to be suppressed effectively and the bonding strength increases from 10.2 to 19.1 MPa as compared with a conventional thermal annealing process in air. Experimentally, prototype samples are measured to have passed the high hermetic sealing leakage tests of 5  ×  10-8 atm cc s-1.

  7. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  8. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    Energy Technology Data Exchange (ETDEWEB)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin; Walch, Steve P.; Kim, Hyo Jin [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Kim, Ki-Hyun [Manufacturing Technology Center, Samsung Electronics, Suwon, Gyeonggi-Do (Korea, Republic of); Prinz, Fritz B. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{sub x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.

  9. Controlling the morphology of side chain liquid crystalline block copolymer thin films through variations in liquid crystalline content.

    Science.gov (United States)

    Verploegen, Eric; Zhang, Tejia; Jung, Yeon Sik; Ross, Caroline; Hammond, Paula T

    2008-10-01

    In this paper, we describe methods for manipulating the morphology of side-chain liquid crystalline block copolymers through variations in the liquid crystalline content. By systematically controlling the covalent attachment of side chain liquid crystals to a block copolymer (BCP) backbone, the morphology of both the liquid crystalline (LC) mesophase and the phase-segregated BCP microstructures can be precisely manipulated. Increases in LC functionalization lead to stronger preferences for the anchoring of the LC mesophase relative to the substrate and the intermaterial dividing surface. By manipulating the strength of these interactions, the arrangement and ordering of the ultrathin film block copolymer nanostructures can be controlled, yielding a range of morphologies that includes perpendicular and parallel cylinders, as well as both perpendicular and parallel lamellae. Additionally, we demonstrate the utilization of selective etching to create a nanoporous liquid crystalline polymer thin film. The unique control over the orientation and order of the self-assembled morphologies with respect to the substrate will allow for the custom design of thin films for specific nanopatterning applications without manipulation of the surface chemistry or the application of external fields.

  10. Design and application of a metal wet-etching post-process for the improvement of CMOS-MEMS capacitive sensors

    International Nuclear Information System (INIS)

    Tsai, Ming-Han; Sun, Chih-Ming; Liu, Yu-Chia; Fang, Weileun; Wang, Chuanwei

    2009-01-01

    This study presents a process design methodology to improve the performance of a CMOS-MEMS gap-closing capacitive sensor. In addition to the standard CMOS process, the metal wet-etching approach is employed as the post-CMOS process to realize the present design. The dielectric layers of the CMOS process are exploited to form the main micro mechanical structures of the sensor. The metal layers of the CMOS process are used as the sensing electrodes and sacrificial layers. The advantages of the sensor design are as follows: (1) the parasitic capacitance is significantly reduced by the dielectric structure, (2) in-plane and out-of-plane sensing gaps can be reduced to increase the sensitivity, and (3) plate-type instead of comb-type out-of-plane sensing electrodes are available to increase the sensing electrode area. To demonstrate the feasibility of the present design, a three-axis capacitive CMOS-MEMS accelerometers chip is implemented and characterized. Measurements show that the sensitivities of accelerometers reach 11.5 mV G −1 (in the X-, Y-axes) and 7.8 mV G −1 (in the Z-axis), respectively, which are nearly one order larger than existing designs. Moreover, the detection of 10 mG excitation using the three-axis accelerometer is demonstrated for both in-plane and out-of-plane directions

  11. Reduction of Residual Stresses in Sapphire Cover Glass Induced by Mechanical Polishing and Laser Chamfering Through Etching

    Directory of Open Access Journals (Sweden)

    Shih-Jeh Wu

    2016-10-01

    Full Text Available Sapphire is a hard and anti-scratch material commonly used as cover glass of mobile devices such as watches and mobile phones. A mechanical polishing using diamond slurry is usually necessary to create mirror surface. Additional chamfering at the edge is sometimes needed by mechanical grinding. These processes induce residual stresses and the mechanical strength of the sapphire work piece is impaired. In this study wet etching by phosphate acid process is applied to relief the induced stress in a 1” diameter sapphire cover glass. The sapphire is polished before the edge is chamfered by a picosecond laser. Residual stresses are measured by laser curvature method at different stages of machining. The results show that the wet etching process effectively relief the stress and the laser machining does not incur serious residual stress.

  12. Wet chemical etching of Al-doped ZnO film deposited by RF magnetron sputtering method on textured glass substrate for energy application

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Ki Hwan; Nam, Sang Hun; Jung, Won Suk; Lee, Yong Min; Yang, Hee Su; Boo, Jin Hyo [Dept. of Chemistry, Sungkyunkwan University, Suwon (Korea, Republic of)

    2015-03-15

    The etching of glasses in aqueous hydrofluoric acid (HF) solutions is applied in many technological fields. Particularly, the textured transparent conductive oxide materials on the glass substrate etched by HF were used to improve the current density of solar cells. In this study, the textured glass substrate has been etched by solution and the Al-doped ZnO (AZO) thin films have been prepared on this textured glass substrates by RF magnetron sputtering method. After the AZO film deposition, the surface of AZO has been etched by hydrochloric acid with different concentration and etching time. Etched AZO thin films had higher haze ratio and sheet resistance than bare AZO glass. Increases in the root-mean-square surface roughness of AZO films enhanced from 53.78 to 84.46 nm the haze ratio in above 700 nm wavelength. Our process could be applicable in texturing glass and etching AZO surface to fabricate solar cell in industrial scale. We also carried out fabricating an organic solar-cell device. Energy conversion efficiency improvement of 123% was obtained with textured AZO-based solar-cell device compared with that of nontextured solar-cell device.

  13. Investigation on electrical tree propagation in polyethylene based on etching method

    Directory of Open Access Journals (Sweden)

    Zexiang Shi

    2017-11-01

    Full Text Available To investigate the characteristic of electrical tree propagation in semi-crystalline polymers, the low-density polyethylene (LDPE samples containing electrical trees are cut into slices by using ultramicrotome. Then the slice samples are etched by potassium permanganate etchant. Finally, the crystalline structure and the electrical tree propagation path in samples are observed by polarized light microscopy (PLM. According to the observation, the LDPE spherocrystal structure model is established on the basis of crystallization kinetics and morphology of polymers. And the electrical tree growth process in LDPE is discussed based on the free volume breakdown theory, the molecular chain relaxation theory, the electromechanical force theory, the thermal expansion effect and the space charge shielding effect.

  14. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  15. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    Energy Technology Data Exchange (ETDEWEB)

    Angermann, Heike, E-mail: angermann@helmholtz-berlin.de

    2014-09-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D{sub it}(E), and density D{sub it,min} of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly

  16. Conditioning of Si-interfaces by wet-chemical oxidation: Electronic interface properties study by surface photovoltage measurements

    International Nuclear Information System (INIS)

    Angermann, Heike

    2014-01-01

    Highlights: • Determination of electronic interface properties by contact-less surface photovoltage (SPV) technique. • Systematic correlations of substrate morphology and surface electronic properties. • Optimization of surface pre-treatment for flat, saw damage etched, and textured Si solar cell substrates. • Ultra-thin passivating Si oxide layers with low densities of rechargeable states by wet-chemical oxidation and subsequent annealing. • Environmentally acceptable processes, utilizing hot water, diluted HCl, or ozone low cost alternative to current approaches with concentrated chemicals. • The effect of optimized wet-chemical pre-treatments can be preserved during subsequent layer deposition. - Abstract: The field-modulated surface photovoltage (SPV) method, a very surface sensitive technique, was utilized to determine electronic interface properties on wet-chemically oxidized and etched silicon (Si) interfaces. The influence of preparation-induced surface micro-roughness and un-stoichiometric oxides on the resulting the surface charge, energetic distribution D it (E), and density D it,min of rechargeable states was studied by simultaneous, spectroscopic ellipsometry (SE) measurements on polished Si(111) and Si(100) substrates. Based on previous findings and new research, a study of conventional and newly developed wet-chemical oxidation methods was established, correlating the interactions between involved oxidizing and etching solutions and the initial substrate morphology to the final surface conditioning. It is shown, which sequences of wet-chemical oxidation and oxide removal, have to be combined in order to achieve atomically smooth, hydrogen terminated surfaces, as well as ultra-thin oxide layers with low densities of rechargeable states on flat, saw damage etched, and textured Si substrates, as commonly applied in silicon device and solar cell manufacturing. These conventional strategies for wet-chemical pre-treatment are mainly based on

  17. Nanostructuring of Mo/Si multilayers by means of reactive ion etching using a three-level mask

    International Nuclear Information System (INIS)

    Dreeskornfeld, L.; Haindl, G.; Kleineberg, U.; Heinzmann, U.; Shi, F.; Volland, B.; Rangelow, I.W.; Majkova, E.; Luby, S.; Kostic,; Matay, L.; Hrkut, P.; Hudek, P.; Lee, H.-Y.

    2004-01-01

    Recently, Mo/Si multilayer reflectors have been gaining industry interest as a promising choice for the next generation extreme ultraviolet mask material for printing sub 70 nm feature size devices. A reactive ion etching system with optimized hardware using CHF 3 /Ar process regime shows the capability for highly anisotropic etching of sub congruent with 400 nm feature sizes in Mo/Si test multilayers with ten periods and a bilayer thickness of 7.8 nm which were prepared by e-beam evaporation. A three-level-mask technique consisting of a top resist mask layer poly-methyl-meth-acrylate, a middle hard amorphous Si mask layer and a bottom-level polyimide layer is used to create the etch mask. The etch characteristics of the polyimide film is shown to be one of the major factors determining the success of the described multilayer etching process. The developed etching technology demonstrates superior process performance without facets, excellent uniformity and good profile control. No contamination, degeneration or defect generation in the unetched multilayer structure could be detected. This non-conventional process results in minimum deposition during the etching thus eliminating the need for a dry or wet cleaning. Sidewall angles in Mo/Si multilayers of 85 deg. , without undercut, bowing and ripples resulting in smooth sidewalls are achieved

  18. Effect of Dentin Wetness on the Bond Strength of Universal Adhesives

    Science.gov (United States)

    Lee, Ji-Hye; Son, Sung-Ae; Jung, Kyoung-Hwa; Kwon, Yong Hoon

    2017-01-01

    The effects of dentin wetness on the bond strength and adhesive interface morphology of universal adhesives have been investigated using micro-tensile bond strength (μTBS) testing and confocal laser scanning microscopy (CLSM). Seventy-two human third molars were wet ground to expose flat dentin surfaces. They were divided into three groups according to the air-drying time of the dentin surfaces: 0 (without air drying), 5, and 10 s. The dentin surfaces were then treated with three universal adhesives: G-Premio Bond, Single Bond Universal, and All-Bond Universal in self-etch or etch-and-rinse mode. After composite build up, a μTBS test was performed. One additional tooth was prepared for each group by staining the adhesives with 0.01 wt % of Rhodamine B fluorescent dye for CLSM analysis. The data were analyzed statistically using ANOVA and Tukey’s post hoc tests (α = 0.05). Two-way ANOVA showed significant differences among the adhesive systems and dentin moisture conditions. An interaction effect was also observed (p adhesives. PMID:29068404

  19. Investigation of Plasma Etching for Superconducting RF Cavities Surface Preparation. Final Report

    International Nuclear Information System (INIS)

    Vuskovic, Leposava

    2009-01-01

    Our results show that plasma-treated samples are comparable or superior to a BCP sample, both in the size of features and sharpness of the boundaries between individual features at the surface. Plasma treatment of bulk Nb cavities is a promising technique for microwave cavities preparation used in particle acceleration application. Etching rates are sufficiently high to enable efficient removal of mechanically damaged surface layer with high reproducibility. No impurities are deposited on the bulk Nb surface during plasma treatment. Surface topology characteristic are promising for complex cavity geometry, since discharge conforms the profile of the reaction chamber. In view of these experimental results, we propose plasma treatment for producing microwave cavities with high Q factor instead of using bulk Nb treated with wet etching process.

  20. Anisotropic etching of silicon for application in micro machine using plasma of SF6/CH4/O2/Ar and SF6/CF4/O2/Ar

    International Nuclear Information System (INIS)

    Reyes B, C.; Moshkalyov, S.A.; Swart, J.W.

    2004-01-01

    We investigated the reactive ion etching of silicon using SF 6 /CH 4 (CF 4 )/O 2 /Ar gas mixtures containing fluorine for MEMS applications. Etch rates and anisotropy of etch profiles were examined as a function of gas composition, material of electrode, and RF power. Etch depths were measured using a profilometers, and etch profiles were analyzed by scanning electron microscope. As a mask material, an aluminium film deposited by evaporation, was used. High anisotropy of etching of 0.95 was achieved at etch depths up to 20-30 micrometers and etch rates of approximately 0.3-0.6 μm/min. Highly anisotropic etching is based on a mechanism that enhance the ion bombarding and protects the sidewalls due to polymerization and/or oxidation mechanisms in order to avoid the lateral etch. However, under the anisotropic etching conditions, considerable damages of the etched surfaces (roughness formation), were observed. After etching experiments, wet / dry cleaning procedures were applied to remove surface residues resulting from the reactive ion etching and to improve the etched surface morphology. (Author)

  1. A systematic study of the controlled generation of crystalline iron oxide nanoparticles on graphene using a chemical etching process

    Directory of Open Access Journals (Sweden)

    Peter Krauß

    2017-09-01

    Full Text Available Chemical vapor deposition (CVD of carbon precursors employing a metal catalyst is a well-established method for synthesizing high-quality single-layer graphene. Yet the main challenge of the CVD process is the required transfer of a graphene layer from the substrate surface onto a chosen target substrate. This process is delicate and can severely degrade the quality of the transferred graphene. The protective polymer coatings typically used generate residues and contamination on the ultrathin graphene layer. In this work, we have developed a graphene transfer process which works without a coating and allows the transfer of graphene onto arbitrary substrates without the need for any additional post-processing. During the course of our transfer studies, we found that the etching process that is usually employed can lead to contamination of the graphene layer with the Faradaic etchant component FeCl3, resulting in the deposition of iron oxide FexOy nanoparticles on the graphene surface. We systematically analyzed the removal of the copper substrate layer and verified that crystalline iron oxide nanoparticles could be generated in controllable density on the graphene surface when this process is optimized. It was further confirmed that the FexOy particles on graphene are active in the catalytic growth of carbon nanotubes when employing a water-assisted CVD process.

  2. Development of a Silicon Microneedle with Three-Dimensional Sharp Tip by Electrochemical Etching

    Science.gov (United States)

    Izumi, Hayato; Okamoto, Tokusuke; Suzuki, Masato; Aoyagi, Seiji

    Aiming at the use in low-invasive medical treatments, this paper reports a fabrication technique of silicon microneedle of conical sharp point. The electrochemical etching technique is employed for sharpening the tip of a pillar, which is diced from a silicon wafer. A finely smooth tip surface is obtained due to electrochemical etching reactions, and is effective for easy insertion. The fabrication method is based on inexpensive wet etching, which does not require expensive fabrication facilities such as deep reactive ion etching (DRIE). A sharp needle was successfully fabricated, the tip angle of which was considerably small and was distributed within the range from 15 to 30 deg. An experiment of inserting the fabricated needle into an artificial skin of silicone rubber was carried out. As the results, the resistance force during insertion was much reduced compared to those of two-dimensional sharp needles. Imitating mosquito's motion, the effectiveness of applying vibration to the fabricated needle during insertion was also confirmed. After biocompatible Parylene coating, puncturing a human skin was demonstrated assuming a lancet usage for the diabetics, in which the bleeding was surely observed.

  3. Characterization of single crystalline ZnTe and ZnSe grown by vapor phase transport

    Energy Technology Data Exchange (ETDEWEB)

    Trigubo, A B; Di Stefano, M C [FRBA-UTN, (1179) Buenos Aires (Argentina); Aguirre, M H [Dpto de Quim Inorg, Fac de Cs Quim, Univ Complutense, (28040) Madrid (Spain); Martinez, A M; D' Elia, R; Canepa, H; Heredia, E, E-mail: atrigubo@citefa.gov.a [CINSO-CITEFA: (1603) Villa Martelli, Pcia de Buenos Aires (Argentina)

    2009-05-01

    Tubular furnaces were designed and built to obtain single crystalline ZnTe and ZnSe ingots using respectively physical and chemical transport methods. Different temperature profiles and growth rates were analyzed in order to optimize the necessary crystalline quality for device development. Optical and scanning electron micrographs of the corrosion figures produced by chemical etching were used to obtain the dislocation density and the misorientation between adjacent subgrains in ZnTe and ZnSe wafers. Structural quality of the single crystalline material was determined by transmission electronic microscopy. Optical transmittance was measured by infrared transmission spectrometry and the resulting values were compared to commercial samples.

  4. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Al-Heuseen, K., E-mail: kalhussen@yahoo.com [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 Penang (Malaysia); Ali, N.K. [Material Innovations and Nanoelectronics Research Group, Faculty of Electrical Engineering, Department of Electronic Engineering, Universiti Teknologi Malaysia, 81310 Skudai, Johor (Malaysia)

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E{sub 2} (high), A{sub 1} (LO), A{sub 1} (TO) and E{sub 2} (low). There was a red shift in E{sub 2} (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H{sub 2}SO{sub 4}:H{sub 2}O{sub 2} and KOH followed by the samples etched in HF:HNO{sub 3} and in HF:C{sub 2}H{sub 5}OH.

  5. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    International Nuclear Information System (INIS)

    Al-Heuseen, K.; Hashim, M.R.; Ali, N.K.

    2011-01-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2 SO 4 :H 2 O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2 H 5 OH.

  6. Effect of different electrolytes on porous GaN using photo-electrochemical etching

    Science.gov (United States)

    Al-Heuseen, K.; Hashim, M. R.; Ali, N. K.

    2011-05-01

    This article reports the properties and the behavior of GaN during the photoelectrochemical etching process using four different electrolytes. The measurements show that the porosity strongly depends on the electrolyte and highly affects the surface morphology of etched samples, which has been revealed by scanning electron microscopy (SEM) images. Peak intensity of the photoluminescence (PL) spectra of the porous GaN samples was observed to be enhanced and strongly depend on the electrolytes. Among the samples, there is a little difference in the peak position indicating that the change of porosity has little influence on the PL peak shift, while it highly affecting the peak intensity. Raman spectra of porous GaN under four different solution exhibit phonon mode E 2 (high), A 1 (LO), A 1 (TO) and E 2 (low). There was a red shift in E 2 (high) in all samples, indicating a relaxation of stress in the porous GaN surface with respect to the underlying single crystalline epitaxial GaN. Raman and PL intensities were high for samples etched in H 2SO 4:H 2O 2 and KOH followed by the samples etched in HF:HNO 3 and in HF:C 2H 5OH.

  7. The interplay between surface charging and microscale roughness during plasma etching of polymeric substrates

    Science.gov (United States)

    Memos, George; Lidorikis, Elefterios; Kokkoris, George

    2018-02-01

    The surface roughness developed during plasma etching of polymeric substrates is critical for a variety of applications related to the wetting behavior and the interaction of surfaces with cells. Toward the understanding and, ultimately, the manipulation of plasma induced surface roughness, the interplay between surface charging and microscale roughness of polymeric substrates is investigated by a modeling framework consisting of a surface charging module, a surface etching model, and a profile evolution module. The evolution of initially rough profiles during plasma etching is calculated by taking into account as well as by neglecting charging. It is revealed, on the one hand, that the surface charging contributes to the suppression of root mean square roughness and, on the other hand, that the decrease of the surface roughness induces a decrease of the charging potential. The effect of charging on roughness is intense when the etching yield depends solely on the ion energy, and it is mitigated when the etching yield additionally depends on the angle of ion incidence. The charging time, i.e., the time required for reaching a steady state charging potential, is found to depend on the thickness of the polymeric substrate, and it is calculated in the order of milliseconds.

  8. Femtosecond laser induced crystallization and permanent relief grating structures in amorphous inorganic (In2O3+1 wt % TiO2) films

    International Nuclear Information System (INIS)

    Katayama, Shigeru; Tsutsumi, Naoto; Nakamura, Toshitaka; Horiike, Mika; Hirao, Kazuyuki

    2002-01-01

    This letter presents an investigation of crystalline relief grating structures induced by irradiation of near-infrared femtosecond laser pulses on an amorphous inorganic (In 2 O 3 +1 wt % TiO 2 ) film. The shapes of crystallized relief structures were sensitive to the scanning rate and the focused point height of irradiation, and the optimized irradiation condition gave cone-shaped cross section structures. Selective wet etching on unirradiated amorphous regions using a 3% hydrochloric acid solution could make sharper relief grating structures of crystalline regions. Diffraction efficiency of the relief grating structures with Au coating was measured, and it was confirmed that first-order diffraction, efficiencies were approximately 40% and 20% for etched and nonetched samples, respectively

  9. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    Science.gov (United States)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  10. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  11. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  12. Normally-off AlGaN/GaN-based MOS-HEMT with self-terminating TMAH wet recess etching

    Science.gov (United States)

    Son, Dong-Hyeok; Jo, Young-Woo; Won, Chul-Ho; Lee, Jun-Hyeok; Seo, Jae Hwa; Lee, Sang-Heung; Lim, Jong-Won; Kim, Ji Heon; Kang, In Man; Cristoloveanu, Sorin; Lee, Jung-Hee

    2018-03-01

    Normally-off AlGaN/GaN-based MOS-HEMT has been fabricated by utilizing damage-free self-terminating tetramethyl ammonium hydroxide (TMAH) recess etching. The device exhibited a threshold voltage of +2.0 V with good uniformity, extremely small hysteresis of ∼20 mV, and maximum drain current of 210 mA/mm. The device also exhibited excellent off-state performances, such as breakdown voltage of ∼800 V with off-state leakage current as low as ∼10-12 A and high on/off current ratio (Ion/Ioff) of 1010. These excellent device performances are believed to be due to the high quality recessed surface, provided by the simple self-terminating TMAH etching.

  13. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  14. Synthesis of Platinum Nanotubes and Nanorings via Simultaneous Metal Alloying and Etching

    KAUST Repository

    Huang, Zhiqi

    2016-04-19

    Metallic nanotubes represent a class of hollow nanostructures with unique catalytic properties. However, the wet-chemical synthesis of metallic nanotubes remains a substantial challenge, especially for those with dimensions below 50 nm. This communication describes a simultaneous alloying-etching strategy for the synthesis of Pt nanotubes with open ends by selective etching Au core from coaxial Au/Pt nanorods. This approach can be extended for the preparation of Pt nanorings when Saturn-like Au core/Pt shell nanoparticles are used. The diameter and wall thickness of both nanotubes and nanorings can be readily controlled in the range of 14-37 nm and 2-32 nm, respectively. We further demonstrated that the nanotubes with ultrathin side walls showed superior catalytic performance in oxygen reduction reaction. © 2016 American Chemical Society.

  15. Effect of a re‑wetting agent on bond strength of an adhesive to ...

    African Journals Online (AJOL)

    Objective: This study investigated the effect of a re‑wetting agent on the microtensile bond strengths (μTBS) of primary and permanent dentin after acid or laser etching. Materials and Methods: Twelve permanent and 12 primary molar teeth were ground to expose an occlusal dentin surface. Each group teeth were randomly ...

  16. Deep-etched sinusoidal polarizing beam splitter grating.

    Science.gov (United States)

    Feng, Jijun; Zhou, Changhe; Cao, Hongchao; Lv, Peng

    2010-04-01

    A sinusoidal-shaped fused-silica grating as a highly efficient polarizing beam splitter (PBS) is investigated based on the simplified modal method. The grating structure depends mainly on the ratio of groove depth to grating period and the ratio of incident wavelength to grating period. These ratios can be used as a guideline for the grating design at different wavelengths. A sinusoidal-groove PBS grating is designed at a wavelength of 1310 nm under Littrow mounting, and the transmitted TM and TE polarized waves are mainly diffracted into the zeroth order and the -1st order, respectively. The grating profile is optimized by using rigorous coupled-wave analysis. The designed PBS grating is highly efficient (>95.98%) over the O-band wavelength range (1260-1360 nm) for both TE and TM polarizations. The sinusoidal grating can exhibit higher diffraction efficiency, larger extinction ratio, and less reflection loss than the rectangular-groove PBS grating. By applying wet etching technology on the rectangular grating, which was manufactured by holographic recording and inductively coupled plasma etching technology, the sinusoidal grating can be approximately fabricated. Experimental results are in agreement with theoretical values.

  17. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  18. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  19. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  20. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  1. Growth and etching characteristics of gallium oxide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Ou, Sin-Liang; Wuu, Dong-Sing; Fu, Yu-Chuan; Liu, Shu-Ping; Horng, Ray-Hua; Liu, Lei; Feng, Zhe-Chuan

    2012-01-01

    Highlights: ► The β-Ga2O3 thin films are prepared by pulsed laser deposition. ► The substrate temperature affects the structural, optical and etching properties of the grown films. ► The optical transmittance and band gap of the films increased with increasing the substrate temperature. ► The etching treatments for gallium oxide are performed in 49 mol% HF solution at room temperature. ► The gallium oxide thin film grown at 400 °C has the highest etching rate of 490 nm s −1 . - Abstract: The gallium oxide films were deposited on (0 0 1) sapphire at various substrate temperatures from 400 to 1000 °C by pulsed laser deposition using a KrF excimer laser. The etching treatments for as-grown gallium oxide were performed in a 49 mol% HF solution at room temperature. The structural, optical and etching properties of the grown films were investigated in terms of high resolution X-ray diffraction, optical transmittance, atomic force microscopy, and X-ray photoelectron spectroscopy. The phase transition from amorphous to polycrystalline β-Ga 2 O 3 structure was observed with increasing growth temperature. From the optical transmittance measurements, the films grown at 550–1000 °C exhibit a clear absorption edge at deep ultraviolet region around 250–275 nm wavelength. It was found that the optical band gap of gallium oxide films increased from 4.56 to 4.87 eV when the substrate temperature increased from 400 to 1000 °C. As the substrate temperature increases, the crystallinity of gallium oxide film is enhanced and the etching rate is decreased. The high etching rate of 490 nm s −1 for gallium oxide film grown at 400 °C could be due to its amorphous phase, which is referred to higher void ratio and looser atomic structure.

  2. Hydrogen production from nano-porous Si powder formed by stain etching

    Energy Technology Data Exchange (ETDEWEB)

    Litvinenko, S.; Alekseev, S.; Kuznetsov, G.; Skryshevsky, V. [Institute of High Technology of National Taras Shevchenko University of Kyiv, Volodymyrs' ka 64, Kyiv 01601 (Ukraine); Lysenko, V.; Barbier, D. [Lyon Institute of Nanotechnologies (INL), CNRS UMR-5270, University of Lyon, INSA de Lyon, 7 avenue Jean Capelle, Bat. Blaise Pascal, 69621 Villeurbanne Cedex (France); Venturello, A.; Geobaldo, F.; Garrone, E. [Politecnico di Torino, Department of Materials Science and Chemical Engineering, 10129 Torino (Italy); Gulina, L.; Tolstoy, V. [St-Petersburg State University, Chemical Department (Russian Federation)

    2010-07-15

    Hydrogen reservoirs based on porous silicon (PS) nanostructures are considered. Silicon-based hydrogen tanks are believed to be applicable for portable device energy supply and compatible with micro-sources of energy of new generation. Stain etching of silicon powder to produce PS is studied as a technology alternative to conventional electrochemical etching and application of the PS powder for hydrogen production is also described. Size selection of initial Si micro-particles constituting the powders was carried out by sedimentation technique. Hydrogen content in PS was investigated by FTIR spectroscopy. Extraction of hydrogen in water environment in presence of small amount of NH{sub 3} as catalyst was shown to have advantages such as safety and tunability, additional production of hydrogen from water dissociation, and a possibility to characterize PS as a hydrogen source material in terms of hydrogen effective shell and crystalline core conception. (author)

  3. Wetting properties of hybrid structure with hydrophilic ridges and hydrophobic channels

    Science.gov (United States)

    Lee, Dong-Ki; Choi, Su Young; Park, Min Soo; Cho, Young Hak

    2018-02-01

    In the present study, we fabricated a hybrid structure where the upper surface of the ridge is hydrophilic and the inner surface of the channel is hydrophobic. Laser-induced backside wet etching (LIBWE) process was performed to machine the hybrid structure on a Pyrex glass substrate. Wetting properties were evaluated from static contact angles (CAs) measurement in parallel and orthogonal directions. The water droplet on the hybrid structure was in the Cassie-Baxter state and showed anisotropic wetting property along groove lines. Moisture condensation studies under humid condition indicated that water droplets grew and coalesced on the ridge with hydrophilicity. Furthermore, water-oil separation was tested using a microfluidic chip with the developed hybrid structure. In case of hybrid microfluidic chip, the water could not flow into channel but the hexadecane could flow due to the capillary pressure difference.

  4. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  5. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  6. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  7. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  8. Metal-assisted chemical etching of CIGS thin films for grain size analysis

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chaowei [Research and Development Centre, Hanergy Thin Film Power Group Limited, Chengdu (China); Loi, Huu-Ha; Duong, Anh; Parker, Magdalena [Failure Analysis Department, MiaSole Hi-Tech Corp., Santa Clara, CA (United States)

    2016-09-15

    Grain size of the CIGS absorber is an important monitoring factor in the CIGS solar cell manufacturing. Electron backscatter diffraction (EBSD) analysis is commonly used to perform CIGS grain size analysis in the scanning electron microscope (SEM). Although direct quantification on SEM image using the average grain intercept (AGI) method is faster and simpler than EBSD, it is hardly applicable on CIGS thin films. The challenge is that, not like polycrystalline silicon, to define grain boundaries by selective chemical etching is not easily realizable for the multi-component CIGS alloy. In this Letter, we present direct quantification of CIGS thin film grain size using the AGI method by developing metal-assisted wet chemical etching process to define CIGS grain boundaries. The calculated value is similar to EBSD result. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Formation of Pentacene wetting layer on the SiO2 surface and charge trap in the wetting layer

    International Nuclear Information System (INIS)

    Kim, Chaeho; Jeon, D.

    2008-01-01

    We studied the early-stage growth of vacuum-evaporated pentacene film on a native SiO 2 surface using atomic force microscopy and in-situ spectroscopic ellipsometry. Pentacene deposition prompted an immediate change in the ellipsometry spectra, but atomic force microscopy images of the early stage films did not show a pentacene-related morphology other than the decrease in the surface roughness. This suggested that a thin pentacene wetting layer was formed by pentacene molecules lying on the surface before the crystalline islands nucleated. Growth simulation based on the in situ spectroscopic ellipsometry spectra supported this conclusion. Scanning capacitance microscopy measurement indicated the existence of trapped charges in the SiO 2 and pentacene wetting layer

  10. Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications

    International Nuclear Information System (INIS)

    Ray, Tathagata; Zhu, Haixin; Meldrum, Deirdre R

    2010-01-01

    In this note, we present our results from process development and characterization of reactive ion etching (RIE) of fused silica using a single-coated soft masking layer (KMPR® 1025, Microchem Corporation, Newton, MA). The effects of a number of fluorine-radical-based gaseous chemistries, the gas flow rate, RF power and chamber pressure on the etch rate and etching selectivity of fused silica were studied using factorial experimental designs. RF power and pressure were found to be the most important factors in determining the etch rate. The highest fused silica etch rate obtained was about 933 Å min −1 by using SF 6 -based gas chemistry, and the highest etching selectivity between the fused silica and KMPR® 1025 was up to 1.2 using a combination of CF 4 , CHF 3 and Ar. Up to 30 µm deep microstructures have been successfully fabricated using the developed processes. The average area roughness (R a ) of the etched surface was measured and results showed it is comparable to the roughness obtained using a wet etching technique. Additionally, near-vertical sidewalls (with a taper angle up to 85°) have been obtained for the etched microstructures. The processes developed here can be applied to any application requiring fabrication of deep microstructures in fused silica with near-vertical sidewalls. To our knowledge, this is the first note on deep RIE of fused silica using a single-coated KMPR® 1025 masking layer and a non-ICP-based reactive ion etcher. (technical note)

  11. Studies of biocompatibility of chemically etched CR-39 SSNTDs in view of their applications in alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Li, W.Y.; Chan, K.F.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2006-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require thin biocompatible materials which can record alpha-particle traversals as substrates for cell cultures. The biocompatibilities of chemically etched CR-39 solid-state nuclear track detectors (SSNTDs) using aqueous NaOH or NaOH/ehtanol are studied through the abundance and morphology of the cultured HeLa cells. The wetting properties of these etched CR-39 SSNTDs are also studied. The moderately hydrophobic CR-39 SSNTDs as well as the hydrophobic NaOH/ethanol-etched CR-39 SSNTDs are more biocompatible than the hydrophilic aqueous-NaOH-etched SSNTDs. Too small water contact angles, too large surface energy (γ s ) or the polar component γ s p do not favor the cell culture. On the other hand, the dispersive component γ s d of the surface energy and the ratio γ s p /γ s d do not seem to significantly affect the biocompatibility

  12. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  13. Effect of Silicon Nanowire on Crystalline Silicon Solar Cell Characteristics

    OpenAIRE

    Zahra Ostadmahmoodi Do; Tahereh Fanaei Sheikholeslami; Hassan Azarkish

    2016-01-01

    Nanowires (NWs) are recently used in several sensor or actuator devices to improve their ordered characteristics. Silicon nanowire (Si NW) is one of the most attractive one-dimensional nanostructures semiconductors because of its unique electrical and optical properties. In this paper, silicon nanowire (Si NW), is synthesized and characterized for application in photovoltaic device. Si NWs are prepared using wet chemical etching method which is commonly used as a simple and low cost method fo...

  14. Propagation of plasmons in designed single crystalline silver nanostructures

    DEFF Research Database (Denmark)

    Kumar, Shailesh; Lu, Ying-Wei; Huck, Alexander

    2012-01-01

    We demonstrate propagation of plasmons in single crystalline silver nanostructures fabricated using a combination of a bottom-up and a top-down approach. Silver nanoplates of thickness around 65 nm and a surface area of about 100 μm2 are made using a wet chemical method. Silver nanotips...

  15. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  16. Isotropically etched radial micropore for cell concentration, immobilization, and picodroplet generation.

    Science.gov (United States)

    Perroud, Thomas D; Meagher, Robert J; Kanouff, Michael P; Renzi, Ronald F; Wu, Meiye; Singh, Anup K; Patel, Kamlesh D

    2009-02-21

    To enable several on-chip cell handling operations in a fused-silica substrate, small shallow micropores are radially embedded in larger deeper microchannels using an adaptation of single-level isotropic wet etching. By varying the distance between features on the photolithographic mask (mask distance), we can precisely control the overlap between two etch fronts and create a zero-thickness semi-elliptical micropore (e.g. 20 microm wide, 6 microm deep). Geometrical models derived from a hemispherical etch front show that micropore width and depth can be expressed as a function of mask distance and etch depth. These models are experimentally validated at different etch depths (25.03 and 29.78 microm) and for different configurations (point-to-point and point-to-edge). Good reproducibility confirms the validity of this approach to fabricate micropores with a desired size. To illustrate the wide range of cell handling operations enabled by micropores, we present three on-chip functionalities: continuous-flow particle concentration, immobilization of single cells, and picoliter droplet generation. (1) Using pressure differentials, particles are concentrated by removing the carrier fluid successively through a series of 44 shunts terminated by 31 microm wide, 5 microm deep micropores. Theoretical values for the concentration factor determined by a flow circuit model in conjunction with finite volume modeling are experimentally validated. (2) Flowing macrophages are individually trapped in 20 microm wide, 6 microm deep micropores by hydrodynamic confinement. The translocation of transcription factor NF-kappaB into the nucleus upon lipopolysaccharide stimulation is imaged by fluorescence microscopy. (3) Picoliter-sized droplets are generated at a 20 microm wide, 7 microm deep micropore T-junction in an oil stream for the encapsulation of individual E. coli bacteria cells.

  17. Nanostructured silicon via metal assisted catalyzed etch (MACE): chemistry fundamentals and pattern engineering

    Science.gov (United States)

    Toor, Fatima; Miller, Jeffrey B.; Davidson, Lauren M.; Nichols, Logan; Duan, Wenqi; Jura, Michael P.; Yim, Joanne; Forziati, Joanne; Black, Marcie R.

    2016-10-01

    There are a range of different methods to generate a nanostructured surface on silicon (Si) but the most cost effective and optically interesting is the metal assisted wet chemical etching (MACE) (Koynov et al 2006 Appl. Phys. Lett. 88 203107). MACE of Si is a controllable, room-temperature wet-chemical technique that uses a thin layer of metal to etch the surface of Si, leaving behind various nano- and micro-scale surface features or ‘black silicon’. MACE-fabricated nanowires (NWs) provide improved antireflection and light trapping functionality (Toor et al 2016 Nanoscale 8 15448-66) compared with the traditional ‘iso-texturing’ (Campbell and Green 1987 J. Appl. Phys. 62 243-9). The resulting lower reflection and improved light trapping can lead to higher short circuit currents in NW solar cells (Toor et al 2011 Appl. Phys. Lett. 99 103501). In addition, NW cells can have higher fill factors and voltages than traditionally processed cells, thus leading to increased solar cell efficiencies (Cabrera et al 2013 IEEE J. Photovolt. 3 102-7). MACE NW processing also has synergy with next generation Si solar cell designs, such as thin epitaxial-Si and passivated emitter rear contact (Toor et al 2016 Nanoscale 8 15448-66). While several companies have begun manufacturing black Si, and many more are researching these techniques, much of the work has not been published in traditional journals and is publicly available only through conference proceedings and patent publications, which makes learning the field challenging. There have been three specialized review articles published recently on certain aspects of MACE or black Si, but do not present a full review that would benefit the industry (Liu et al 2014 Energy Environ. Sci. 7 3223-63 Yusufoglu et al 2015 IEEE J. Photovolt. 5 320-8 Huang et al 2011 Adv. Mater. 23 285-308). In this feature article, we review the chemistry of MACE and explore how changing parameters in the wet etch process effects the resulting

  18. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  19. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  20. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  1. Synthesis, optical properties and residual strain effect of GaN nanowires generated via metal-assisted photochemical electroless etching

    KAUST Repository

    Najar, Adel

    2017-04-18

    Herein, we report on the studies of GaN nanowires (GaN NWs) prepared via a metal-assisted photochemical electroless etching method with Pt as the catalyst. It has been found that etching time greatly influences the growth of GaN NWs. The density and the length of nanowires increased with longer etching time, and excellent substrate coverage was observed. The average nanowire width and length are around 35 nm and 10 μm, respectively. Transmission electron microscopy (TEM) shows a single-crystalline wurtzite structure and is confirmed by X-ray measurements. The synthesis mechanism of GaN NWs using the metal-assisted photochemical electroless etching method was presented. Photoluminescence (PL) measurements of GaN NWs show red-shift PL peaks compared to the as-grown sample associated with the relaxation of compressive stress. Furthermore, a shift of the E2 peak to the lower frequency in the Raman spectra for the samples etched for a longer time confirms such a stress relaxation. Based on Raman measurements, the compressive stress σxx and the residual strain εxx were evaluated to be 0.23 GPa and 2.6 × 10−4, respectively. GaN NW synthesis using a low cost method might be used for the fabrication of power optoelectronic devices and gas sensors.

  2. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  3. Effect of deposition temperature on the properties of Al-doped ZnO films prepared by pulsed DC magnetron sputtering for transparent electrodes in thin-film solar cells

    International Nuclear Information System (INIS)

    Kim, Doo-Soo; Park, Ji-Hyeon; Shin, Beom-Ki; Moon, Kyeong-Ju; Son, Myoungwoo; Ham, Moon-Ho; Lee, Woong; Myoung, Jae-Min

    2012-01-01

    Highlights: ► Surface-textured AZO films were achieved by combining PDMS method with wet etching. ► The AZO film deposited at 230 °C by PDMS exhibited the best performance. ► It is due to the higher plasma density supplied from PDMS system. ► Wet etching of the films produces a crater-like rough surface morphology. - Abstract: A simple but scalable approach to the production of surface-textured Al-doped ZnO(AZO) films for low-cost transparent electrode applications in thin-film solar cells is introduced in this study by combining pulsed dc magnetron sputtering (PDMS) with wet etching in sequence. First, structural, electrical, and optical properties of the AZO films prepared by a PDMS were investigated as functions of deposition temperature to obtain transparent electrode films that can be used as indium-free alternative to ITO electrodes. Increase in the deposition temperature to 230 °C accompanied the improvement in crystalline quality and doping efficiency, which enabled the lowest electrical resistivity of 4.16 × 10 −4 Ω cm with the carrier concentration of 1.65 × 10 21 cm −3 and Hall mobility of 11.3 cm 2 /V s. The wet etching of the films in a diluted HCl solution resulted in surface roughening via the formation of crater-like structures without significant degradation in the electrical properties, which is responsible for the enhanced light scattering capability required for anti-reflective electrodes in thin film solar cells.

  4. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  5. Crystal defects observed by the etch-pit method and their effects on Schottky-barrier-diode characteristics on (\\bar{2}01) β-Ga2O3

    Science.gov (United States)

    Kasu, Makoto; Oshima, Takayoshi; Hanada, Kenji; Moribayashi, Tomoya; Hashiguchi, Akihiro; Oishi, Toshiyuki; Koshi, Kimiyoshi; Sasaki, Kohei; Kuramata, Akito; Ueda, Osamu

    2017-09-01

    A pixel array of vertical Schottky-barrier diodes (SBDs) was fabricated and measured on the surface of a (\\bar{2}01) β-Ga2O3 single crystal. Subsequently, etch pits and patterns were observed on the same surface. Three types of etch pits were discovered: (1) a line-shaped etch pattern originating from a void and extending toward the [010] direction, (2) an arrow-shaped etch pit whose arrow’s head faces toward the [102] direction and, (3) a gourd-shaped etch pit whose point head faces toward the [102] direction. Their average densities were estimated to be 5 × 102, 7 × 104, and 9 × 104 cm-2, respectively. We confirmed no clear relationship between the leakage current in SBDs and these crystalline defects. Such results are obtained because threading dislocations run mainly in the [010] growth direction and do not go through the (\\bar{2}01) sample plate.

  6. (Preoxidation cleaning optimization for crystalline silicon)

    Energy Technology Data Exchange (ETDEWEB)

    1991-01-01

    A series of controlled experiments has been performed in Sandia's Photovoltaic Device Fabrication Laboratory to evaluate the effect of various chemical surface treatments on the recombination lifetime of crystalline silicon wafers subjected to a high-temperature dry oxidation. From this series of experiments we have deduced a relatively simple yet effective cleaning sequence. We have also evaluated the effect of different chemical damage-removal etches for improving the recombination lifetime and surface smoothness of mechanically lapped wafers. This paper presents the methodology used, the experimental results obtained, and our experience with using this process on a continuing basis over a period of many months. 7 refs., 4 figs., 1 tab.

  7. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  8. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  9. Six-month evaluation of adhesives interface created by a hydrophobic adhesive to acid-etched ethanol-wet bonded dentine with simplified dehydration protocols.

    Science.gov (United States)

    Sadek, Fernanda T; Mazzoni, Annalisa; Breschi, Lorenzo; Tay, Franklin R; Braga, Roberto R

    2010-04-01

    To evaluate the efficacy of simplified dehydration protocols, in the absence of tubular occlusion, on bond strength and interfacial nanoleakage of a hydrophobic experimental adhesive blend to acid-etched, ethanol-dehydrated dentine immediately and after 6 months. Molars were randomly assigned to 6 treatment groups (n=5). Under pulpal pressure simulation, dentine crowns were acid-etched with 35% H(3)PO(4) and rinsed with water. Adper Scotchbond Multi-Purpose was used for the control group. The remaining groups had their dentine surface dehydrated with ethanol solutions: group 1=50%, 70%, 80%, 95% and 3x100%, 30s for each application; group 2 the same ethanol sequence with 15s for each solution; groups 3, 4 and 5 used 100% ethanol only, applied in seven, three or one 30s step, respectively. After dehydration, a primer (50% BisGMA+TEGDMA, 50% ethanol) was used, followed by the neat comonomer adhesive application. Resin composite build-ups were then prepared using an incremental technique. Specimens were stored for 24h, sectioned into beams and stressed to failure after 24h or after 6 months of artificial ageing. Interfacial silver leakage evaluation was performed for both storage periods (n=5 per subgroup). Group 1 showed higher bond strengths at 24h or after 6 months of ageing (45.6+/-5.9(a)/43.1+/-3.2(a)MPa) and lower silver impregnation. Bond strength results were statistically similar to control group (41.2+/-3.3(ab)/38.3+/-4.0(ab)MPa), group 2 (40.0+/-3.1(ab)/38.6+/-3.2(ab)MPa), and group 3 at 24h (35.5+/-4.3(ab)MPa). Groups 4 (34.6+/-5.7(bc)/25.9+/-4.1(c)MPa) and 5 (24.7+/-4.9(c)/18.2+/-4.2(c)MPa) resulted in lower bond strengths, extensive interfacial nanoleakage and more prominent reductions (up to 25%) in bond strengths after 6 months of ageing. Simplified dehydration protocols using one or three 100% ethanol applications should be avoided for the ethanol-wet bonding technique in the absence of tubular occlusion, as they showed decreased bond strength, more

  10. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  11. Ex-situ XPS-investigation of the interface between PE-CVD SiO{sub 2} and wet chemically etched MO-CVD epitaxial layers of In{sub 0.53}Ga{sub 0.47}As

    Energy Technology Data Exchange (ETDEWEB)

    Procop, M. [Bundesanstalt fuer Materialforschung und -pruefung, Berlin (Germany); Wandel, K. [Humboldt-Universitaet, Berlin (Germany). Inst. fuer Festkoerperphysik; Verucchi, R. [Modena Univ. (Italy). Ist. di Fisica

    1995-11-01

    The As rich SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface which is produced by wet chemical etching before SiO{sub 2} deposition to improve the electronic properties of the interface has been studied. SiO{sub 2}-layers of about 10 to 20 nm thickness have been deposited in a plasma enhanced chemical vapour deposition (PECVD) reactor and then thinned down to about 4 to 3 nm by 1.5 keV Ar ion beam bombardment at grazing incidence (85 ) in the XPS analysis chamber. The photoelectron spectra show that an additional broadening of the In and As lines due to a possible ion beam damage can be neglected in case of a qualitative interpretation of the interface spectra. Moreover, TRIM simulations of the collision cascade reveal low damage production in the SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface region. Therefore such ex-situ XPS experiments allow a supervision of the interface chemistry after the fabrication process and an optimisation of the technology with regard to the etching solution and deposition conditions. The conservation or removal of the elemental arsenic and the oxidation of the semiconductor due to the SiO{sub 2} deposition are well reflected in the photoelectron spectra. (orig.)

  12. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    Science.gov (United States)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  13. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    International Nuclear Information System (INIS)

    Lu, J.; Meng, X.; SpringThorpe, A.J.; Shepherd, F.R.; Poirier, M.

    2004-01-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated 'T electrodes' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl 2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ∼0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl 2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 deg. C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes

  14. Ethanol wet-bonding technique sensitivity assessed by AFM.

    Science.gov (United States)

    Osorio, E; Toledano, M; Aguilera, F S; Tay, F R; Osorio, R

    2010-11-01

    In ethanol wet bonding, water is replaced by ethanol to maintain dehydrated collagen matrices in an extended state to facilitate resin infiltration. Since short ethanol dehydration protocols may be ineffective, this study tested the null hypothesis that there are no differences in ethanol dehydration protocols for maintaining the surface roughness, fibril diameter, and interfibrillar spaces of acid-etched dentin. Polished human dentin surfaces were etched with phosphoric acid and water-rinsed. Tested protocols were: (1) water-rinse (control); (2) 100% ethanol-rinse (1-min); (3) 100% ethanol-rinse (5-min); and (4) progressive ethanol replacement (50-100%). Surface roughness, fibril diameter, and interfibrillar spaces were determined with atomic force microscopy and analyzed by one-way analysis of variance and the Student-Newman-Keuls test (α = 0.05). Dentin roughness and fibril diameter significantly decreased when 100% ethanol (1-5 min) was used for rinsing (p ethanol produced collapse and shrinkage of collagen fibrils. Ascending ethanol concentrations did not collapse the matrix and shrank the fibrils less than absolute ethanol-rinses.

  15. Black Silicon formation using dry etching for solar cells applications

    International Nuclear Information System (INIS)

    Murias, D.; Reyes-Betanzo, C.; Moreno, M.; Torres, A.; Itzmoyotl, A.; Ambrosio, R.; Soriano, M.; Lucas, J.; Cabarrocas, P. Roca i

    2012-01-01

    A study on the formation of Black Silicon on crystalline silicon surface using SF 6 /O 2 and SF 6 /O 2 /CH 4 based plasmas in a reactive ion etching (RIE) system is presented. The effect of the RF power, chamber pressure, process time, gas flow rates, and gas mixtures on the texture of silicon surface has been analyzed. Completely Black Silicon surfaces containing pyramid like structures have been obtained, using an optimized mask-free plasma process. Moreover, the Black Silicon surfaces have demonstrated average values of 1% and 4% for specular and diffuse reflectance respectively, feature that is suitable for the fabrication of low cost solar cells.

  16. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  17. Stabilization study on a wet-granule tableting method for a compression-sensitive benzodiazepine receptor agonist.

    Science.gov (United States)

    Fujita, Megumi; Himi, Satoshi; Iwata, Motokazu

    2010-03-01

    SX-3228, 6-benzyl-3-(5-methoxy-1,3,4-oxadiazol-2-yl)-5,6,7,8-tetrahydro-1,6-naphthyridin-2(1H)-one, is a newly-synthesized benzodiazepine receptor agonist intended to be developed as a tablet preparation. This compound, however, becomes chemically unstable due to decreased crystallinity when it undergoes mechanical treatments such as grinding and compression. A wet-granule tableting method, where wet granules are compressed before being dried, was therefore investigated as it has the advantage of producing tablets of sufficient hardness at quite low compression pressures. The results of the stability testing showed that the drug substance was chemically considerably more stable in wet-granule compression tablets compared to conventional tablets. Furthermore, the drug substance was found to be relatively chemically stable in wet-granule compression tablets even when high compression pressure was used and the effect of this pressure was small. After investigating the reason for this excellent stability, it became evident that near-isotropic pressure was exerted on the crystals of the drug substance because almost all the empty spaces in the tablets were occupied with water during the wet-granule compression process. Decreases in crystallinity of the drug substance were thus small, making the drug substance chemically stable in the wet-granule compression tablets. We believe that this novel approach could be useful for many other compounds that are destabilized by mechanical treatments.

  18. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  19. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  20. The Role of Ge Wetting Layer and Ge Islands in Si MSM Photodetectors

    International Nuclear Information System (INIS)

    Mahmodi, H.; Hashim, M. R.

    2010-01-01

    In this work, Ge thin films were deposited on silicon substrates by radio frequency magnetron sputtering to form Ge islands from Ge layer on Si substrate during post-growth rapid thermal annealing (RTA). The size of the islands decreases from 0.6 to 0.1 as the rapid thermal annealing time increases from 30 s to 60 s at 900 deg. C. Not only that the annealing produces Ge islands but also wetting layer. Energy Dispersive X-ray Spectroscopy (EDX) and Scanning Electron Microscopy (SEM) were employed for structural analysis of Ge islands. Metal-Semiconductor-Metal photodetectors (MSM PDs) were fabricated on Ge islands (and wetting layer)/Si. The Ge islands and wetting layer between the contacts of the fabricated devices are etched in order to see their effects on the device. The performance of the Ge islands MSM-PD was evaluated by dark and photo current-voltage (I-V) measurements at room temperature (RT). It was found that the device with island and wetting layer significantly enhance the current gain (ratio of photo current to dark current) of the device.

  1. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  2. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  3. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  4. COMPOSITE RESIN BOND STRENGTH TO ETCHED DENTINWITH ONE SELF PRIMING ADHESIVE

    Directory of Open Access Journals (Sweden)

    P SAMIMI

    2002-09-01

    Full Text Available Introduction. The purpose of this study was to compare shear bond strength of composite resins to etched dentin in both dry and wet dentin surface with active and inactive application of a single-bottle adhesive resin (Single Bond, 3M Dental products. Methods. Fourthy four intact human extracted molars and premolars teeth were selected. The facial surfaces of the teeth were grounded with diamond bur to expose dentin. Then specimens were divided into four groups of 11 numbers (9 Molars and 2 Premolars. All the samples were etched with Phosphoric Acid Gel 35% and then rinsed for 10 seconds. The following stages were carried out for each group: Group I (Active-Dry: After rinsing, air drying of dentin surface for 15 seconds, active priming of adhesive resin for 15 seconds, air drying for 5 seconds, the adhesive resin layer was light cured for 10 seconds. Group III (Inactive-Dry:After rinsing, air drying of dentin surface for 15 seconds, adhesive resin was applied and air dryied for 5 seconds, the adhesive layer was light cured for 10 seconds. Group III (Active-Wet:After rinsing, removal of excess water of dentin surface with a cotton roll, active priming of adhesive resin for 15 seconds and air drying for 5 seconds, the adhesive layer was light cured for 10 seconds. Group IV (Inactive-Wet:After rinsing, removal of excess water of dentin surface with a cotton roll, the adhesive resin was applied and air dryied for 5 seconds and then cured for 10 seconds. After adhesive resin application, composite resin (Z250, 3M Dental products was applied on prepared surface with cylindrical molds (with internal diameter of 2.8mm, & height of 5mm and light-cured for 100 seconds (5x20s. The samples were then thermocycled. They were located in 6±3c water .temperature for 10 seconds and then 15 seconds in inviromental temperature, 10s in 55±3c water temperature and then were located at room temperature for 15s. This test was repeated for 100s. All of the specimens

  5. Qualification of multi-crystalline silicon wafers by optical imaging for industrial use

    Energy Technology Data Exchange (ETDEWEB)

    Janssen, G.J.M.; Van der Borg, N.J.C.M.; Manshanden, P.; De Bruijne, M.; Bende, E.E. [ECN Solar Energy, Petten (Netherlands)

    2012-09-15

    We have developed a method to qualify multi-crystalline silicon (mc-Si) wafers that are being used in a production process. An optical image of an etched wafer is made. This etching can be a standard industrial acid etching for mc-Si wafers as is commonly used for saw damage removal and simultaneous iso-texturing. Digital image processing is then applied to identify the number of dislocations and their distribution over the wafer. This information is used as input for a cell performance prediction model, where the performance is characterized by the open circuit voltage (Voc) or the efficiency. The model can include various levels of sophistication, i.e. from using an average density of dislocations to the full spatial resolution of the dislocations in a 2D simulation that includes also the metallization pattern on the cell. The predicted performance is then evaluated against pre-selected criteria. The possibility to apply this optical qualification method in an initial stage in the production enables early rejection of the wafers, further tailoring of the cell production process or identification of instabilities in the production process.

  6. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  7. Effect of deposition temperature on the properties of Al-doped ZnO films prepared by pulsed DC magnetron sputtering for transparent electrodes in thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Doo-Soo; Park, Ji-Hyeon; Shin, Beom-Ki; Moon, Kyeong-Ju [Information and Electronic Materials Research Laboratory, Department of Materials Science and Engineering, Yonsei University, 134 Shinchon-dong, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Son, Myoungwoo [Department of Nanobio Materials and Electronics, Gwangju Institute of Science and Technology, Gwangju 500-712 (Korea, Republic of); Ham, Moon-Ho [Department of Nanobio Materials and Electronics, Gwangju Institute of Science and Technology, Gwangju 500-712 (Korea, Republic of); School of Materials Science and Engineering, Gwangju Institute of Science and Technology, Gwangju 500-712 (Korea, Republic of); Lee, Woong [School of Nano and Advanced Materials Engineering, Changwon National University, 9 Sarim-Dong, Changwon, Gyeongnam 641-773 (Korea, Republic of); Myoung, Jae-Min, E-mail: jmmyoung@yonsei.ac.kr [Information and Electronic Materials Research Laboratory, Department of Materials Science and Engineering, Yonsei University, 134 Shinchon-dong, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2012-10-15

    Highlights: Black-Right-Pointing-Pointer Surface-textured AZO films were achieved by combining PDMS method with wet etching. Black-Right-Pointing-Pointer The AZO film deposited at 230 Degree-Sign C by PDMS exhibited the best performance. Black-Right-Pointing-Pointer It is due to the higher plasma density supplied from PDMS system. Black-Right-Pointing-Pointer Wet etching of the films produces a crater-like rough surface morphology. - Abstract: A simple but scalable approach to the production of surface-textured Al-doped ZnO(AZO) films for low-cost transparent electrode applications in thin-film solar cells is introduced in this study by combining pulsed dc magnetron sputtering (PDMS) with wet etching in sequence. First, structural, electrical, and optical properties of the AZO films prepared by a PDMS were investigated as functions of deposition temperature to obtain transparent electrode films that can be used as indium-free alternative to ITO electrodes. Increase in the deposition temperature to 230 Degree-Sign C accompanied the improvement in crystalline quality and doping efficiency, which enabled the lowest electrical resistivity of 4.16 Multiplication-Sign 10{sup -4} {Omega} cm with the carrier concentration of 1.65 Multiplication-Sign 10{sup 21} cm{sup -3} and Hall mobility of 11.3 cm{sup 2}/V s. The wet etching of the films in a diluted HCl solution resulted in surface roughening via the formation of crater-like structures without significant degradation in the electrical properties, which is responsible for the enhanced light scattering capability required for anti-reflective electrodes in thin film solar cells.

  8. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  9. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  10. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  11. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  12. The role of Triton surfactant in anisotropic etching of {1 1 0} reflective planes on (1 0 0) silicon

    Science.gov (United States)

    Resnik, Drago; Vrtacnik, Danilo; Aljancic, Uros; Mozek, Matej; Amon, Slavko

    2005-06-01

    Etching characteristics and properties of {1 1 0} silicon crystal planes used as 45° optical mirrors for deflecting optical beams from/to optical fibers were investigated. Fiber aligning grooves and passive mirror-like planes were realized by wet micromachining of (1 0 0) silicon in KOH IPA and TMAH IPA systems. Implementation of Triton-x-100 surfactant as an additive to 25% TMAH in anisotropic etching of {1 1 0} silicon passive mirror planes is reported and discussed. It was found that Triton-x-100 contents in the range of 10 200 ppm to the 25% TMAH water etchant significantly increase the anisotropy mostly by decreasing the {1 1 0} etch rate and retaining the {1 0 0} etch rate. It is also shown that {1 1 0} surface roughness is substantially improved compared to two other etching systems. Furthermore, efficient convex corner underetching reduction is demonstrated. The results of optical characterization of passive mirrors with 632 nm incident light show reduced scattering of reflected optical beam due to improved microroughness for mirrors made by TMAH Triton. For the reflection of the optical beam with 1.33 µm and 1.54 µm wavelengths, sputtered layer of gold is used as reflective coating on silicon mirrors thus increasing the reflected optical beam intensity by an additional 8%.

  13. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  14. Low-temperature plasma etching of high aspect-ratio densely packed 15 to sub-10 nm silicon features derived from PS-PDMS block copolymer patterns

    International Nuclear Information System (INIS)

    Liu, Zuwei; Sassolini, Simone; Olynick, Deirdre L; Gu, Xiaodan; Hwu, Justin

    2014-01-01

    The combination of block copolymer (BCP) lithography and plasma etching offers a gateway to densely packed sub-10 nm features for advanced nanotechnology. Despite the advances in BCP lithography, plasma pattern transfer remains a major challenge. We use controlled and low substrate temperatures during plasma etching of a chromium hard mask and then the underlying substrate as a route to high aspect ratio sub-10 nm silicon features derived from BCP lithography. Siloxane masks were fabricated using poly(styrene-b-siloxane) (PS-PDMS) BCP to create either line-type masks or, with the addition of low molecular weight PS-OH homopolymer, dot-type masks. Temperature control was essential for preventing mask migration and controlling the etched feature’s shape. Vertical silicon wire features (15 nm with feature-to-feature spacing of 26 nm) were etched with aspect ratios up to 17 : 1; higher aspect ratios were limited by the collapse of nanoscale silicon structures. Sub-10 nm fin structures were etched with aspect ratios greater than 10 : 1. Transmission electron microscopy images of the wires reveal a crystalline silicon core with an amorphous surface layer, just slightly thicker than a native oxide. (paper)

  15. Effect of the duration of a wet KCN etching step and post deposition annealing on the efficiency of Cu2ZnSnSe4 solar cells

    OpenAIRE

    Sahayaraj, Sylvester; Brammertz, Guy; Vermang, Bart; Ranjbar, Samaneh; Meuris, Marc; Vleugels, Jef; Poortmans, Jef

    2016-01-01

    The influence of the duration of the KCN etching step on the efficiency of Cu2ZnSnSe4 (CZTSe) solar cells and Post deposition annealing (PDA) has been explored. CZTSe thin film absorbers prepared by selenization at 450 degrees C were etched by 5 wt% KCN/KOH from 30s up to 360 s before solar cell processing. KCN etching times above 120 s resulted in poor efficiencies. The fill factor (FF) and short circuit current density Jsc) of these devices were affected severely. After annealing the solar ...

  16. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  17. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  18. Non-chapped, vertically well aligned titanium dioxide nanotubes fabricated by electrochemical etching

    Science.gov (United States)

    Loan Nguyen, Thu; Dieu Thuy Ung, Thi; Liem Nguyen, Quang

    2014-06-01

    This paper reports on the fabrication of non-chapped, vertically well aligned titanium dioxide nanotubes (TONTs) by using electrochemical etching method and further heat treatment. Very highly ordered metallic titanium nanotubes (TNTs) were formed by directly anodizing titanium foil at room temperature in an electrolyte composed of ammonium fluoride (NH4F), ethylene glycol (EG), and water. The morphology of as-formed TNTs is greatly dependent on the applied voltage, NH4F content and etching time. Particularly, we have found two interesting points related to the formation of TNTs: (i) the smooth surface without chaps of the largely etched area was dependent on the crystalline orientation of the titanium foil; and (ii) by increasing the anodizing potential from 15 V to 20 V, the internal diameter of TNT was increased from about 50 nm to 60 nm and the tube density decreased from 403 tubes μm-2 down to 339 tubes μm-2, respectively. For the anodizing duration from 1 h to 5 h, the internal diameter of each TNT was increased from ˜30 nm to 60 nm and the tube density decreased from 496 tubes μm-2 down to 403 tubes μm-2. After annealing at 400 °C in open air for 1 h, the TNTs were transformed into TONTs in anatase structure; further annealing at 600 °C showed the structural transformation from anatase to rutile as determined by Raman scattering spectroscopy.

  19. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  20. Heterojunction Diodes and Solar Cells Fabricated by Sputtering of GaAs on Single Crystalline Si

    Directory of Open Access Journals (Sweden)

    Santiago Silvestre

    2015-04-01

    Full Text Available This work reports fabrication details of heterojunction diodes and solar cells obtained by sputter deposition of amorphous GaAs on p-doped single crystalline Si. The effects of two additional process steps were investigated: A hydrofluoric acid (HF etching treatment of the Si substrate prior to the GaAs sputter deposition and a subsequent annealing treatment of the complete layered system. A transmission electron microscopy (TEM exploration of the interface reveals the formation of a few nanometer thick SiO2 interface layer and some crystallinity degree of the GaAs layer close to the interface. It was shown that an additional HF etching treatment of the Si substrate improves the short circuit current and degrades the open circuit voltage of the solar cells. Furthermore, an additional thermal annealing step was performed on some selected samples before and after the deposition of an indium tin oxide (ITO film on top of the a-GaAs layer. It was found that the occurrence of surface related defects is reduced in case of a heat treatment performed after the deposition of the ITO layer, which also results in a reduction of the dark saturation current density and resistive losses.

  1. Examining Wetting and Dewetting Processes in Thin-films on Crystalline Substrates at the Nanoscale

    Science.gov (United States)

    Hihath, Sahar

    Controlling the wetting and dewetting of ultra-thin films on solid substrates is important for a variety of technological and fundamental research applications. These applications include film deposition for semiconductor manufacturing, the growth of nanowires through nanoparticle-based catalysis sites, to making ordered arrays of nanoscale particles for electronic and optical devices. However, despite the importance of these processes, the underlying mechanisms by which a film wets a surface or dewets from it is still often unclear and widely debated. In this dissertation we examine wetting and dewetting processes in three materials systems that are relevant for device applications with the ultimate goal of understanding what mechanisms drive the wetting (or dewetting) process in each case. First, we examine the formation of wetting layers between nanoparticle films and highly conductive GaAs substrates for spintronic applications. In this case, the formation of a wetting layer is important for nanoparticle adhesion on the substrate surface. Wetting layers can be made by annealing these systems, which causes elemental diffusion from nanoparticles into the substrate, thereby adhesion between the nanoparticles and the substrate. Here we investigate the feasibility of forming a wetting layer underneath nanoparticles post-annealing in a system of Fe3O4 nanoparticles on a (100) GaAs substrate by studying the interface structure and composition via Transmission Electron Microscopy (TEM), Scanning Transmission Electron Microscopy (STEM), Electron Energy Loss Spectroscopy (EELS) and Energy Dispersive X-ray Spectroscopy (EDXS). Electron Energy-Loss fine structures of the Fe-L 3,2 and O-K absorption edges were quantitatively analyzed to gain insight about the compositional gradient of the interface between the nanoparticles and the GaAs substrate. Additionally, real-space density functional theory calculations of the dynamical form factor was performed to confirm the

  2. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  3. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  4. Nano-sized crystalline drug production by milling technology.

    Science.gov (United States)

    Moribe, Kunikazu; Ueda, Keisuke; Limwikrant, Waree; Higashi, Kenjirou; Yamamoto, Keiji

    2013-01-01

    Nano-formulation of poorly water-soluble drugs has been developed to enhance drug dissolution. In this review, we introduce nano-milling technology described in recently published papers. Factors affecting the size of drug crystals are compared based on the preparation methods and drug and excipient types. A top-down approach using the comminution process is a method conventionally used to prepare crystalline drug nanoparticles. Wet milling using media is well studied and several wet-milled drug formulations are now on the market. Several trials on drug nanosuspension preparation using different apparatuses, materials, and conditions have been reported. Wet milling using a high-pressure homogenizer is another alternative to preparing production-scale drug nanosuspensions. Dry milling is a simple method of preparing a solid-state drug nano-formulation. The effect of size on the dissolution of a drug from nanoparticles is an area of fundamental research, but it is sometimes incorrectly evaluated. Here, we discuss evaluation procedures and the associated problems. Lastly, the importance of quality control, process optimization, and physicochemical characterization are briefly discussed.

  5. Fabrication of a vertical sidewall using double-sided anisotropic etching of 〈1 0 0〉 oriented silicon

    International Nuclear Information System (INIS)

    Kim, Hyun-Seok; Bang, Yong-Seung; Song, Eun-Seok; Kim, Yong-Kweon; Kim, Jung-Mu; Ji, Chang-Hyeon

    2012-01-01

    A double-sided wet etch process has been proposed to fabricate vertical structures in 〈1 0 0〉 oriented silicon substrate. Both sides of a {1 0 0} silicon wafer have been patterned identically along the 〈1 1 0〉 direction, and etched using potassium hydroxide (KOH) solution. By precisly controlling the etch time, using etch-timer structure and additive control, structures with smooth and vertical {1 1 0} sidewalls have been fabricated at the edges of a rectangular opening without undercut. Rectangular through-holes, bridges and cantilevers have been constructed using the proposed process. The measured average surface roughness of the vertical sidewall was 481 nm, which has been further reduced to 217 nm and 218 nm by postetching using a KOH–IPA and TMAH–Triton mixture, respectively. Slanted {4 1 1} planes exposed at the concave corners during the vertical etch process have been successfully removed or diminished by the postetching process. A bridge structure with a high aspect ratio of 39:1 has been fabricated, and cantilevers without undercutting were successfully constructed by applying the compensation technique. The proposed process can potentially be utilized in place of the deep reactive ion etching process for the fabrication of structures having vertical through-holes, such as through-silicon vias, high aspect ratio springs and filters for microfluidic applications. (paper)

  6. Saddle-fin cell transistors with oxide etch rate control by using tilted ion implantation (TIS-fin) for sub-50-nm DRAMs

    International Nuclear Information System (INIS)

    Yoo, Min Soo; Choi, Kang Sik; Sun, Woo Kyung

    2010-01-01

    As DRAM cell pitch size decreases, the need for a high performance transistor is increasing. Though saddle-fin (S-fin) transistors have superior characteristics, S-fin transistors are well known to be more sensitive to process variation. To make uniform S-fin transistors, for the first time, we developed a new fin formation method using tilted ion implantation along the wordline direction after a recess gate etch. Due to the increased etch rate of the oxide film by ion implantation damage, fins are made at the bottom channel of the recess gate after wet etching. The resulting tilt implanted saddle-fin (TIS-fin) transistor has remarkably improved characteristics, such as ∼8% subthreshold swing (SS) and a 40% drain induced barrier lowering (DIBL) decrease. Especially, the TIS-fin with a neutral dopant has a reduced threshold voltage (Vth) variation within a wafer (<100 mV), which is comparable with that of a mass-produced sphere-shaped recessed channel array transistor (SRCAT).

  7. Structural Modification of Cobalt Catalysts: Effect of Wetting Studied by X-Ray and Infrared Techniques

    Directory of Open Access Journals (Sweden)

    Khodakov A.

    1999-07-01

    Full Text Available The effect of wetting on the structure and localisation of cobalt species on various supports (Al2O3, SiO2, TiO2, HZSM-5 zeolite was studied using X-ray diffraction, Fourier transform infrared spectroscopy with CO as a molecular probe, X-ray photoelectron spectroscopy and extended X-ray absorption fine structure analysis. Aqueous impregnation to incipient wetness of reduced and passivated cobalt catalysts results, even in the absence of any promoter, in a considerable decrease in the concentration of Co crystalline phases and modifies the surface sites. The decrease in the concentration of Co3O4 crystallites was especially pronounced on silica supported catalysts prepared via impregnation of cobalt and on a mixture of Co3O4 and HZSM-5 zeolite. Saturation with water of the passivated Co/SiO2 sample results in an amorphous solid with a local structure close to that of Co2SiO4. For Co/Al2O3 and Co/TiO2 catalysts, the effect of wetting on the concentration of Co3O4 crystalline phase was considerably smaller.

  8. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  9. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  10. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  11. ICP dry etching ITO to improve the performance of GaN-based LEDs

    International Nuclear Information System (INIS)

    Meng Lili; Chen Yixin; Ma Li; Liu Zike; Shen Guangdi

    2011-01-01

    In order to improve the light efficiency of the conventional GaN-based light-emitting diodes (LEDs), the indium tin oxide (ITO) film is introduced as the current spreading layer and the light anti-reflecting layer on the p-GaN surface. There is a big problem with the ITO thin film's corrosion during the electrode preparation. In this paper, at least, the edge of the ITO film was lateral corroded 3.5 μm width, i.e. 6.43%-1/3 of ITO film's area. An optimized simple process, i.e. inductively couple plasma (ICP), was introduced to solve this problem. The ICP process not only prevented the ITO film from lateral corrosion, but also improved the LED's light intensity and device performance. The edge of the ITO film by ICP dry etching is steep, and the areas of ITO film are whole. Compared with the chip by wet etching, the areas of light emission increase by 6.43% at least and the chip's lop values increase by 45.9% at most. (semiconductor devices)

  12. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  13. Effect of Etching Parameter on Pore Size and Porosity of Electrochemically Formed Nanoporous Silicon

    Directory of Open Access Journals (Sweden)

    Pushpendra Kumar

    2007-01-01

    Full Text Available The most common fabrication technique of porous silicon (PS is electrochemical etching of a crystalline silicon wafer in a hydrofluoric (HF acid-based solution. The electrochemical process allows for precise control of the properties of PS such as thickness of the porous layer, porosity, and average pore diameter. The control of these properties of PS was shown to depend on the HF concentration in the used electrolyte, the applied current density, and the thickness of PS. The change in pore diameter, porosity, and specific surface area of PS was investigated by measuring nitrogen sorption isotherms.

  14. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  15. Patterning crystalline indium tin oxide by high repetition rate femtosecond laser-induced crystallization

    International Nuclear Information System (INIS)

    Cheng, Chung-Wei; Lin, Cen-Ying; Shen, Wei-Chih; Lee, Yi-Ju; Chen, Jenq-Shyong

    2010-01-01

    A method is proposed for patterning crystalline indium tin oxide (c-ITO) patterns on amorphous ITO (a-ITO) thin films by femtosecond laser irradiation at 80 MHz repetition rate followed by chemical etching. In the proposed approach, the a-ITO film is transformed into a c-ITO film over a predetermined area via the heat accumulation energy supplied by the high repetition rate laser beam, and the unirradiated a-ITO film is then removed using an acidic etchant solution. The fabricated c-ITO patterns are observed using scanning electron microscopy and cross-sectional transmission electron microscopy. The crystalline, optical, electrical properties were measured by X-ray diffraction, spectrophotometer, and four point probe station, respectively. The experimental results show that a high repetition rate reduces thermal shock and yields a corresponding improvement in the surface properties of the c-ITO patterns.

  16. High-aspect-ratio microstructures with versatile slanting angles on silicon by uniform metal-assisted chemical etching

    Science.gov (United States)

    Li, Liyi; Zhang, Cheng; Tuan, Chia-Chi; Chen, Yun; Wong, C.-P.

    2018-05-01

    High-aspect-ratio (HAR) microstructures on silicon (Si) play key roles in photonics and electromechanical devices. However, it has been challenging to fabricate HAR microstructures with slanting profiles. Here we report successful fabrication of uniform HAR microstructures with controllable slanting angles on (1 0 0)-Si by slanted uniform metal-assisted chemical etching (SUMaCE). The trenches have width of 2 µm, aspect ratio greater than 20:1 and high geometric uniformity. The slanting angles can be adjusted between 2-70° with respect to the Si surface normal. The results support a fundamental hypothesis that under the UMaCE condition, the preferred etching direction is along the normal of the thin film catalysts, regardless of the relative orientation of the catalyst to Si substrates or the crystalline orientation of the substrates. The SUMaCE method paves the way to HAR 3D microfabrication with arbitrary slanting profiles inside Si.

  17. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  18. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  19. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  20. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  1. Effect of annealing conditions on the molecular properties and wetting of viscoelastic bitumen substrates by liquids

    Directory of Open Access Journals (Sweden)

    Salomé dos Santos

    2017-01-01

    Full Text Available Typically, in the production of asphalt concrete, bitumen and mineral aggregates are heated and mixed at temperatures above 100 °C. After the mixing process bitumen ideally coats the mineral aggregates and remains in the form of thin films. Because bitumen is highly temperature sensitive, the study of its properties in terms of chemistry, microstructure and rheology as a function of different annealing conditions is very relevant. The resultant molecular properties have a direct correlation to bitumen macroscopic response to liquids such as water, which is of extreme relevance to the understanding of the detrimental effect of water on asphalt pavements. The wetting characteristics play a crucial role on the extension of detachment of bitumen from the mineral aggregates when asphalt is exposed to wet conditions. Therefore, in this work, the effect of the annealing temperature and cooling history on the chemistry, microstructure and wetting of bitumen films was studied. Crystalline microstructures were identified in bulk and on the surface of the bitumen films. Larger crystals presenting higher crystallinity degree were identified when the annealed bitumen films were cooled slowly. Moreover, higher annealing temperatures increased the oxidation level. The change of the rheological properties due to the alterations of the annealing conditions produced changes in the wetting characteristics. For instance, the advancing motion of a liquid drop on the viscoelastic bitumen substrate presented an intermittent behaviour due to the deformation of bitumen at the liquid-bitumen-air contact line. Consequently, changes in the contact angles were also observed. Keywords: Bitumen, Crystallization, Oxidation, Advancing contact angle, Wetting

  2. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  3. Transfer the multiscale texture of crystalline Si onto thin-film micromorph cell by UV nanoimprint for light trapping

    Science.gov (United States)

    Liu, Daiming; Wang, Qingkang; Wang, Qing

    2018-05-01

    Surface texturing is of great significance in light trapping for solar cells. Herein, the multiscale texture, consisting of microscale pyramids and nanoscale porous arrangement, was fabricated on crystalline Si by KOH etching and Ag-assisted HF etching processes and subsequently replicated onto glass with high fidelity by UV nanoimprint method. Light trapping of the multiscale texture was studied by spectral (reflectance, haze ratio) characterizations. Results reveal the multiscale texture provides the broadband reflection reducing, the highlighted light scattering and the additional self-cleaning behaviors. Compared with bare cell, the multiscale textured micromorph cell achieves a 4% relative increase in power conversion efficiency. This surface texturing route paves a promising way for developing low-cost, large-scale and high-efficiency solar applications.

  4. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  5. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  6. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors

    Science.gov (United States)

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  7. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  8. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  9. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  10. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  11. Ion transport by gating voltage to nanopores produced via metal-assisted chemical etching method

    Science.gov (United States)

    Van Toan, Nguyen; Inomata, Naoki; Toda, Masaya; Ono, Takahito

    2018-05-01

    In this work, we report a simple and low-cost way to create nanopores that can be employed for various applications in nanofluidics. Nano sized Ag particles in the range from 1 to 20 nm are formed on a silicon substrate with a de-wetting method. Then the silicon nanopores with an approximate 15 nm average diameter and 200 μm height are successfully produced by the metal-assisted chemical etching method. In addition, electrically driven ion transport in the nanopores is demonstrated for nanofluidic applications. Ion transport through the nanopores is observed and could be controlled by an application of a gating voltage to the nanopores.

  12. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  13. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  14. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  15. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  16. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  17. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  18. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  19. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  20. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  1. Synthesis and Characterization of Chemically Etched Nanostructured Silicon

    KAUST Repository

    Mughal, Asad Jahangir

    2012-05-01

    Silicon is an essential element in today’s modern world. Nanostructured Si is a more recently studied variant, which has currently garnered much attention. When its spatial dimensions are confined below a certain limit, its optical properties change dramatically. It transforms from an indirect bandgap material that does not absorb or emit light efficiently into one which can emit visible light at room temperatures. Although much work has been conducted in understanding the properties of nanostructured Si, in particular porous Si surfaces, a clear understanding of the origin of photoluminescence has not yet been produced. Typical synthesis approaches used to produce nanostructured Si, in particular porous Si and nanocrystalline Si have involved complex preparations used at high temperatures, pressures, or currents. The purpose of this thesis is to develop an easier synthesis approach to produce nanostructured Si as well as arrive at a clearer understanding of the origin of photoluminescence in these systems. We used a simple chemical etching technique followed by sonication to produce nanostructured Si suspensions. The etching process involved producing pores on the surface of a Si substrate in a solution containing hydrofluoric acid and an oxidant. Nanocrystalline Si as well as nanoscale amorphous porous Si suspensions were successfully synthesized using this process. We probed into the phase, composition, and origin of photoluminescence in these materials, through the use of several characterization techniques. TEM and SEM were used to determine morphology and phase. FT-IR and XPS were employed to study chemical compositions, and steady state and time resolved optical spectroscopy techniques were applied to resolve their photoluminescent properties. Our work has revealed that the type of oxidant utilized during etching had a significant impact on the final product. When using nitric acid as the oxidant, we formed nanocrystalline Si suspensions composed of

  2. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  3. Microfabricated Cantilevers Based on Sputtered Thin-Film Ni50Ti50 Shape Memory Alloy (SMA)

    Science.gov (United States)

    2015-08-01

    surface coating developed during the NiTi deposition or anneal that is relatively resistant to the wet etch. Fig. 2 SEMs after the NiTi wet -etch...SEMs of NiTi devices after the 600 °C anneal , wet -etch patterning of the NiTi. A 120-nm Au capping layer was also sputtered. Figure 3a shows a 200-nm...Ni50Ti50 Cantilever 2 3. Results and Discussion 3 3.1 Wet -Etch Patterning NiTi 3 3.2 Dry-Etch Release of NiTi Devices 5 3.3 Thermal Actuation of

  4. Dynamic Chemically Driven Dewetting, Spreading, and Self-Running of Sessile Droplets on Crystalline Silicon.

    Science.gov (United States)

    Arscott, Steve

    2016-12-06

    A chemically driven dewetting effect is demonstrated using sessile droplets of dilute hydrofluoric acid on chemically oxidized silicon wafers. The dewetting occurs as the thin oxide is slowly etched by the droplet and replaced by a hydrogen-terminated surface; the result of this is a gradual increase in the contact angle of the droplet with time. The time-varying work of adhesion is calculated from the time-varying contact angle; this corresponds to the changing chemical nature of the surface during dewetting and can be modeled by the well-known logistic (sigmoid) function often used for the modeling of restricted growth, in this case, the transition from an oxidized surface to a hydrogen-terminated silicon surface. The observation of the time-varying contact angle allows one to both measure the etch rate of the silicon oxide and estimate the hydrogenation rate as a function of HF concentration and wafer type. In addition to this, at a certain HF concentration, a self-running droplet effect is observed. In contrast, on hydrogen-terminated silicon wafers, a chemically induced spreading effect is observed using sessile droplets of nitric acid. The droplet spreading can also be modeled using a logistical function, where the restricted growth is the transition from hydrogen-terminated to a chemically induced oxidized silicon surface. The chemically driven dewetting and spreading observed here add to the methods available to study dynamic wetting (e.g., the moving three-phase contact line) of sessile droplets on surfaces. By slowing down chemical kinetics of the wetting, one is able to record the changing profile of the sessile droplet with time and gather information concerning the time-varying surface chemistry. The data also indicates a chemical interface hysteresis (CIH) that is compared to contact angle hysteresis (CAH). The approach can also be used to study the chemical etching and deposition behavior of thin films using liquids by monitoring the macroscopic

  5. Effect of a whitening agent application on enamel bond strength of self-etching primer systems.

    Science.gov (United States)

    Miyazaki, Masashi; Sato, Hikaru; Sato, Tomomi; Moore, B Keith; Platt, Jeffrey A

    2004-06-01

    Though reduction in bond strength after tooth whitening has been reported, little is known about it's effect on enamel bond strength of two-step bonding systems that exclude phosphoric acid etching prior to bonding agent application. The purpose of this study was to determine the effect of whitening procedure using an in-office whitening agent on enamel bond strength of self-etching primer systems. Three self-etching primer systems, Imperva Fluoro Bond, Mac Bond II, Clearfil SE Bond, and a one-bottle adhesive system Single Bond as a control material, were used. Bovine mandibular incisors were mounted in self-curing resin and the facial enamel or dentin surfaces were ground wet on 600-grit SiC paper. An in-office whitening agent, Hi-Lite was applied on the tooth surface according to the manufacturer's instruction. Bonding procedures were done soon after rinsing off the whitening agent or after 24 hours storage in distilled water. Specimens without whitening procedure were prepared as controls. Fifteen specimens per test group were stored in 37 degrees C distilled water for 24 hours, then shear tested at a crosshead speed of 1.0 mm/minute. One-way ANOVA followed by Duncan multiple range test were used for statistical analysis of the results. For the specimens made soon after rinsing off the whitening agent, a significant decrease in enamel bond strength was observed for all the bonding systems used. For the specimens made after 24 hours storage in water, a small decrease in enamel bond strength was observed and no significant differences were found compared to those of controls (without whitening). From the results of this study, enamel bond strengths of the self-etching primer systems might be affected to a lesser degree after rinsing with water followed by 24 hours storage in water.

  6. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  7. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  8. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin

    2016-05-20

    A wet-chemical surface texturing technique, including a two-step metal-catalyzed chemical etching (MCCE) and an extra alkaline treatment, has been proven as an efficient way to fabricate high-efficiency black multicrystalline (mc) silicon solar cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically smooth surfaces could be directly achieved by improved one-step MCCE and with no posttreatment, like alkali solution. The doublet surface texture of implementing this nanobowl structure upon the industrialized acidic-textured surface showed concurrent improvement in optical and electrical properties for realizing 18.23% efficiency mc-Si solar cells (156 mm × 156 mm), which is sufficiently higher than 17.7% of the solely acidic-textured cells in the same batch. The one-step MCCE method demonstrated in this study may provide a cost-effective way to manufacture high-performance mc-Si solar cells for the present photovoltaic industry. © 2016 IEEE.

  9. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    OpenAIRE

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted ...

  10. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  11. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  12. Mechanically flexible optically transparent porous mono-crystalline silicon substrate

    KAUST Repository

    Rojas, Jhonathan Prieto; Syed, Ahad A.; Hussain, Muhammad Mustafa

    2012-01-01

    For the first time, we present a simple process to fabricate a thin (≥5μm), mechanically flexible, optically transparent, porous mono-crystalline silicon substrate. Relying only on reactive ion etching steps, we are able to controllably peel off a thin layer of the original substrate. This scheme is cost favorable as it uses a low-cost silicon <100> wafer and furthermore it has the potential for recycling the remaining part of the wafer that otherwise would be lost and wasted during conventional back-grinding process. Due to its porosity, it shows see-through transparency and potential for flexible membrane applications, neural probing and such. Our process can offer flexible, transparent silicon from post high-thermal budget processed device wafer to retain the high performance electronics on flexible substrates. © 2012 IEEE.

  13. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  14. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  15. STUDYING THE STRUCTURAL, OPTICAL, CHEMICAL AND ELECTROCHEMICAL ETCHING CHANGES OF CR-39 FOR DOSEMETRIC APPLICATIONS.

    Science.gov (United States)

    Zaki, M F; Elshaer, Y H; Taha, Doaa H

    2017-12-01

    The present work shows the induced modification of the structural, optical, chemical etching and electrochemical etching parameters of CR-39 irradiated with alpha-particles. CR-39 polymer track detectors were irradiated with different fluences (1.62 × 106, 2.72 × 106, 3.82 × 106 and 5.21 × 106 particles/cm2) of alpha-particles using 241Am source. The structural and optical properties were measured by FT-IR spectroscopy, X-ray diffraction and UV/Vis spectroscopy, respectively. The FT-IR spectra reveal that no major changes in the typical functional groups of irradiated polymer detectors. The X-ray diffraction patterns show that a broad band in the region of 12° 27°, which refers to the presence of the combination of amorphous and crystalline phases. UV/Vis responses of irradiated polymer track detectors exhibit a single absorption band in the range of 254-352 nm that is correlated to the occurrence of electronic transition. Also, the changes in the chemical and electrochemical parameters due to alpha-irradiation are examined and thoroughly discussed. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  16. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  17. Wetting behavior of molten In-Sn alloy on bulk amorphous and crystalline Cu40Zr44Al8Ag8

    International Nuclear Information System (INIS)

    Ma, G. F.; Zhang, H. F.; Li, H.; Hu, Z. Q.

    2007-01-01

    Using the sessile-drop method, the wettability of the molten In-Sn alloy on bulk amorphous and crystalline Cu 40 Zr 44 Al 8 Ag 8 alloy was studied at different temperatures. It was found that the equilibrium contact angle of In-Sn alloy melt on bulk amorphous substrate was smaller than that of the crystalline one. An intermetallic compound existed at the interface of In-Sn alloy on amorphous Cu 40 Zr 44 Al 8 Ag 8 , while no intermediate reaction layer was formed at the interface of In-Sn alloy on crystalline Cu 40 Zr 44 Al 8 Ag 8 in the temperature range studied

  18. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Directory of Open Access Journals (Sweden)

    Kae Dal Kwack

    2011-01-01

    Full Text Available A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  19. Photo-EMF sensitivity of porous silicon thin layer-crystalline silicon heterojunction to ammonia adsorption.

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  20. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light. PMID:22319353

  1. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  2. Large-area 2D periodic crystalline silicon nanodome arrays on nanoimprinted glass exhibiting photonic band structure effects

    International Nuclear Information System (INIS)

    Becker, C; Lockau, D; Sontheimer, T; Rech, B; Schubert-Bischoff, P; Rudigier-Voigt, E; Bockmeyer, M; Schmidt, F

    2012-01-01

    Two-dimensional silicon nanodome arrays are prepared on large areas up to 50 cm 2 exhibiting photonic band structure effects in the near-infrared and visible wavelength region by downscaling a recently developed fabrication method based on nanoimprint-patterned glass, high-rate electron-beam evaporation of silicon, self-organized solid phase crystallization and wet-chemical etching. The silicon nanodomes, arranged in square lattice geometry with 300 nm lattice constant, are optically characterized by angular resolved reflection measurements, allowing the partial determination of the photonic band structure. This experimentally determined band structure agrees well with the outcome of three-dimensional optical finite-element simulations. A 16% photonic bandgap is predicted for an optimized geometry of the silicon nanodome arrays. By variation of the duration of the selective etching step, the geometry as well as the optical properties of the periodic silicon nanodome arrays can be controlled systematically. (paper)

  3. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  4. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  5. Effect of deposition temperature on the properties of Al-doped ZnO films prepared by pulsed DC magnetron sputtering for transparent electrodes in thin-film solar cells

    Science.gov (United States)

    Kim, Doo-Soo; Park, Ji-Hyeon; Shin, Beom-Ki; Moon, Kyeong-Ju; Son, Myoungwoo; Ham, Moon-Ho; Lee, Woong; Myoung, Jae-Min

    2012-10-01

    A simple but scalable approach to the production of surface-textured Al-doped ZnO(AZO) films for low-cost transparent electrode applications in thin-film solar cells is introduced in this study by combining pulsed dc magnetron sputtering (PDMS) with wet etching in sequence. First, structural, electrical, and optical properties of the AZO films prepared by a PDMS were investigated as functions of deposition temperature to obtain transparent electrode films that can be used as indium-free alternative to ITO electrodes. Increase in the deposition temperature to 230 °C accompanied the improvement in crystalline quality and doping efficiency, which enabled the lowest electrical resistivity of 4.16 × 10-4 Ω cm with the carrier concentration of 1.65 × 1021 cm-3 and Hall mobility of 11.3 cm2/V s. The wet etching of the films in a diluted HCl solution resulted in surface roughening via the formation of crater-like structures without significant degradation in the electrical properties, which is responsible for the enhanced light scattering capability required for anti-reflective electrodes in thin film solar cells.

  6. Self-diffusion in single crystalline silicon nanowires

    Science.gov (United States)

    Südkamp, T.; Hamdana, G.; Descoins, M.; Mangelinck, D.; Wasisto, H. S.; Peiner, E.; Bracht, H.

    2018-04-01

    Self-diffusion experiments in single crystalline isotopically controlled silicon nanowires with diameters of 70 and 400 nm at 850 and 1000 °C are reported. The isotope structures were first epitaxially grown on top of silicon substrate wafers. Nanowires were subsequently fabricated using a nanosphere lithography process in combination with inductively coupled plasma dry reactive ion etching. Three-dimensional profiling of the nanosized structure before and after diffusion annealing was performed by means of atom probe tomography (APT). Self-diffusion profiles obtained from APT analyses are accurately described by Fick's law for self-diffusion. Data obtained for silicon self-diffusion in nanowires are equal to the results reported for bulk silicon crystals, i.e., finite size effects and high surface-to-volume ratios do not significantly affect silicon self-diffusion. This shows that the properties of native point defects determined from self-diffusion in bulk crystals also hold for nanosized silicon structures with diameters down to 70 nm.

  7. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  8. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  9. Effectiveness of dust control methods for crystalline silica and respirable suspended particulate matter exposure during manual concrete surface grinding.

    Science.gov (United States)

    Akbar-Khanzadeh, Farhang; Milz, Sheryl A; Wagner, Cynthia D; Bisesi, Michael S; Ames, April L; Khuder, Sadik; Susi, Pam; Akbar-Khanzadeh, Mahboubeh

    2010-12-01

    Concrete grinding exposes workers to unacceptable levels of crystalline silica dust, known to cause diseases such as silicosis and possibly lung cancer. This study examined the influence of major factors of exposure and effectiveness of existing dust control methods by simulating field concrete grinding in an enclosed workplace laboratory. Air was monitored during 201 concrete grinding sessions while using a variety of grinders, accessories, and existing dust control methods, including general ventilation (GV), local exhaust ventilation (LEV), and wet grinding. Task-specific geometric mean (GM) of respirable crystalline silica dust concentrations (mg/m³ for LEV:HEPA-, LEV:Shop-vac-, wet-, and uncontrolled-grinding, while GV was off/on, were 0.17/0.09, 0.57/0.13, 1.11/0.44, and 23.1/6.80, respectively. Silica dust concentrations (mg/m³ using 100-125 mm (4-5 inch) and 180 mm (7 inch) grinding cups were 0.53/0.22 and 2.43/0.56, respectively. GM concentrations of silica dust were significantly lower for (1) GV on (66.0%) vs. off, and (2) LEV:HEPA- (99.0%), LEV:Shop-vac- (98.1%) or wet- (94.4%) vs. uncontrolled-grinding. Task-specific GM of respirable suspended particulate matter (RSP) concentrations (mg/m³ for LEV:HEPA-, LEV:Shop-vac-, wet-, and uncontrolled grinding, while GV was off/on, were 1.58/0.63, 7.20/1.15, 9.52/4.13, and 152/47.8, respectively. GM concentrations of RSP using 100-125 mm and 180 mm grinding cups were 4.78/1.62 and 22.2/5.06, respectively. GM concentrations of RSP were significantly lower for (1) GV on (70.2%) vs. off, and (2) LEV:HEPA- (98.9%), LEV:Shop-vac- (96.9%) or wet- (92.6%) vs. uncontrolled grinding. Silica dust and RSP were not significantly affected by (1) orientation of grinding surfaces (vertical vs. inclined); (2) water flow rates for wet grinding; (3) length of task-specific sampling time; or, (4) among cup sizes of 100, 115 or 125 mm. No combination of factors or control methods reduced an 8-hr exposure level to below the

  10. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  11. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  12. Picosecond laser ablation of poly-L-lactide: Effect of crystallinity on the material response

    International Nuclear Information System (INIS)

    Ortiz, Rocio; Quintana, Iban; Etxarri, Jon; Lejardi, Ainhoa; Sarasua, Jose-Ramon

    2011-01-01

    The picosecond laser ablation of poly-L-lactide (PLLA) as a function of laser fluence and degree of crystallinity was examined. The ablation parameters and the surface modifications were analyzed under various irradiation conditions using laser wavelengths ranging from the ultraviolet through the visible. When processing the amorphous PLLA, both energy threshold and topography varied considerably depending on laser wavelength. Laser irradiation showed a reduction in the energy ablation threshold as the degree of crystallinity increased, probably related to photomechanical effects involved in laser ablation with ultra-short pulses and the lower stress accommodation behavior of semicrystalline polymers. In particular, cooperative chain motions are impeded by the higher degree of crystallinity, showing fragile mechanical behavior and lower energy dissipation. The experimental results on ablation rate versus laser energy showed that UV laser ablation on semicrystalline PLLA was more efficient than the visible ablation, i.e., it exhibits higher etch rates over a wide range of pulse energy conditions. These results were interpreted in terms of photo-thermal and photo-chemical response of polymers as a function of material micro-structure and incident laser wavelength. High quality micro-grooves were produced in amorphous PLLA, reveling the potential of ultra-fast laser processing technique in the field of micro-structuring biocompatible and biodegradable polymers for biomedical applications.

  13. Picosecond laser ablation of poly-L-lactide: Effect of crystallinity on the material response

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz, Rocio; Quintana, Iban; Etxarri, Jon [Manufacturing Processes Department, Fundacion TEKNIKER, Av. Otaola 20, 20600, Eibar, Guipuzcoa (Spain); Lejardi, Ainhoa; Sarasua, Jose-Ramon [Department of Mining and Metallurgy Engineering and Materials Science, School of Engineering, University of the Basque Country (EHU-UPV), Alameda de Urquijo s/n, 48013 Bilbao (Spain)

    2011-11-01

    The picosecond laser ablation of poly-L-lactide (PLLA) as a function of laser fluence and degree of crystallinity was examined. The ablation parameters and the surface modifications were analyzed under various irradiation conditions using laser wavelengths ranging from the ultraviolet through the visible. When processing the amorphous PLLA, both energy threshold and topography varied considerably depending on laser wavelength. Laser irradiation showed a reduction in the energy ablation threshold as the degree of crystallinity increased, probably related to photomechanical effects involved in laser ablation with ultra-short pulses and the lower stress accommodation behavior of semicrystalline polymers. In particular, cooperative chain motions are impeded by the higher degree of crystallinity, showing fragile mechanical behavior and lower energy dissipation. The experimental results on ablation rate versus laser energy showed that UV laser ablation on semicrystalline PLLA was more efficient than the visible ablation, i.e., it exhibits higher etch rates over a wide range of pulse energy conditions. These results were interpreted in terms of photo-thermal and photo-chemical response of polymers as a function of material micro-structure and incident laser wavelength. High quality micro-grooves were produced in amorphous PLLA, reveling the potential of ultra-fast laser processing technique in the field of micro-structuring biocompatible and biodegradable polymers for biomedical applications.

  14. Anisotropic wetting properties on a precision-ground micro-V-grooved Si surface related to their micro-characterized variables

    International Nuclear Information System (INIS)

    Li, P; Xie, J; Cheng, J; Wu, K K

    2014-01-01

    Micro-characterized variables are proposed to precisely characterize a micro-V-grooved Si surface through the 3D measured topography rather than the designed one. In this study, level and gradient micro-grooved surfaces with depth of 25–80 µm were precisely and smoothly fabricated using a new micro-grinding process rather than laser machining and chemical etching. The objective is to investigate how these accurate micro-characterized variables systematically influence anisotropic wetting and droplet self-movement on such regular micro-structured surfaces without surface chemical modification. First, the anisotropic wetting, droplet sliding, pinning effect and droplet impact were experimentally investigated; then, theoretical anisotropic wetting models were constructed to predict and design the anisotropic wetting. The experiments show that the level micro-V-grooved surface produces the anisotropic wetting and pinning effects. It not only approximates superhydrophobicity but also produces high surface free energy. Moreover, the gradient micro-V-grooved surface with large pitch may lead to much easier droplet sliding than the level one along the micro-groove. The droplet self-movement trend increases with increasing the micro-groove gradient and micro-V-groove ratio. The micro-groove pitch and depth also influence the droplet impact. Theoretical analyses show that the wetting anisotropy and the droplet anisotropy both reach their largest value and disappear for a sharp micro-groove top when the micro-V-groove ratio is equal to 0.70 and 2.58, respectively, which may change the wetting between the composite state and the non-composite state. It is confirmed that the wetting behavior may be designed and predicted by the accurate micro-characterized variables of a regular micro-structured surface. (paper)

  15. Anisotropic wetting properties on a precision-ground micro-V-grooved Si surface related to their micro-characterized variables

    Science.gov (United States)

    Li, P.; Xie, J.; Cheng, J.; Wu, K. K.

    2014-07-01

    Micro-characterized variables are proposed to precisely characterize a micro-V-grooved Si surface through the 3D measured topography rather than the designed one. In this study, level and gradient micro-grooved surfaces with depth of 25-80 µm were precisely and smoothly fabricated using a new micro-grinding process rather than laser machining and chemical etching. The objective is to investigate how these accurate micro-characterized variables systematically influence anisotropic wetting and droplet self-movement on such regular micro-structured surfaces without surface chemical modification. First, the anisotropic wetting, droplet sliding, pinning effect and droplet impact were experimentally investigated; then, theoretical anisotropic wetting models were constructed to predict and design the anisotropic wetting. The experiments show that the level micro-V-grooved surface produces the anisotropic wetting and pinning effects. It not only approximates superhydrophobicity but also produces high surface free energy. Moreover, the gradient micro-V-grooved surface with large pitch may lead to much easier droplet sliding than the level one along the micro-groove. The droplet self-movement trend increases with increasing the micro-groove gradient and micro-V-groove ratio. The micro-groove pitch and depth also influence the droplet impact. Theoretical analyses show that the wetting anisotropy and the droplet anisotropy both reach their largest value and disappear for a sharp micro-groove top when the micro-V-groove ratio is equal to 0.70 and 2.58, respectively, which may change the wetting between the composite state and the non-composite state. It is confirmed that the wetting behavior may be designed and predicted by the accurate micro-characterized variables of a regular micro-structured surface.

  16. Reliable wet-chemical cleaning of natively oxidized high-efficiency Cu(In,Ga)Se2 thin-film solar cell absorbers

    Science.gov (United States)

    Lehmann, Jascha; Lehmann, Sebastian; Lauermann, Iver; Rissom, Thorsten; Kaufmann, Christian A.; Lux-Steiner, Martha Ch.; Bär, Marcus; Sadewasser, Sascha

    2014-12-01

    Currently, Cu-containing chalcopyrite-based solar cells provide the highest conversion efficiencies among all thin-film photovoltaic (PV) technologies. They have reached efficiency values above 20%, the same performance level as multi-crystalline silicon-wafer technology that dominates the commercial PV market. Chalcopyrite thin-film heterostructures consist of a layer stack with a variety of interfaces between different materials. It is the chalcopyrite/buffer region (forming the p-n junction), which is of crucial importance and therefore frequently investigated using surface and interface science tools, such as photoelectron spectroscopy and scanning probe microscopy. To ensure comparability and validity of the results, a general preparation guide for "realistic" surfaces of polycrystalline chalcopyrite thin films is highly desirable. We present results on wet-chemical cleaning procedures of polycrystalline Cu(In1-xGax)Se2 thin films with an average x = [Ga]/([In] + [Ga]) = 0.29, which were exposed to ambient conditions for different times. The hence natively oxidized sample surfaces were etched in KCN- or NH3-based aqueous solutions. By x-ray photoelectron spectroscopy, we find that the KCN treatment results in a chemical surface structure which is - apart from a slight change in surface composition - identical to a pristine as-received sample surface. Additionally, we discover a different oxidation behavior of In and Ga, in agreement with thermodynamic reference data, and we find indications for the segregation and removal of copper selenide surface phases from the polycrystalline material.

  17. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  18. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  19. Imaging the interphase of carbon fiber composites using transmission electron microscopy: Preparations by focused ion beam, ion beam etching, and ultramicrotomy

    Directory of Open Access Journals (Sweden)

    Wu Qing

    2015-10-01

    Full Text Available Three sample preparation techniques, focused ion beam (FIB, ion beam (IB etching, and ultramicrotomy (UM were used in comparison to analyze the interphase of carbon fiber/epoxy composites using transmission electron microscopy. An intact interphase with a relatively uniform thickness was obtained by FIB, and detailed chemical analysis of the interphase was investigated by electron energy loss spectroscopy. It shows that the interphase region is 200 nm wide with an increasing oxygen-to-carbon ratio from 10% to 19% and an almost constant nitrogen-to-carbon ratio of about 3%. However, gallium implantation of FIB tends to hinder fine structure analysis of the interphase. For IB etching, the interphase region is observed with transition morphology from amorphous resin to nano-crystalline carbon fiber, but the uneven sample thickness brings difficulty for quantitative chemical analysis. Moreover, UM tends to cause damage and/or deformation on the interphase. These results are meaningful for in-depth understanding on the interphase characteristic of carbon fiber composites.

  20. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  1. Extrafibrillar collagen demineralization-based chelate-and-rinse technique bridges the gap between wet and dry dentin bonding.

    Science.gov (United States)

    Mai, Sui; Wei, Chin-Chuan; Gu, Li-Sha; Tian, Fu-Cong; Arola, Dwayne D; Chen, Ji-Hua; Jiao, Yang; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-15

    Limitations associated with wet-bonding led to the recent development of a selective demineralization strategy in which dentin was etched with a reduced concentration of phosphoric acid to create exclusive extrafibrillar demineralization of the collagen matrix. However, the use of acidic conditioners removes calcium via diffusion of very small hydronium ions into the intrafibrillar collagen water compartments. This defeats the purpose of limiting the conditioner to the extrafibrillar space to create a collagen matrix containing only intrafibrillar minerals to prevent collapse of the collagen matrix. The present work examined the use of polymeric chelators (the sodium salt of polyacrylic acid) of different molecular weights to selectively demineralize extrafibrillar dentin. These polymeric chelators exhibit different affinities for calcium ions (isothermal titration calorimetry), penetrated intrafibrillar dentin collagen to different extents based on their molecular sizes (modified size-exclusion chromatography), and preserve the dynamic mechanical properties of mineralized dentin more favorably compared with completely demineralized phosphoric acid-etched dentin (nanoscopical dynamic mechanical analysis). Scanning and transmission electron microscopy provided evidence for retention of intrafibrillar minerals in dentin surfaces conditioned with polymeric chelators. Microtensile bond strengths to wet-bonded and dry-bonded dentin conditioned with these polymeric chelators showed that the use of sodium salts of polyacrylic acid for chelating dentin prior to bonding did not result in significant decline in resin-dentin bond strength. Taken together, the findings led to the conclusion that a chelate-and-rinse conditioning technique based on extrafibrillar collagen demineralization bridges the gap between wet and dry dentin bonding. The chelate-and-rinse dental adhesive bonding concept differentiates from previous research in that it is based on the size

  2. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    Science.gov (United States)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  3. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  4. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  5. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  6. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  7. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  8. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  9. Adhesion to pulp chamber dentin: Effect of ethanol-wet bonding technique and proanthocyanidins application

    Directory of Open Access Journals (Sweden)

    Pallavi Sharma

    2015-01-01

    Full Text Available Aim: To evaluate the microleakage of a simplified etch-and-rinse adhesive bonded to pulp chamber dentin with water-wet bonding (WWB or ethanol-wet bonding (EWB with and without proanthocyanidins (PA application. Materials and Methods: Total 88 non-carious extracted human molar teeth were sectioned horizontally to expose the pulp chambers 1.5 mm coronal to the cemento-enamel junction. After the pulp tissue extirpation, canal orifices were enlarged and the root ends were sealed. The samples were randomly divided equally into following four groups according to the four bonding techniques performed using Adper Single Bond 2 [SB] adhesive (1 WWB; (2 EWB; (3 WWB and PA application [WWB + PA]; (4 EWB and PA application [EWB + PA]. Composite resin restorations were performed in all the pulp chambers. Total 20 samples from each group were subjected to microleakage evaluation, and two samples per group were assessed under scanning electron microscope for interfacial micromorphology. Results: The least microleakage score was observed in group 2 (EWB with similar results seen in group 4 (EWB + PA (P = 0.918. Group 2 (EWB showed significantly less microleakage than group 1 (WWB; P = 0.002 and group 3 (WWB + PA; P = 0.009. Group 4 (EWB + PA also depicted significantly reduced microleakage as compared with group 1 (WWB; P = 0.001 and group 3 (WWB + PA; P = 0.003. Conclusion: The use of EWB technique in a clinically relevant simplified dehydration protocol significantly reduced microleakage in simplified etch-and-rinse adhesive, Adper Single Bond 2, bonded to pulp chamber dentin. Application of PA had no significant effect on the microleakage of the adhesive bonded with either WWB or EWB.

  10. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  11. Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires

    Science.gov (United States)

    Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng

    2011-01-01

    Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020

  12. Calcium phosphate bioceramics prepared from wet chemically precipitated powders

    Directory of Open Access Journals (Sweden)

    Kristine Salma

    2010-03-01

    Full Text Available In this work calcium phosphates were synthesized by modified wet chemical precipitation route. Contrary to the conventional chemical precipitation route calcium hydroxide was homogenized with planetary mill. Milling calcium oxide and water in planetary ball mill as a first step of synthesis provides a highly dispersed calcium hydroxide suspension. The aim of this work was to study the influence of main processing parameters of wet chemical precipitation synthesis product and to control the morphology, phase and functional group composition and, consequently, thermal stability and microstructure of calcium phosphate bioceramics after thermal treatment. The results showed that it is possible to obtain calcium phosphates with different and reproducible phase compositions after thermal processing (hydroxyapatite [HAp], β-tricalcium phosphate [β-TCP] and HAp/β-TCP by modified wet-chemical precipitation route. The β-TCP phase content in sintered bioceramics samples is found to be highly dependent on the changes in technological parameters and it can be controlled with ending pH, synthesis temperature and thermal treatment. Pure, crystalline and highly thermally stable (up to 1300°C HAp bioceramics with homogenous grainy microstructure, grain size up to 200–250 nm and high open porosity can be successfully obtained by powder synthesized at elevated synthesis temperature of 70°C and stabilizing ending pH at 9.

  13. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  14. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  15. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  16. Enhancing the enzymatic hydrolysis of corn stover by an integrated wet-milling and alkali pretreatment.

    Science.gov (United States)

    He, Xun; Miao, Yelian; Jiang, Xuejian; Xu, Zidong; Ouyang, Pingkai

    2010-04-01

    An integrated wet-milling and alkali pretreatment was applied to corn stover prior to enzymatic hydrolysis. The effects of NaOH concentration in the pretreatment on crystalline structure, chemical composition, and reducing-sugar yield of corn stover were investigated, and the mechanism of increasing reducing-sugar yield by the pretreatment was discussed. The experimental results showed that the crystalline structure of corn stover was disrupted, and lignin was removed, while cellulose and hemicellulose were retained in corn stover by the pretreatment with 1% NaOH in 1 h. The reducing-sugar yield from the pretreated corn stovers increased from 20.2% to 46.7% when the NaOH concentration increased from 0% to 1%. The 1% NaOH pretreated corn stover had a holocellulose conversion of 55.1%. The increase in reducing-sugar yield was related to the crystalline structure disruption and delignification of corn stover. It was clarified that the pretreatment significantly enhanced the conversion of cellulose and hemicellulose in the corn stover to sugars.

  17. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  18. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  19. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  20. Etching of enamel for direct bonding with a thulium fiber laser

    Science.gov (United States)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  1. Crystalline and Crystalline International Disposal Activities

    Energy Technology Data Exchange (ETDEWEB)

    Viswanathan, Hari S. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Chu, Shaoping [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Dittrich, Timothy M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Hyman, Jeffrey De' Haven [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Karra, Satish [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Makedonska, Nataliia [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Reimus, Paul William [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-03-06

    This report presents the results of work conducted between September 2015 and July 2016 at Los Alamos National Laboratory in the crystalline disposal and crystalline international disposal work packages of the Used Fuel Disposition Campaign (UFDC) for DOE-NE’s Fuel Cycle Research and Development program. Los Alamos focused on two main activities during this period: Discrete fracture network (DFN) modeling to describe flow and radionuclide transport in complex fracture networks that are typical of crystalline rock environments, and a comprehensive interpretation of three different colloid-facilitated radionuclide transport experiments conducted in a fractured granodiorite at the Grimsel Test Site in Switzerland between 2002 and 2013. Chapter 1 presents the results of the DFN work and is divided into three main sections: (1) we show results of our recent study on the correlation between fracture size and fracture transmissivity (2) we present an analysis and visualization prototype using the concept of a flow topology graph for characterization of discrete fracture networks, and (3) we describe the Crystalline International work in support of the Swedish Task Force. Chapter 2 presents interpretation of the colloidfacilitated radionuclide transport experiments in the crystalline rock at the Grimsel Test Site.

  2. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  3. Impact of the substrate misorientation and its preliminary etching on the structural and optical properties of integrated GaAs/Si MOCVD heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Zhabotinskiy, A. V.; Nikolaev, D. N.

    2018-03-01

    This is the first attempt to make a report regarding the control of the structural and optical functional characteristics of integrated GaAs/Si heterostructures owing to the employment of preliminary etched misoriented Si substrates. The epitaxial GaAs layer on silicon substrates with no formation of the antiphase domains can be grown using substrates deviating less than 4°-6° from the singular (100) plane or without the use of a transition layer of GaAs nano-stakes. Preliminary etching of the Si substrate made it easier to acquire an epitaxial GaAs film in a single-crystalline state with a significantly less relaxation factor MOCVD, which positively influences on the structural performance of the film. These data agree with the results of Infrared reflection spectroscopy as well as Photoluminescence and UV-Vis spectroscopy. The optical properties of the integrated GaAs/Si (100) heterostructures in the IR and UV spectral regions were also identified by means of the relaxation coefficients.

  4. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  5. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  6. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  7. Optical-fiber strain sensors with asymmetric etched structures.

    Science.gov (United States)

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  8. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  9. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  10. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  11. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Energy Technology Data Exchange (ETDEWEB)

    Li, Kunquan, E-mail: likunquan1987@gmail.com; Zeng, Xingrong, E-mail: psxrzeng@gmail.com; Li, Hongqiang, E-mail: hqli1979@gmail.com; Lai, Xuejun, E-mail: msxjlai@scut.edu.cn

    2015-08-15

    Graphical abstract: - Highlights: • Superhydrophobic iron surfaces were prepared by etching and replacement method. • The fabrication process was simple, time-saving and inexpensive. • Galvanic replacement method was more favorable to create roughness on iron surface. • The superhydrophobic iron surface showed excellent anti-icing properties. - Abstract: Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO{sub 3}) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO{sub 3} concentration had little effect on the wetting behavior, but a high AgNO{sub 3} concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for

  12. Magnetoelectrolysis of Co nanowire arrays grown in a track-etched polycarbonate membrane

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Barriga, J. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain)]. E-mail: sbarriga@bessy.de; Lucas, M. [Technische Universitaet Berlin, Institut fuer Theoretische Physik, Hardenbergstr. 36, D-10623 Berlin (Germany); Rivero, G. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain); Marin, P. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain); Hernando, A. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain)

    2007-05-15

    Arrays of Cobalt nanowires with a controlled length of 6{mu}m have been fabricated by electrochemical deposition into the pores of track-etched polycarbonate membranes with a nominal pore diameter of 30nm. The magnetic properties of Co-deposited nanowires and the effects of a magnetic field applied during electrodeposition of the arrays have been studied. An enhancement of the mass deposition rate due to the presence of a 50Oe magnetic field along the nanowire axis has been observed by measuring the experimental development of the current in the electrochemical cell during the fabrication process. X-ray diffraction measurements reveal a different polycrystalline degree for each deposition configuration, indicating that the crystalline structure of the deposited material has been substantially modified. Magnetic measurements show a clear dependence of the anisotropy directions on the orientation of the magnetic field applied during the electrodeposition.

  13. Magnetoelectrolysis of Co nanowire arrays grown in a track-etched polycarbonate membrane

    International Nuclear Information System (INIS)

    Sanchez-Barriga, J.; Lucas, M.; Rivero, G.; Marin, P.; Hernando, A.

    2007-01-01

    Arrays of Cobalt nanowires with a controlled length of 6μm have been fabricated by electrochemical deposition into the pores of track-etched polycarbonate membranes with a nominal pore diameter of 30nm. The magnetic properties of Co-deposited nanowires and the effects of a magnetic field applied during electrodeposition of the arrays have been studied. An enhancement of the mass deposition rate due to the presence of a 50Oe magnetic field along the nanowire axis has been observed by measuring the experimental development of the current in the electrochemical cell during the fabrication process. X-ray diffraction measurements reveal a different polycrystalline degree for each deposition configuration, indicating that the crystalline structure of the deposited material has been substantially modified. Magnetic measurements show a clear dependence of the anisotropy directions on the orientation of the magnetic field applied during the electrodeposition

  14. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  15. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  16. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  17. No-waiting dentine self-etch concept-Merit or hype.

    Science.gov (United States)

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  18. Designer-Wet Micromodels for Studying Potential Changes in Wettability during Microbial Enhanced Oil Recovery

    Science.gov (United States)

    Armstrong, R. T.; Wildenschild, D.

    2010-12-01

    Microbial Enhanced Oil Recovery (MEOR) is a process where microorganisms are used for tertiary recovery of oil. Some bacteria can facilitate the mobilization of oil through the production of amphiphilic compounds called biosurfactants that reduce the interfacial tension (IFT) between immiscible phases. Additionally, most bacteria have an inclination to colonize surfaces and form biofilm, which can change a reservoir's wetting properties or clog preferential flow paths. Herein, we aim to understand changes in wettability during MEOR under mixed wettability conditions within silicon etched micromodels and to identify the type of oil field (i.e. based on wettability) in which MEOR is likely to be most profitable. To quantify porous media wettability, macro-scale indexes (obtained with techniques such as the Carter or Amott methods) are used regularly. However, these measurements lack the capability for characterization of changes in wettability during MEOR treatment, and only provide macro-scale information. In an effort to understand micro-scale temporal and spatial changes in wettability we measure interfacial curvature from stereo microscope images using level set methods. Curvature, from the perspective of the oil phase, is positive for a concave interface (i.e. water-wet surface) and negative for a convex interface (i.e. oil-wet surface). Thus, shifts in the radius of curvature distribution (i.e. from positive to negative or conversely) are indicative of wettability changes. Both curvature distributions using level-set methods and the Carter method are used to characterize wettability before and after microbial treatment. In preliminary studies aimed at understanding wettability changes due to microbial surface interactions by Bacillus mojavensis JF-2, oil droplets were placed on glass slides suspended in growth media and the resulting contact angle was measured over time. Results showed that a water-wet surface will become more water wet as JF-2 accumulated in

  19. Crystalline and Crystalline International Disposal Activities

    Energy Technology Data Exchange (ETDEWEB)

    Viswanathan, Hari S. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Chu, Shaoping [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Reimus, Paul William [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Makedonska, Nataliia [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Hyman, Jeffrey De' Haven [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Karra, Satish [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Dittrich, Timothy M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2015-12-21

    This report presents the results of work conducted between September 2014 and July 2015 at Los Alamos National Laboratory in the crystalline disposal and crystalline international disposal work packages of the Used Fuel Disposition Campaign (UFDC) for DOE-NE’s Fuel Cycle Research and Development program.

  20. What's new in dentine bonding? Self-etch adhesives.

    Science.gov (United States)

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  1. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  2. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  3. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  4. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  5. Synthesis and Characterization of Hydroxyapatite Powder by Wet Precipitation Method

    Science.gov (United States)

    Cahyaningrum, S. E.; Herdyastuty, N.; Devina, B.; Supangat, D.

    2018-01-01

    Hydroxyapatite is main inorganic component of the bone with formula Ca10(PO4)6(OH)2. Hydroxyapatite can be used as substituted bone biomaterial because biocompatible, non toxic, and osteoconductive. In this study, hydroxyapatite is synthesized using wet precipitation method from egg shell. The product was sintered at different temperatures of 800°C to 1000°C to improve its crystallinity. The hydroxyapatite was characterized by X-ray analysis, Scanning Electron Microscopy (SEM) and Fourier Transform Infrared Spectroscopy (FTIR) to reveal its phase content, morphology and types of bond present within it. The analytical results showed hydroxyapatite had range in crystallinity from 85.527 to 98.753%. The analytical functional groups showed that presence of functional groups such as OH, (PO4)3 2-, and CO3 2- that indicated as hydroxyapatite. The result of characterization SEM indicated that hydroxyapatite without sintering and HAp sintering at 800 °C were irregular shape without pore. The best hydroxyapatite with temperature sintering at 900 °C showed oval shaped with pores without agglomerated.

  6. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  7. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  8. Optical and electrical properties of porous silicon layer formed on the textured surface by electrochemical etching

    Science.gov (United States)

    Weiying, Ou; Lei, Zhao; Hongwei, Diao; Jun, Zhang; Wenjing, Wang

    2011-05-01

    Porous silicon (PS) layers were formed on textured crystalline silicon by electrochemical etching in HF-based electrolyte. Optical and electrical properties of the TMAH textured surfaces with PS formation are studied. Moreover, the influences of the initial structures and the anodizing time on the optical and electrical properties of the surfaces after PS formation are investigated. The results show that the TMAH textured surfaces with PS formation present a dramatic decrease in reflectance. The longer the anodizing time is, the lower the reflectance. Moreover, an initial surface with bigger pyramids achieved lower reflectance in a short wavelength range. A minimum reflectance of 3.86% at 460 nm is achieved for a short anodizing time of 2 min. Furthermore, the reflectance spectrum of the sample, which was etched in 3 vol.% TMAH for 25 min and then anodized for 20 min, is extremely flat and lies between 3.67% and 6.15% in the wavelength range from 400 to 1040 nm. In addition, for a short anodizing time, a slight increase in the effective carrier lifetime is observed. Our results indicate that PS layers formed on a TMAH textured surface for a short anodization treatment can be used as both broadband antireflection coatings and passivation layers for the application in solar cells.

  9. Optical and electrical properties of porous silicon layer formed on the textured surface by electrochemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Ou Weiying; Zhao Lei; Diao Hongwei; Zhang Jun; Wang Wenjing, E-mail: wjwangwj@126.com [Key Laboratory of Solar Thermal Energy and Photovoltaic System, Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China)

    2011-05-15

    Porous silicon (PS) layers were formed on textured crystalline silicon by electrochemical etching in HF-based electrolyte. Optical and electrical properties of the TMAH textured surfaces with PS formation are studied. Moreover, the influences of the initial structures and the anodizing time on the optical and electrical properties of the surfaces after PS formation are investigated. The results show that the TMAH textured surfaces with PS formation present a dramatic decrease in reflectance. The longer the anodizing time is, the lower the reflectance. Moreover, an initial surface with bigger pyramids achieved lower reflectance in a short wavelength range. A minimum reflectance of 3.86% at 460 nm is achieved for a short anodizing time of 2 min. Furthermore, the reflectance spectrum of the sample, which was etched in 3 vol.% TMAH for 25 min and then anodized for 20 min, is extremely flat and lies between 3.67% and 6.15% in the wavelength range from 400 to 1040 nm. In addition, for a short anodizing time, a slight increase in the effective carrier lifetime is observed. Our results indicate that PS layers formed on a TMAH textured surface for a short anodization treatment can be used as both broadband antireflection coatings and passivation layers for the application in solar cells. (semiconductor technology)

  10. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  11. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  12. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  13. Defect sensitive etching of hexagonal boron nitride single crystals

    Science.gov (United States)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  14. Wetting front instability in an initially wet unsaturated fracture

    International Nuclear Information System (INIS)

    Nicholl, M.J.; Glass, R.J.; Nguyen, H.A.

    1992-01-01

    Experimental results exploring gravity-driven wetting front instability in a pre-wetted, rough-walled analog fracture are presented. Initial conditions considered include a uniform moisture field wetted to field capacity of the analog fracture and the structured moisture field created by unstable infiltration into an initially dry fracture. As in previous studies performed under dry initial conditions, instability was found to result both at the cessation of stable infiltration and at flux lower than the fracture capacity under gravitational driving force. Individual fingers were faster, narrower, longer, and more numerous than observed under dry initial conditions. Wetting fronts were found to follow existing wetted structure, providing a mechanism for rapid recharge and transport

  15. Wetting front instability in an initially wet unsaturated fracture

    International Nuclear Information System (INIS)

    Nicholl, M.J.; Glass, R.J.; Nguyen, H.A.

    1993-01-01

    Experimental results exploring gravity-driven wetting from instability in a pre-wetted, rough-walled analog fractures such as those at Yucca Mountain are presented. Initial conditions considered include a uniform moisture field wetted to field capacity of the analog fracture and the structured moisture field created by unstable infiltration into an initially dry fracture. As in previous studies performed under dry initial conditions, instability was found to result both at the cessation of stable infiltration and at flux lower than the fracture capacity under gravitational driving force. Individual fingers were faster, narrower, longer, and more numerous than observed under dry initial conditions. Wetting fronts were found to follow existing wetted structure, providing a mechanism for rapid recharge and transport

  16. PREFACE: Dynamics of wetting Dynamics of wetting

    Science.gov (United States)

    Grest, Gary S.; Oshanin, Gleb; Webb, Edmund B., III

    2009-11-01

    Capillary phenomena associated with fluids wetting other condensed matter phases have drawn great scientific interest for hundreds of years; consider the recent bicentennial celebration of Thomas Young's paper on equilibrium contact angles, describing the geometric shape assumed near a three phase contact line in terms of the relevant surface energies of the constituent phases [1]. Indeed, nearly a century has passed since the seminal papers of Lucas and Washburn, describing dynamics of capillary imbibition [2, 3]. While it is generally appreciated that dynamics of fluid wetting processes are determined by the degree to which a system is out of capillary equilibrium, myriad complications exist that challenge the fundamental understanding of dynamic capillary phenomena. The topic has gathered much interest from recent Nobel laureate Pierre-Gilles de Gennes, who provided a seminal review of relevant dissipation mechanisms for fluid droplets spreading on solid surfaces [4] Although much about the dynamics of wetting has been revealed, much remains to be learned and intrinsic technological and fundamental interest in the topic drives continuing high levels of research activity. This is enabled partly by improved experimental capabilities for resolving wetting processes at increasingly finer temporal, spatial, and chemical resolution. Additionally, dynamic wetting research advances via higher fidelity computational modeling capabilities, which drive more highly refined theory development. The significance of this topic both fundamentally and technologically has resulted in a number of reviews of research activity in wetting dynamics. One recent example addresses the evaluation of existing wetting dynamics theories from an experimentalist's perspective [5]. A Current Opinion issue was recently dedicated to high temperature capillarity, including dynamics of high temperature spreading [6]. New educational tools have recently emerged for providing instruction in wetting

  17. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  18. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  19. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  20. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  1. Additives for reducing the toxicity of respirable crystalline silica. SILIFE project

    Science.gov (United States)

    Monfort, Eliseo; López-Lilao, Ana; Escrig, Alberto; Jesus Ibáñez, Maria; Bonvicini, Guliana; Creutzenberg, Otto; Ziemann, Christina

    2017-10-01

    Prolonged inhalation of crystalline silica particles has long been known to cause lung inflammation and development of the granulomatous and a fibrogenic lung disease known as silicosis. The International Agency for Research on Cancer (IARC) has classified Respirable Crystalline Silica (RCS) in the form of quartz and cristobalite from occupational sources as carcinogenic for humans (category 1). In this regard, numerous studies suggest that the toxicity of quartz is conditioned by the surface chemistry of the quartz particles and by the density and abundance of silanol groups. Blocking these groups to avoid their interaction with cellular membranes would theoretically be possible in order to reduce or even to eliminate the toxic effect. In this regard, the main contribution of the presented research is the development of detoxifying processes based on coating technologies at industrial scale, since the previous studies reported on literature were carried out at lab scale. The results obtained in two European projects showed that the wet method to obtain quartz surface coatings (SILICOAT project) allows a good efficiency in inhibiting the silica toxicity, and the preliminary results obtained in an ongoing project (SILIFE) suggest that the developed dry method to coat quartz surface is also very promising. The development of both coating technologies (wet and a dry) should allow these coating technologies to be applied to a high variety of industrial activities in which quartz is processed. For this reason, a lot of end-users of quartz powders will be potentially benefited from a reduced risk associated to the exposure to RCS.

  2. Temperature increase beneath etched dentin discs during composite polymerization.

    Science.gov (United States)

    Karaarslan, Emine Sirin; Secilmis, Asli; Bulbul, Mehmet; Yildirim, Cihan; Usumez, Aslihan

    2011-01-01

    The purpose of this in vitro study was to measure the temperature increase during the polymerization of a composite resin beneath acid-etched or laser-etched dentin discs. The irradiation of dentin with an Er:YAG laser may have a positive effect on the thermal conductivity of dentin. This technique has not been studied extensively. Forty dentin discs (5 mm in diameter and 0.5 or 1 mm in height) were prepared from extracted permanent third molars. These dentin discs were etched with 20% orthophosphoric acid or an Er:YAG laser, and were then placed on an apparatus developed to measure temperature increases. The composite resin was polymerized with a high-intensity quartz tungsten halogen (HQTH) or light-emitting diode unit (LED). The temperature increase was measured under the dentin disc with a J-type thermocouple wire that was connected to a data logger. Five measurements were made for each dentin disc, curing unit, and etching system combination. Differences between the initial and the highest temperature readings were taken, and the five calculated temperature changes were averaged to determine the value of the temperature increase. Statistical analysis was performed with a three-way ANOVA and Tukey HSD tests at a 0.05 level of significance. Further SEM examinations were performed. The temperature increase values varied significantly, depending on etching systems (p < 0.05), dentin thicknesses (p < 0.05), and curing units (p < 0.05). Temperature increases measured beneath laser-etched discs were significantly higher than those for acid-etched dentin discs (p < 0.05). The HQTH unit induced significantly higher temperature increases than the LED unit (p < 0.05). The LED unit induced the lowest temperature change (5.2°C) in the 1-mm, acid-etched dentin group. The HQTH unit induced the highest temperature change (10.4°C) for the 0.5-mm, laser-etched dentin group. The risk of heat-induced pulpal damage should be taken into consideration

  3. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  4. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  5. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Science.gov (United States)

    Li, Kunquan; Zeng, Xingrong; Li, Hongqiang; Lai, Xuejun

    2015-08-01

    Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO3) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO3 concentration had little effect on the wetting behavior, but a high AgNO3 concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for untreated iron. Meanwhile, the superhydrophobic iron surface maintained superhydrophobicity after 10 icing and de-icing cycles in cold conditions.

  6. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  7. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  8. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  9. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  10. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  11. Integrated lasers in crystalline double tungstates with focused-ion-beam nanostructured photonic cavities

    International Nuclear Information System (INIS)

    Ay, F; Iñurrategui, I; Geskus, D; Aravazhi, S; Pollnau, M

    2011-01-01

    Deeply etched Bragg gratings were fabricated by focused ion beam (FIB) milling in KGd x Lu 1-x (WO 4 ) 2 :Yb 3+ to obtain photonic cavity structures. By optimizing parameters such as dose per area, dwell time and pixel resolution the redeposition effects were minimized and grating structures more than 4 μm in depth with an improved sidewall angle of ∼ 5° were achieved. Fabry-Perot microcavities were defined and used to assess the optical performance of the grating structures at ∼ 1530 nm. An on-chip integrated laser cavity at ∼ 980 nm was achieved by defining a FIB reflective grating and FIB polished waveguide end-facet. With this cavity, an on-chip integrated waveguide laser in crystalline potassium double tungstate was demonstrated

  12. [Wet work].

    Science.gov (United States)

    Kieć-Swierczyńska, Marta; Chomiczewska, Dorota; Krecisz, Beata

    2010-01-01

    Wet work is one of the most important risk factors of occupational skin diseases. Exposure of hands to the wet environment for more than 2 hours daily, wearing moisture-proof protective gloves for a corresponding period of time or necessity to wash hands frequently lead to the disruption of epidermal stratum corneum, damage to skin barrier function and induction of irritant contact dermatitis. It may also promote penetration of allergens into the skin and increase the risk of sensitization to occupational allergens. Exposure to wet work plays a significant role in occupations, such as hairdressers and barbers, nurses and other health care workers, cleaning staff, food handlers and metalworkers. It is more common among women because many occupations involving wet work are female-dominated. The incidence of wet-work-induced occupational skin diseases can be reduced by taking appropriate preventive measures. These include identification of high-risk groups, education of workers, organization of work enabling to minimize the exposure to wet work, use of personal protective equipment and skin care after work.

  13. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  14. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  15. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  16. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  17. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  18. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  19. Crystalline Silica Primer

    Science.gov (United States)

    ,

    1992-01-01

    Crystalline silica is the scientific name for a group of minerals composed of silicon and oxygen. The term crystalline refers to the fact that the oxygen and silicon atoms are arranged in a threedimensional repeating pattern. This group of minerals has shaped human history since the beginning of civilization. From the sand used for making glass to the piezoelectric quartz crystals used in advanced communication systems, crystalline silica has been a part of our technological development. Crystalline silica's pervasiveness in our technology is matched only by its abundance in nature. It's found in samples from every geologic era and from every location around the globe. Scientists have known for decades that prolonged and excessive exposure to crystalline silica dust in mining environments can cause silicosis, a noncancerous lung disease. During the 1980's, studies were conducted that suggested that crystalline silica also was a carcinogen. As a result of these findings, crystalline silica has been regulated under the Occupational Safety and Health Administration's (OSHA) Hazard Communication Standard (HCS). Under HCS, OSHAregulated businesses that use materials containing 0.1% or more crystalline silica must follow Federal guidelines concerning hazard communication and worker training. Although the HCS does not require that samples be analyzed for crystalline silica, mineral suppliers or OSHAregulated

  20. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  1. Shear bond strength of self-etch and total-etch bonding systems at different dentin depths

    Directory of Open Access Journals (Sweden)

    Ana Carolina Maito Villela-Rosa

    2011-04-01

    Full Text Available The purpose of this study was to evaluate the dentin shear bond strength of four adhesive systems (Adper Single Bond 2, Adper Prompt L-Pop, Magic Bond DE and Self Etch Bond in regards to buccal and lingual surfaces and dentin depth. Forty extracted third molars had roots removed and crowns bisected in the mesiodistal direction. The buccal and lingual surfaces were fixed in a PVC/acrylic resin ring and were divided into buccal and lingual groups assigned to each selected adhesive. The same specimens prepared for the evaluation of superficial dentin shear resistance were used to evaluate the different depths of dentin. The specimens were identified and abraded at depths of 0.5, 1.0, 1.5 and 2.0 mm. Each depth was evaluated by ISO TR 11405 using an EMIC-2000 machine regulated at 0.5 mm/min with a 200 Kgf load cell. We performed statistical analyses on the results (ANOVA, Tukey and Scheffé tests. Data revealed statistical differences (p < 0.01 in the adhesive and depth variation as well as adhesive/depth interactions. The Adper Single Bond 2 demonstrated the highest mean values of shear bond strength. The Prompt L-Pop product, a self-etching adhesive, revealed higher mean values compared with Magic Bond DE and Self Etch Bond adhesives, a total and self-etching adhesive respectively. It may be concluded that the shear bond strength of dentin is dependent on material (adhesive system, substrate depth and adhesive/depth interaction.

  2. Bond efficacy and interface morphology of self-etching adhesives to ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; El Zohairy, A.A.; Mohsen, M.M.A.; Feilzer, A.J.

    2010-01-01

    Purpose: This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Materials and Methods: Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray)

  3. Optimize Etching Based Single Mode Fiber Optic Temperature Sensor

    OpenAIRE

    Ajay Kumar; Dr. Pramod Kumar

    2014-01-01

    This paper presents a description of etching process for fabrication single mode optical fiber sensors. The process of fabrication demonstrates an optimized etching based method to fabricate single mode fiber (SMF) optic sensors in specified constant time and temperature. We propose a single mode optical fiber based temperature sensor, where the temperature sensing region is obtained by etching its cladding diameter over small length to a critical value. It is observed that th...

  4. Study on the etched carnelian beads unearthed in China

    Institute of Scientific and Technical Information of China (English)

    Deyun Zhao

    2014-01-01

    Etched carnelian beads originated in the Indus Civilization;this kind of ornaments and its manufacturing techniques were spread to the whole Eurasia Continent.The etched carnelian beads unearthed in China can be classified into four types,the comparisons of which to their foreign counterparts may reveal their different sources and diffusion routes.The etched carnelian beads and their glass imitations unearthed in China had influences to the making of the glass "eye beads" in

  5. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    International Nuclear Information System (INIS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-01-01

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co_3O_4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co_3O_4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  6. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do-Hyun, E-mail: nanotube@korea.ac.kr [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Minwoo; Ye, Bora [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Jang, Ho-Kyun; Kim, Gyu Tae [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Dong-Jin [New Functional Components Research Team, Korea Institute of Footware & Leather Technology, 152 Danggamseo-ro, Busanjin-gu, Busan 614-100 (Korea, Republic of); Kim, Eok-Soo [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Kim, Hong Dae, E-mail: hdkim@kitech.re.kr [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of)

    2017-04-30

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co{sub 3}O{sub 4}, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co{sub 3}O{sub 4} and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  7. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E H; Miao Jianmin; Sun Jianbo

    2006-01-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions

  8. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore); Sun Jianbo [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions.

  9. Lecithin-based wet chemical precipitation of hydroxyapatite nanoparticles.

    Science.gov (United States)

    Michał, Wojasiński; Ewa, Duszyńska; Tomasz, Ciach

    Hydroxyapatite Ca 10 (PO 4 ) 6 (OH) 2 nanoparticles have been successfully synthesized by the wet chemical precipitation method at 60 °C in the presence of biocompatible natural surfactant-lecithin. The composition and morphology of nanoparticles of hydroxyapatite synthesized with lecithin (nHAp-PC) was studied by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), and scanning electron microscopy (SEM). Size distribution for nanoparticles was measured by nanoparticle tracking analysis in NanoSight system. We discuss in details influence of lecithin concentration in reaction system on nHAp-PC morphology, as well as on size distributions and suspendability of nanoparticles. Product exhibits crystalline structure and chemical composition of hydroxyapatite, with visible traces of lecithin. Difference in surfactant amounts results in changes in particles morphology and their average size.

  10. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  11. Reliable wet-chemical cleaning of natively oxidized high-efficiency Cu(In,Ga)Se{sub 2} thin-film solar cell absorbers

    Energy Technology Data Exchange (ETDEWEB)

    Lehmann, Jascha [Renewable Energies, Helmholtz-Zentrum Berlin für Materialien und Energie, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Potsdam Institute for Climate Impact Research (PIK), 14473 Potsdam (Germany); Lehmann, Sebastian, E-mail: sebastian.lehmann@ftf.lth.se [Renewable Energies, Helmholtz-Zentrum Berlin für Materialien und Energie, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Solid State Physics, Lund University, Box 118, S-22100 Lund (Sweden); Lauermann, Iver; Rissom, Thorsten; Kaufmann, Christian A.; Lux-Steiner, Martha Ch. [Renewable Energies, Helmholtz-Zentrum Berlin für Materialien und Energie, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Bär, Marcus, E-mail: marcus.baer@helmholtz-berlin.de [Renewable Energies, Helmholtz-Zentrum Berlin für Materialien und Energie, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Institut für Physik und Chemie, Brandenburgische Technische Universität Cottbus-Senftenberg, Platz der Deutschen Einheit 1, 03046 Cottbus (Germany); Sadewasser, Sascha, E-mail: sascha.sadewasser@inl.int [Renewable Energies, Helmholtz-Zentrum Berlin für Materialien und Energie, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); International Iberian Nanotechnology Laboratory, Av. Mestre José Veiga s/n, 4715-330 Braga (Portugal)

    2014-12-21

    Currently, Cu-containing chalcopyrite-based solar cells provide the highest conversion efficiencies among all thin-film photovoltaic (PV) technologies. They have reached efficiency values above 20%, the same performance level as multi-crystalline silicon-wafer technology that dominates the commercial PV market. Chalcopyrite thin-film heterostructures consist of a layer stack with a variety of interfaces between different materials. It is the chalcopyrite/buffer region (forming the p-n junction), which is of crucial importance and therefore frequently investigated using surface and interface science tools, such as photoelectron spectroscopy and scanning probe microscopy. To ensure comparability and validity of the results, a general preparation guide for “realistic” surfaces of polycrystalline chalcopyrite thin films is highly desirable. We present results on wet-chemical cleaning procedures of polycrystalline Cu(In{sub 1-x}Ga{sub x})Se{sub 2} thin films with an average x = [Ga]/([In] + [Ga]) = 0.29, which were exposed to ambient conditions for different times. The hence natively oxidized sample surfaces were etched in KCN- or NH{sub 3}-based aqueous solutions. By x-ray photoelectron spectroscopy, we find that the KCN treatment results in a chemical surface structure which is – apart from a slight change in surface composition – identical to a pristine as-received sample surface. Additionally, we discover a different oxidation behavior of In and Ga, in agreement with thermodynamic reference data, and we find indications for the segregation and removal of copper selenide surface phases from the polycrystalline material.

  12. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  13. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  14. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  15. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  16. Bond strength of composite to dentin: effect of acid etching and laser irradiation through an uncured self-etch adhesive system

    International Nuclear Information System (INIS)

    Castro, F L A; Carvalho, J G; Andrade, M F; Saad, J R C; Hebling, J; Lizarelli, R F Z

    2014-01-01

    This study evaluated the effect on micro-tensile bond strength (µ-TBS) of laser irradiation of etched/unetched dentin through an uncured self-etching adhesive. Dentinal surfaces were treated with Clearfil SE Bond Adhesive (CSE) either according to the manufacturer’s instructions (CSE) or without applying the primer (CSE/NP). The dentin was irradiated through the uncured adhesive, using an Nd:YAG laser at 0.75 or 1 W power settings. The adhesive was cured, composite crowns were built up, and the teeth were sectioned into beams (0.49 mm 2 ) to be stressed under tension. Data were analyzed using one-way ANOVA and Tukey statistics (α = 5%). Dentin of the fractured specimens and the interfaces of untested beams were observed under scanning electron microscopy (SEM). The results showed that non-etched irradiated surfaces presented higher µ-TBS than etched and irradiated surfaces (p < 0.05). Laser irradiation alone did not lead to differences in µ-TBS (p > 0.05). SEM showed solidification globules on the surfaces of the specimens. The interfaces were similar on irradiated and non-irradiated surfaces. Laser irradiation of dentin through the uncured adhesive did not lead to higher µ-TBS when compared to the suggested manufacturer’s technique. However, this treatment brought benefits when performed on unetched dentin, since bond strengths were higher when compared to etched dentin. (paper)

  17. Influence of asymmetric etching on ion track shapes in polycarbonate

    International Nuclear Information System (INIS)

    Clochard, M.-C.; Wade, T.L.; Wegrowe, J.-E.; Balanzat, E.

    2007-01-01

    By combining low-energy ion irradiation with asymmetric etching, conical nanopores of controlled geometry can be etched in polycarbonate (PC). Cone bases vary from 0.5 to 1 μm. Top diameters down to 17 nm are reached. When etching from one side, the pH on the other side (bathed in neutral or acidic buffer) was monitored. Etching temperature ranged from 65 deg. C to 80 deg. C. Pore shape characterization was achieved by electro replication combined with SEM observation. The tip shape depended on whether an acidic buffer was used or not on the stopped side

  18. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Energy Technology Data Exchange (ETDEWEB)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y., E-mail: jean-yves.hihn@univ-fcomte.fr

    2015-11-15

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  19. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    International Nuclear Information System (INIS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y.

    2015-01-01

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  20. Laser etching as an alternative

    International Nuclear Information System (INIS)

    Dreyfus, R.W.; Kelly, R.

    1989-01-01

    Atoms and molecules are removed from surfaces by intense laser beams. This fact has been known almost since the discovery of the laser. Within the present overall area of interest, namely understanding ion-beam-induced sputtering, it is equally important both to contrast laser etching to ion sputtering and to understand the underlying physics taking place during laser etching. Beyond some initial broad observations, the specific discussion is limited to, and aimed at, two areas: (i) short wavelength, UV, laser-pulse effects and (ii) energy fluences sufficiently small that only monolayers (and not microns) of material are removed per pulse. 38 refs.; 13 figs.; 5 tabs

  1. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    Science.gov (United States)

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  2. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  3. Laser etching of enamel for direct bonding - An in vitro study

    Directory of Open Access Journals (Sweden)

    Rajesh K Reddy

    2010-01-01

    Full Text Available The aim of the study was to determine the shear bond strength of mesh shaped stainless steel orthodontic brackets, bonded to acid etched enamel and laser etched enamel and to compare the shear bond strength following acid etching and laser etching. 50 non carious extracted premolar teeth divided in to 5 groups of 10 each were employed in the study. The buccal surfaces of group - I were subjected to conventional etching using 37% phosphoric acid for 30 seconds, while the other four groups were subjected to Nd:YAG laser etching at different power settings of 80mj, 100mj, 150mj and 200mj respectively for 15 seconds. Brackets were later bonded on to these teeth using Ultimate- light curing primer and adhesive. The shear bond strength of each sample was determined using a universal testing machine and the results were evaluated.

  4. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    Science.gov (United States)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  5. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  6. The role of ion beam etching in magnetic bubble device manufacture

    International Nuclear Information System (INIS)

    Brambley, D.R.; Vanner, K.C.

    1979-01-01

    The most critical stage of fabrication of magnetic bubble memories is the etching of a pattern in a permalloy (80/20 Ni/Fe) film approximately 0.4 microns thick. The permalloy elements so made are used to produce perturbations in an externally applied magnetic bias field, and these perturbations cause the translation of magnetic bubbles within an underlying film. Devices now being produced have memory-cell sizes of less than 16 microns and require the etched features to have minimum dimensions of less than 2 microns. The only practicable way of achieving this with the requisite precision is by the use of sputter or ion beam etching. In addition, ion beam etching is used for defining gold conductor elements which perform the functions of bubble nucleation, replication and transfer. This paper briefly outlines the bubble device fabrication process, with special emphasis on the role of ion beam etching. The wafer temperature, element profile and uniformity obtained during ion beam etching are of considerable significance, and some of the factors affecting these will be discussed. Finally some of the limitations of ion beam etching will be described. (author)

  7. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    Science.gov (United States)

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  8. Spot formation of radiation particles by electrochemical etching

    International Nuclear Information System (INIS)

    Nozaki, Tetsuya

    1999-01-01

    An electrochemical etching (ECE) spot formation from the top of chemical etching (CE) spot was confirmed by a series of experiments. One of polycarbonate (Iupilon) could not make the spot, because ECE spot had grown up before the microscope confirming the CE spot. Clear CEC spots by α-ray and neutron were found on Harzlas and Baryotrak, both improvements of CR-39. Under the same etching conditions, the growth of ECE spot on Harzlas was more rapid than Baryotrak, but both spots were almost the same. All CE spot by α-ray produced the CEC spots, but a part of CE circle spot by neutron formed them. (S.Y.)

  9. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  10. Nano/micro particle beam for ceramic deposition and mechanical etching

    International Nuclear Information System (INIS)

    Chun, Doo-Man; Kim, Min-Saeng; Kim, Min-Hyeng; Ahn, Sung-Hoon; Yeo, Jun-Cheol; Lee, Caroline Sunyong

    2010-01-01

    Nano/micro particle beam (NPB) is a newly developed ceramic deposition and mechanical etching process. Additive (deposition) and subtractive (mechanical etching) processes can be realized in one manufacturing process using ceramic nano/micro particles. Nano- or micro-sized powders are sprayed through the supersonic nozzle at room temperature and low vacuum conditions. According to the process conditions, the ceramic powder can be deposited on metal substrates without thermal damage, and mechanical etching can be conducted in the same process with a simple change of process conditions and powders. In the present work, ceramic aluminum oxide (Al 2 O 3 ) thin films were deposited on metal substrates. In addition, the glass substrate was etched using a mask to make small channels. Deposited and mechanically etched surface morphology, coating thickness and channel depth were investigated. The test results showed that the NPB provides a feasible additive and subtractive process using ceramic powders.

  11. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  12. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  13. High-throughput anisotropic plasma etching of polyimide for MEMS

    International Nuclear Information System (INIS)

    Bliznetsov, Vladimir; Manickam, Anbumalar; Ranganathan, Nagarajan; Chen, Junwei

    2011-01-01

    This note describes a new high-throughput process of polyimide etching for the fabrication of MEMS devices with an organic sacrificial layer approach. Using dual frequency superimposed capacitively coupled plasma we achieved a vertical profile of polyimide with an etching rate as high as 3.5 µm min −1 . After the fabrication of vertical structures in a polyimide material, additional steps were performed to fabricate structural elements of MEMS by deposition of a SiO 2 layer and performing release etching of polyimide. (technical note)

  14. Etching method employing radiation

    International Nuclear Information System (INIS)

    Chapman, B.N.; Winters, H.F.

    1982-01-01

    This invention provides a method for etching a silicon oxide, carbide, nitride, or oxynitride surface using an electron or ion beam in the presence of a xenon or krypton fluoride. No additional steps are required after exposure to radiation

  15. Influence of Application Time and Etching Mode of Universal Adhesives on Enamel Adhesion.

    Science.gov (United States)

    Sai, Keiichi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Ishii, Ryo; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-01-01

    To investigate the influence of application time and etching mode of universal adhesives on enamel adhesion. Five universal adhesives, Adhese Universal, Bondmer Lightless, Clearfil Universal Bond Quick, G-Premio Bond, and Scotchbond Universal, were used. Bovine incisors were prepared and divided into four groups of ten teeth each. SBS, Ra, and SFE were determined after the following procedures: 1. self-etch mode with immediate air blowing after application (IA); 2. self-etch mode with prolonged application time (PA); 3. etch-and-rinse mode with IA; 4. etch-and-rinse mode with PA. After 24-h water storage, the bonded assemblies were subjected to shear bond strength (SBS) tests. For surface roughness (Ra) and surface free energy (SFE) measurements, the adhesives were simply applied to the enamel and rinsed with acetone and water before the measurements were carried out. Significantly higher SBS and Ra values were obtained with etch-and-rinse mode than with self-etch mode regardless of the application time or type of adhesive. Although most adhesives showed decreased SFE values with increased application time in self-etch mode, SFE values in etch-and-rinse mode were dependent on the adhesive type and application time. Etching mode, application time, and type of adhesive significantly influenced the SBS, Ra, and SFE values.

  16. Design of etch holes to compensate spring width loss for reliable resonant frequencies

    International Nuclear Information System (INIS)

    Jang, Yun-Ho; Kim, Jong-Wan; Kim, Yong-Kweon; Kim, Jung-Mu

    2012-01-01

    A pattern width loss during the fabrication of lateral silicon resonators degrades resonant frequency reliability since such a width loss causes the significant deviation of spring stiffness. Here we present a design guide for etch holes to obtain reliable resonant frequencies by controlling etch holes geometries. The new function of an etch hole is to generate the comparable amount of the width loss between springs and etch holes, in turn to minimize the effect of the spring width loss on resonant frequency shift and deviation. An analytic expression reveals that a compensation factor (CF), defined by the circumference (C u ) of a unit etch hole divided by its silicon area (A u ), is a key parameter for reliable frequencies. The protrusive etch holes were proposed and compared with square etch holes to demonstrate the frequency reliability according to CF values and etch hole shapes. The normalized resonant frequency shift and deviation of the protrusive etch hole (−13.0% ± 6.9%) were significantly improved compared to those of a square etch hole with a small CF value (−42.8% ± 14.8%). The proposed design guide based on the CF value and protrusive shapes can be used to achieve reliable resonant frequencies for high performance silicon resonators. (technical note)

  17. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  18. Direct determination of bulk etching rate for LR-115-II solid state ...

    Indian Academy of Sciences (India)

    The thickness of the removed layer of the LR-115-II solid state nuclear track detector during etching is measured directly with a rather precise instrument. Dependence of bulk etching rate on temperature of the etching solution is investigated. It has been found that the bulk etching rate is 3.2 m/h at 60°C in 2.5 N NaOH of ...

  19. The effects of pre-etching time on the characteristic responses of electrochemically etched CR-39 neutron dosimeters

    International Nuclear Information System (INIS)

    Sohrabi, M.; Khoshnoodi, M.

    1986-01-01

    The effects of pre-etching time (PET) or duration of etching of fast-neutron-induced-recoil tracks in CR-39 in 6N KOH at 60 0 C on electrochemical etching neutron characteristic responses; i.e. sensitivity and mean recoil track diameter (MRTD) versus KOH normality up to 18N are investigated in this paper. Six sets of responses for PETs of 0, 1, 2, 3, 4, and 5 hours were obtained by using our new multi-chamber ECE (MCECE) system which reduced total operation time to about 6% of the time usually required when single-chamber ECE systems are used. The sensitivity response for zero PET showed a broad plateau and a high sensitivity low-LET peak around 16N. By increasing PET, another peak was also developed around 5N leading to 'double-humped' responses with two maximums around 5N and 16N, and a minimum around 11N. On the other hand, the MRTD responses for all PETs studied showed the same general trend with maximums around 11N. In this paper, shape of tracks under different conditions are also investigated, new optimum conditions such as KOH concentrations of 5, 11, and 15N at 25 0 C, with or without pre-etching, are recommended for tracks of lower-LET recoils including possibly protons, and alpha particle tracks over a broad energy range, and the efficiency of the MCECE system is also demonstrated. (author)

  20. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  1. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  2. Suitability of N2 plasma for the RIE etching of thin Ag layers

    International Nuclear Information System (INIS)

    Hrkut, P.; Matay, L.; Kostic, I.; Bencurova, A.; Konecnikova, A.; Nemec, P.; Andok, R.; Hacsik, S.

    2013-01-01

    Silver layers of 48 nm thickness were evaporated using EB PVD on Si wafers. The masking resist layers were spin-coated and patterned by the EBDW lithography on the ZBA 21 (20 keV) (Carl-Zeiss, Jena; currently Vistec, Ltd.) variable shaped e-beam pattern generator in II SAS. In order to check the etching process in N 2 , we covered a part of the samples containing Ag with a layer of various resists. The samples were dried on a hot-plate and RIE etched in SCM 600 (1 Pa; 20 sccm; 500 W). After 8 minutes the non-masked Ag layer was completely etched away, what testified suitability of N 2 as an etching gas. Also the etch time of 4 minutes showed to be sufficient for etching through the Ag layer. In order to optimize the etching process it was necessary to estimate the etch-rate (E.R.) of suitable resist layers and of the silver layer. The (authors)

  3. Optimum inductively coupled plasma etching of fused silica to remove subsurface damage layer

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaolong; Liu, Ying, E-mail: liuychch@ustc.edu.cn; Liu, Zhengkun; Qiu, Keqiang; Xu, Xiangdong; Hong, Yilin; Fu, Shaojun

    2015-11-15

    Highlights: • SSD layer of fused silica is removed by ICP etch with surface roughness of 0.23 nm. • Metal contamination is successfully avoided by employing an isolation device. • Unique low-density plasma induced pitting damage is discovered and eliminated. • Lateral etching of SSD is avoided due to the improvement of etching anisotropy. - Abstract: In this work, we introduce an optimum ICP etching technique that successfully removes the subsurface damage (SSD) layer of fused silica without causing plasma induced surface damage (PISD) or lateral etching of SSD. As one of the commonest PISD initiators, metal contamination from reactor chamber is prevented by employing a simple isolation device. Based on this device, a unique low-density pitting damage is discovered and subsequently eliminated by optimizing the etching parameters. Meanwhile etching anisotropy also improves a lot, thus preventing the lateral etching of SSD. Using this proposed technique, SSD layer of fused silica is successfully removed with a surface roughness of 0.23 nm.

  4. Resin-dentin Bond Stability of Experimental 4-META-based Etch-and-rinse Adhesives Solvated by Ethanol or Acetone.

    Science.gov (United States)

    Amaral, Cristiane Mariote; Diniz, Alice Marques; Arantes, Eugênio Braz Rodrigues; Dos Santos, Glauco Botelho; Noronha-Filho, Jaime Dutra; da Silva, Eduardo Moreira

    To investigate the influence of 4-META concentration and type of solvent on the degree of conversion (DC%) and resin-dentin bond stability of experimental etch-and-rinse adhesives. Four different concentrations of 4-META (12 wt%, 20 wt%, 30 wt%, 40 wt%) were added to a model adhesive system consisting of TEG-DMA (25 wt%), UDMA (20 wt%), HEMA (30 wt%), water (4 wt%), camphorquinone (0.5 wt%), and tertiary amine (0.5 wt%) dissolved in 20% acetone (A12, A20, A30 and A40) or 20% ethanol (E12, E20, E30 and E40). DC% was evaluated by FT-IR spectroscopy. Human molars were wet ground until the occlusal dentin was exposed, the adhesive systems were applied after 37% phosphoric acid etching, and resin composite buildups were incrementally constructed. After storage in distilled water at 37°C for 24 h, the teeth were cut into resin-dentin beams (cross-sectional area 1 mm2). Microtensile bond strength (μTBS) was evaluated after 24 h, 6 months, and 1 year of water storage at 37°C. The failure mode was categorized as adhesive, mixed, or cohesive. Data were analyzed using ANOVA and Tukey's HSD test (α = 0.05). A12 presented the lowest DC% (p 0.05). All adhesive systems maintained resin-dentin bond stability after 6 months of water storage, while only A40 and E40 maintained it after 1 year. Irrespective of the type of organic solvent, the incorporation of high concentrations of 4-META (40 wt%) improved the resin-dentin bond stability of the experimental etch-and-rinse adhesive systems over a period of 1 year.

  5. Characterization of etch pit formation via the Everson-etching method on CdZnTe crystal surfaces from the bulk to the nanoscale

    International Nuclear Information System (INIS)

    Teague, Lucile C.; Duff, Martine C.; Cadieux, James R.; Soundararajan, Raji; Shick, Charles R.; Lynn, Kelvin G.

    2011-01-01

    A combination of atomic force microscopy, optical microscopy, and mass spectrometry was employed to study CdZnTe crystal surface and used etchant solution following exposure of the CdZnTe crystal to the Everson etch solution. We discuss the results of these studies in relationship to the initial surface preparation methods, the performance of the crystals as radiation spectrometers, the observed etch pit densities, and the chemical mechanism of surface etching. Our results show that the surface features that are exposed to etchants result from interactions with the chemical components of the etchants as well as pre-existing mechanical polishing.

  6. Influence of neutron irradiation on etching of SiC in KOH

    Science.gov (United States)

    Mokhov, E. N.; Kazarova, O. P.; Soltamov, V. A.; Nagalyuk, S. S.

    2017-07-01

    The effect of reactor neutron irradiation on the etch rate of SiC in potassium hydroxide has been studied. In the case of high irradiation doses (1019-1021 cm-2), the etch rate of silicon carbide has been shown to drastically rise, especially in the [0001]Si direction. This considerably mitigates the orientation anisotropy of polar face etching. After high-temperature annealing (up to 1200-1400°C), a higher etch rate of irradiated crystals persists. The results have been explained by the high concentration of radiation-induced (partially clustered) defects they contain.

  7. Investigation of microstructure and mechanical properties of phosphocalcic bone substitute using the chemical wet method

    Science.gov (United States)

    Alimi, Latifa; Bahloul, Lynda; Azzi, Afef; Guerfi, Souad; Ismail, Fadhel; Chaoui, Kamel

    2018-05-01

    Selection of calcium phosphate base materials in reconstructive bone surgery is justified by the surprising similarities in chemical compositions with human bones. The closest to natural apatite material is the hydroxyapatite (HAp) which has a chemical composition based on calcium and phosphate (Ca10(PO4)6(OH)2). In this study, HAp is synthesized using the wet precipitation method from hydrated calcium chloride (CaCl2,12H2O) and di-sodium hydrogen phosphate di-hydrate (HNa2PO4,2H2O). The powder is calcinated at 900°C and 1200°C in order to compare with sintered condition at 1150°C. Vickers microhardness tests and X-ray diffraction analyzes are used for the characterization of the crystalline material. Mechanical properties (Hv, σe, σr, and KC) and the degree of crystallinity (Xc) are discussed according to heat treatment temperatures. Results indicate that heat treating the powder at 1200°C increased crystallinity up to 72%. At the same time, microhardness increased with temperature and even outmatched the sintered case at 1150°C. Fracture toughness is ameliorated with increasing heat treatment temperature by more than two folds.

  8. Surface modification of polyethylene by radiation-induced grafting for adhesive bonding. IV. Improvement in wet peel strength

    International Nuclear Information System (INIS)

    Yamakawa, S.; Yamamoto, F.

    1980-01-01

    Adhesive joints of hydrolyzed methyl acrylate grafts, bonded with epoxy adhesives, yield extremely high peel strength (adherend failure) in dry conditions. However, when the joints are exposed to humid environments, the peel strength rapidly decreases with exposure time and then reaches a constant value (wet peel strength). Since the locus of failure changes from the adherend to the homopolymer layer with decreasing peel strength, the decrease is due to a decrease in mechanical strength of the homopolymer layer itself, which results from its swelling by water absorption. Many attempts to reduce the swelling of the homopolymer layer or to strengthen the swollen homopolymer layer were unsuccessful except (1) priming with epoxy solutions consisting of a base epoxy resin and organic solvents which can dissolve not only epoxy resins but also hydrolyzed poly(methyl acrylate) and (2) partial etching of the homopolymer layer by photo-oxidative degradation. All the results on the improvement in wet peel strength can be explained in terms of the penetration of epoxy resins into the homopolymer layer and subsequent curing of the penetrated epoxy resin. 15 figures, 1 table

  9. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  10. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  11. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    Science.gov (United States)

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  12. Near-field optical nanopatterning of crystalline silicon

    International Nuclear Information System (INIS)

    Wysocki, G.; Heitz, J.; Baeuerle, D.

    2004-01-01

    Nanoscale photochemical and photophysical etching of Si in Cl 2 atmosphere is demonstrated by means of an optical near-field setup. With 351 nm Ar + -laser radiation and low intensities, the etching mechanism is purely photochemical. In this regime, the width of patterns - which is about 115 nm at full width at half maximum (FWHM) - corresponds, approximately, to the diameter of the fiber tip. The vertical etch rate is of the order of 1 nm/s. With 514.5 nm Ar + -laser light etching is observed only at significantly higher laser-light intensities. Patterns with width down to about 30 nm at FWHM have been achieved. Here, the lateral resolution corresponds to about 1/18 of the laser wavelength employed

  13. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    OpenAIRE

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Ri...

  14. Marginal microleakage of resin-modified glass-ionomer and composite resin restorations: Effect of using etch-and-rinse and self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Maryam Khoroushi

    2012-01-01

    Full Text Available Objectives: Previous studies have shown that dental adhesives increase the bond strength of resin-modified glass-ionomer (RMGI restorative materials to dentin. This in vitro study has evaluated the effect of etch-and-rinse and self-etch bonding systems v/s cavity conditioner, and in comparison to similar composite resin restorations on maintaining the marginal sealing of RMGI restorations. Materials and Methods: 98 rectangular cavities (2.5×3×1.5 mm were prepared on buccal and palatal aspects of 49 human maxillary premolars, randomly divided into 7 groups (N=14. The cavities in groups 1, 2 and 3 were restored using a composite resin (APX. The cavities in groups 4, 5, 6 and 7 were restored using a resin-modified glass-ionomer (Fuji II LC. Before restoring, adhesive systems (Optibond FL = OFL, three-step etch-and-rinse; One Step Plus = OSP, two-step etch-and-rinse; Clearfil Protect Bond = CPB, two-step self-etch were used as bonding agents in groups 1-6 as follow: OFL in groups 1 and 4, OSP in groups 2 and 5, and CPB in groups 3 and 6, respectively. The specimens in group 7 were restored with GC cavity conditioner and Fuji II LC. All the specimens were thermo-cycled for 1000 cycles. Microleakage scores were determined using dye penetration method. Statistical analyzes were carried out with Kruskal-Wallis and Mann-Whitney U tests (α=0.05. Results: There were significant differences in microleakage scores at both enamel and dentinal margins between the study groups (P<0.05. The lowest microleakage scores at enamel and dentin margins of RMGI restorations were observed in group 6. Conclusion: Use of two-step self-etch adhesive, prior to restoring cervical cavities with RMGIC, seems to be more efficacious than the conventional cavity conditioner in decreasing marginal microleakage.

  15. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  16. Symphony and cacophony in ion track etching: how to control etching results

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Kiv, A.; Cruz, S. A.; Munoz, G. H.; Vacík, Jiří

    2012-01-01

    Roč. 167, č. 7 (2012), s. 527-540 ISSN 1042-0150 R&D Projects: GA AV ČR IAA200480702 Institutional support: RVO:61389005 Keywords : ion track s * polymers * etching * diodes * resistances Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.502, year: 2012

  17. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  18. Electrochemical etching of a niobium foil in methanolic HF for electrolytic capacitor

    International Nuclear Information System (INIS)

    Kim, Kyungmin; Park, Jiyoung; Cha, Gihoon; Yoo, Jeong Eun; Choi, Jinsub

    2013-01-01

    Electrochemical etching of niobium foil in order to enlarge the surface area for the application in electrolytic capacitor was carried out in a methanolic electrolyte. We found that the pit density and depth are not linearly proportional to concentration of HF and applied potential: there is the optimal concentration of HF at each applied potential. The optimal etching condition was obtained at 50 V in 0.99 vol.% HF, which exhibited the capacitance of 350 μF cm −2 . Pit density and depth of pits on electrochemical etched Nb foil under different conditions were counted from SEM images and electrochemical impedance spectroscopy (EIS) of the etched Nb foils was carried out for the capacitance measurement. Equivalent circuit model showing less than 5% error was suggested for applying to the etched niobium foil. - Highlights: • Surface enlargement of Nb foil can be achieved by electrochemical etching in methanolic HF. • Electrolytic capacitor of etched niobium foil exhibits a capacitance of 350 μF cm −2 . • The method provides a way of developing commercially viable process

  19. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  20. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  1. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  2. Particle-assisted wetting

    International Nuclear Information System (INIS)

    Xu Hui; Yan Feng; Tierno, Pietro; Marczewski, Dawid; Goedel, Werner A

    2005-01-01

    Wetting of a solid surface by a liquid is dramatically impeded if either the solid or the liquid is decorated by particles. Here it is shown that in the case of contact between two liquids the opposite effect may occur; mixtures of a hydrophobic liquid and suitable particles form wetting layers on a water surface though the liquid alone is non-wetting. In these wetting layers, the particles adsorb to, and partially penetrate through, the liquid/air and/or the liquid/water interface. This formation of wetting layers can be explained by the reduction in total interfacial energy due to the replacement of part of the fluid/fluid interfaces by the particles. It is most prominent if the contact angles at the fluid/fluid/particle contact lines are close to 90 0

  3. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    Science.gov (United States)

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  4. Selective laser etching or ablation for fabrication of devices

    KAUST Repository

    Buttner, Ulrich; Salama, Khaled N.; Sapsanis, Christos

    2017-01-01

    Methods of fabricating devices vial selective laser etching are provided. The methods can include selective laser etching of a portion of a metal layer, e.g. using a laser light source having a wavelength of 1,000 nm to 1,500 nm. The methods can

  5. Effect of increased crystallinity of single-walled carbon nanotubes used as field emitters on their electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Shimoi, Norihiro, E-mail: shimoi@mail.kankyo.tohoku.ac.jp [Graduate School of Environmental Studies, Tohoku University, 6-6-20 Aoba, Aramaki, Aoba-ku, Sendai 980-8579 (Japan)

    2015-12-07

    Single-walled carbon nanotubes (SWCNTs) synthesized by arc discharge are expected to exhibit good field emission (FE) properties at a low driving voltage. We used a coating containing homogeneously dispersed highly crystalline SWCNTs produced by a high-temperature annealing process to fabricate an FE device by a wet-coating process at a low cost. Using the coating, we succeeded in reducing the power consumption of field emitters for planar lighting devices. SWCNTs synthesized by arc discharge have crystal defects in the carbon network, which are considered to induce inelastic electron tunneling that deteriorates the electrical conductivity of the SWCNTs. In this study, the blocking of the transport of electrons in SWCNTs with crystal defects is simulated using an inelastic electron tunneling model. We succeeded in clarifying the mechanism underlying the electrical conductivity of SWCNTs by controlling their crystallinity. In addition, it was confirmed that field emitters using highly crystalline SWCNTs can lead to new applications operating with low power consumption and new devices that may change our daily lives in the future.

  6. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    Science.gov (United States)

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  7. Two-step controllable electrochemical etching of tungsten scanning probe microscopy tips

    KAUST Repository

    Khan, Yasser; Al-Falih, Hisham; Ng, Tien Khee; Ooi, Boon S.; Zhang, Yaping

    2012-01-01

    Dynamic electrochemical etching technique is optimized to produce tungsten tips with controllable shape and radius of curvature of less than 10 nm. Nascent features such as dynamic electrochemical etching and reverse biasing after drop-off are utilized, and two-step dynamic electrochemical etching is introduced to produce extremely sharp tips with controllable aspect ratio. Electronic current shut-off time for conventional dc drop-off technique is reduced to ?36 ns using high speed analog electronics. Undesirable variability in tip shape, which is innate to static dc electrochemical etching, is mitigated with novel dynamic electrochemical etching. Overall, we present a facile and robust approach, whereby using a novel etchant level adjustment mechanism, 30° variability in cone angle and 1.5 mm controllability in cone length were achieved, while routinely producing ultra-sharp probes. © 2012 American Institute of Physics.

  8. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no correlation between bond strength and morphological changes in

  9. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  10. Quantum-size-controlled photoelectrochemical etching of semiconductor nanostructures

    Science.gov (United States)

    Fischer, Arthur J.; Tsao, Jeffrey Y.; Wierer, Jr., Jonathan J.; Xiao, Xiaoyin; Wang, George T.

    2016-03-01

    Quantum-size-controlled photoelectrochemical (QSC-PEC) etching provides a new route to the precision fabrication of epitaxial semiconductor nanostructures in the sub-10-nm size regime. For example, quantum dots (QDs) can be QSC-PEC-etched from epitaxial InGaN thin films using narrowband laser photoexcitation, and the QD sizes (and hence bandgaps and photoluminescence wavelengths) are determined by the photoexcitation wavelength.

  11. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    Science.gov (United States)

    Fink, D.; Muñoz H., G.; García A., H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-04-01

    Some yet poorly understood problems of etching of pristine and swift heavy ion track-irradiated aged polymers were treated, by applying conductometry across the irradiated foils during etching. The onset times of etchant penetration across pristine foils, and the onset times of the different etched track regimes in irradiated foils were determined for polymers of various proveniences, fluences and ages, as well as their corresponding etching speeds. From the results, correlations of the parameters with each other were deduced. The normalization of these parameters enables one to compare irradiated polymer foils of different origin and treatment with one another. In a number of cases, also polymeric gel formation and swelling occur which influence the track etching behaviour. The polymer degradation during aging influences the track etching parameters, which differ from each other on both sides of the foils. With increasing sample age, these differences increase.

  12. Shallow surface etching of organic and inorganic compounds by electrospray droplet impact

    International Nuclear Information System (INIS)

    Hiraoka, Kenzo; Sakai, Yuji; Iijima, Yoshitoki; Asakawa, Daiki; Mori, Kunihiko

    2009-01-01

    The electrospray droplet impact (EDI) was applied to bradykinin, polyethylene terephthalate (PET), SiO 2 /Si, and indium phosphide (InP). It was found that bradykinin deposited on the stainless steel substrate was ionized/desorbed without the accumulation of radiation products. The film thickness desorbed by a single collisional event was found to be less than 10 monolayers. In the EDI mass spectra for PET, several fragment ions were observed but the XPS spectra did not change with prolonged cluster irradiation. The etching rate for SiO 2 by EDI was measured to be ∼0.2 nm/min. The surface roughness of InP etched by EDI was found to be one order of magnitude smaller than that etched by 3 keV Ar + for about the same etching depths. EDI is capable of shallow surface etching with little damage left on the etched surface.

  13. High performance multilayered nano-crystalline silicon/silicon-oxide light-emitting diodes on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Darbari, S; Shahmohammadi, M; Mortazavi, M; Mohajerzadeh, S [Thin Film and Nano-Electronic Laboratory, School of ECE, University of Tehran, Tehran (Iran, Islamic Republic of); Abdi, Y [Nano-Physics Research Laboratory, Department of Physics, University of Tehran, Tehran (Iran, Islamic Republic of); Robertson, M; Morrison, T, E-mail: mohajer@ut.ac.ir [Department of Physics, Acadia University, Wolfville, NS (Canada)

    2011-09-16

    A low-temperature hydrogenation-assisted sequential deposition and crystallization technique is reported for the preparation of nano-scale silicon quantum dots suitable for light-emitting applications. Radio-frequency plasma-enhanced deposition was used to realize multiple layers of nano-crystalline silicon while reactive ion etching was employed to create nano-scale features. The physical characteristics of the films prepared using different plasma conditions were investigated using scanning electron microscopy, transmission electron microscopy, room temperature photoluminescence and infrared spectroscopy. The formation of multilayered structures improved the photon-emission properties as observed by photoluminescence and a thin layer of silicon oxy-nitride was then used for electrical isolation between adjacent silicon layers. The preparation of light-emitting diodes directly on glass substrates has been demonstrated and the electroluminescence spectrum has been measured.

  14. Effect of track etch rate on geometric track characteristics for polymeric track detectors

    International Nuclear Information System (INIS)

    Abdel-Naby, A.A.; El-Akkad, F.A.

    2001-01-01

    Analysis of the variable track etch rate on geometric track characteristic for polymeric track detectors has been applied to the case of LR-155 II SSNTD. Spectrometric characteristics of low energy alpha particles response by the polymeric detector have been obtained. The track etching kinematics theory of development of minor diameter of the etched tracks has been applied. The calculations show that, for this type of detector, the energy dependence of the minor track diameter d is linear for small-etched removal layer h. The energy resolution gets better for higher etched removal layer

  15. Real-Time Observation of Carbon Nanotube Etching Process Using Polarized Optical Microscope.

    Science.gov (United States)

    Zhao, Qiuchen; Yao, Fengrui; Wang, Zequn; Deng, Shibin; Tong, Lianming; Liu, Kaihui; Zhang, Jin

    2017-08-01

    Controllable synthesis of carbon nanotubes (CNTs) is of great importance in its further application, which attracts broad attention. As growth and etching are the two sides in the process of material crystallography and the control of the competition between them forms the foundation for modern technology of materials design and manufacture, the understanding on etching process of carbon nanotubes is still very unclear because technically it is of great challenge to characterize the dynamics in such small one-dimensional (1D) scale. Here the real-time investigation on the etching process of CNTs is reported, by the hot-wall chemical reactor equipped with a polarized optical microscope. It is discovered that the CNT etching behavior in air is totally of random, including the etching sites, termination sites, and structure dependence. Combining with the dynamic simulation, it is revealed that the random behavior reflects the unique "self-termination" phenomenon. A structure-independent etching propagation barrier of 2.4 eV is also obtained, which indicates that the etching propagation process still follows the conventional Kinetic Wulff construction theory. The results represent the new knowledge on the etching process in carbon nanotube and can contribute to its selective enrichment. Furthermore, the "self-termination" phenomenon may be a universal behavior in 1D process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Etching characteristics of nuclear tracks in CR-39 plastics

    International Nuclear Information System (INIS)

    Tsuruta, Takao; Isobe, Ginko.

    1984-01-01

    In using CR-39 plastics for individual neutron dosimeters, changes of etching efficiency cause significant error in dose estimation. Etching efficiency is subject to a number of parameters. In this study the influences of the parameters were examined by measuring the diameters of etch-pits formed by alpha-particles and enlarged by aqueous solutions of 25-35% KOH at 55-65 0 C for 4 hr. It has been observed that diameter changes at the rate of 8.3%/ 0 C in temperature, 8.2%/wt% in concentration and -0.60%/day in time after preparation of etchant. The diameter is unaffected by the supplying of up to 280ml/l, of distilled water for evaporation of etchant or by increase up to 5g/l of CR-39 dissolved in etchant. The magnitude of possible error has been estimated by parameter as well as in general, so as to obtain suggestions for improving etching treatment. (author)

  17. In situ ion etching in a scanning electron microscope

    International Nuclear Information System (INIS)

    Dhariwal, R.S.; Fitch, R.K.

    1977-01-01

    A facility for ion etching in a scanning electron microscope is described which incorporates a new type of electrostatic ion source and viewing of the specimen is possible within about 30 sec after terminating the ion bombardment. Artefacts produced during etching have been studied and cone formation has been followed during its growth. The instrument has provided useful structural information on metals, alloys, and sinters. However, although insulating materials, such as plastics, glass and resins, have been successfully etched, interpretation of the resultant micrographs is more difficult. Ion etching of soft biological tissues, such as the rat duodenum was found to be of considerable interest. The observed structural features arise from the selective intake of the heavy fixation elements by different parts of the tissue. Hard biological materials, such as dental tissues and restorative materials, have also been studied and the prismatic structure of the enamel and the form and distribution of the dentinal tubules have been revealed. (author)

  18. A Study of Parameters Related to the Etch Rate for a Dry Etch Process Using NF3/O2 and SF6/O2

    Directory of Open Access Journals (Sweden)

    Seon-Geun Oh

    2014-01-01

    Full Text Available The characteristics of the dry etching of SiNx:H thin films for display devices using SF6/O2 and NF3/O2 were investigated using a dual-frequency capacitively coupled plasma reactive ion etching (CCP-RIE system. The investigation was carried out by varying the RF power ratio (13.56 MHz/2 MHz, pressure, and gas flow ratio. For the SiNx:H film, the etch rates obtained using NF3/O2 were higher than those obtained using SF6/O2 under various process conditions. The relationships between the etch rates and the usual monitoring parameters—the optical emission spectroscopy (OES intensity of atomic fluorine (685.1 nm and 702.89 nm and the voltages VH and VL—were investigated. The OES intensity data indicated a correlation between the bulk plasma density and the atomic fluorine density. The etch rate was proportional to the product of the OES intensity of atomic fluorine (I(F and the square root of the voltages (Vh+Vl on the assumption that the velocity of the reactive fluorine was proportional to the square root of the voltages.

  19. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  20. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs