WorldWideScience

Sample records for crystalline sapphire substrate

  1. Distinct crystallinity and orientations of hydroxyapatite thin films deposited on C- and A-plane sapphire substrates

    Science.gov (United States)

    Akazawa, Housei; Ueno, Yuko

    2014-10-01

    We report how the crystallinity and orientation of hydroxyapatite (HAp) films deposited on sapphire substrates depend on the crystallographic planes. Both solid-phase crystallization of amorphous HAp films and crystallization during sputter deposition at elevated temperatures were examined. The low-temperature epitaxial phase on C-plane sapphire substrates has c-axis orientated HAp crystals regardless of the crystallization route, whereas the preferred orientation switches to the (310) direction at higher temperatures. Only the symmetric stretching mode (ν1) of PO43- units appears in the Raman scattering spectra, confirming well-ordered crystalline domains. In contrast, HAp crystals grown on A-plane sapphire substrates are always oriented toward random orientations. Exhibiting all vibrational modes (ν1, ν3, and ν4) of PO43- units in the Raman scattering spectra reflects random orientation, violating the Raman selection rule. If we assume that Raman intensities of PO43- units represent the crystallinity of HAp films, crystallization terminating the surface with the C-plane is hindered by the presence of excess H2O and OH species in the film, whereas crystallization at random orientations on the A-plane sapphire is rather promoted by these species. Such contrasting behaviors between C-plane and A-plane substrates will reflect surface-plane dependent creation of crystalline seeds and eventually determine the orientation of resulting HAp films.

  2. Possible pitfalls in search of magnetic order in thin films deposited on single crystalline sapphire substrates

    International Nuclear Information System (INIS)

    Salzer, R.; Spemann, D.; Esquinazi, P.; Hoehne, R.; Setzer, A.; Schindler, K.; Schmidt, H.; Butz, T.

    2007-01-01

    We have studied the field and temperature dependence of the magnetic moment of single crystalline sapphire substrates with different surface orientations. All the substrates show a ferromagnetic behavior that partially changes after surface cleaning. The amount of magnetic impurities in the substrates was determined by particle induced X-ray emission. The overall analysis of the data indicates that the magnetic impurities very likely contribute to the measured ferromagnetic behavior but does not rule out completely intrinsic contributions. Our work stresses the necessity to use other than bulk characterization methods for the study of weak ferromagnetic signals of thin films grown on oxide substrates

  3. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  4. High-quality single crystalline NiO with twin phases grown on sapphire substrate by metalorganic vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    Kazuo Uchida

    2012-12-01

    Full Text Available High-quality single crystalline twin phase NiO grown on sapphire substrates by metalorganic vapor phase epitaxy is reported. X-ray rocking curve analysis of NiO films grown at different temperatures indicates a minimum full width at half maximum of the cubic (111 diffraction peak of 0.107° for NiO film grown at as low as 550 °C. Detailed microstructural analysis by Φ scan X-ray diffraction and transmission electron microscopy reveal that the NiO film consists of large single crystalline domains with two different crystallographic orientations which are rotated relative to each other along the [111] axis by 60°. These single crystal domains are divided by the twin phase boundaries.

  5. Synthesis of single-crystalline Al layers in sapphire

    International Nuclear Information System (INIS)

    Schlosser, W.; Lindner, J.K.N.; Zeitler, M.; Stritzker, B.

    1999-01-01

    Single-crystalline, buried aluminium layers were synthesized by 180 keV high-dose Al + ion implantation into sapphire at 500 deg. C. The approximately 70 nm thick Al layers exhibit in XTEM investigations locally abrupt interfaces to the single-crystalline Al 2 O 3 top layer and bulk, while thickness and depth position are subjected to variations. The layers grow by a ripening process of oriented Al precipitates, which at low doses exist at two different orientations. With increasing dose, precipitates with one out of the two orientations are observed to exist preferentially, finally leading to the formation of a single-crystalline layer. Al outdiffusion to the surface and the formation of spherical Al clusters at the surface are found to be competing processes to buried layer formation. The formation of Al layers is described by Rutherford Backscattering Spectroscopy (RBS), Cross-section transmission electron microscopy (XTEM) and Scanning electron microscopy (SEM) studies as a function of dose, temperature and substrate orientation

  6. Characterization and growth mechanism of nonpolar and semipolar GaN layers grown on patterned sapphire substrates

    International Nuclear Information System (INIS)

    Okada, Narihito; Tadatomo, Kazuyuki

    2012-01-01

    Nonpolar and semipolar GaN layers with markedly improved crystalline quality can be obtained by selective-area growth from the sapphire sidewalls of patterned sapphire substrates (PSSs). In this paper, we review the crystalline qualities of GaN layers grown on PSSs and their growth mechanism. We grew semipolar {1 1 −2 2} and {1 0 −1 1} GaN layers on r- and n-PSSs. The crystalline qualities of the GaN layers grown on the PSSs were higher than those of GaN layers grown directly on heteroepitaxial substrates. To reveal the growth mechanism of GaN layers grown on PSSs, we also grew various nonpolar and semipolar GaN layers such as m-GaN on a-PSS, {1 1 −2 2} GaN on r-PSS, {1 0 − 1  1} GaN on n-PSS, m-GaN on c-PSS and a-GaN on m-PSS. It was found that the nucleation of GaN on the c-plane-like sapphire sidewall results in selective growth from the sapphire sidewall, and nonpolar or semipolar GaN can be obtained. Finally, we demonstrated a light-emitting diode fabricated on a {1 1 −2 2} GaN layer grown on an r-PSS. (paper)

  7. Thermal plasma fabricated lithium niobate-tantalate films on sapphire substrate

    International Nuclear Information System (INIS)

    Kulinich, S.A.; Yoshida, T.; Yamamoto, H.; Terashima, K.

    2003-01-01

    We report the deposition of LiNb 1-x Ta x O 3 (0≤x≤1) films on (001) sapphire substrates in soft vacuum using a radio frequency thermal plasma. The growth rate, crystallinity, c-axis orientation, and surface roughness were examined as functions of substrate temperature, precursor feed rate, and substrate surface condition. The film Nb/Ta ratio was well controlled by using an appropriate uniform mixture of lithium-niobium and lithium-tantalum alkoxide solutions. The epitaxy and crystallinity of the films were much improved when the film growth rate was raised from 20 to 180-380 nm/min, where the films with the (006) rocking curve full width at half maximum values as low as 0.12 deg. -0.2 deg. could be produced. The film roughness could be reduced by using a liquid precursor with higher metal concentrations, achieving the root-mean-square value on the order of 5 nm. The refractive indices of the films are in good correspondence with their composition and crystallinity

  8. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    Science.gov (United States)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  9. Temperature-modulated annealing of c-plane sapphire for long-range-ordered atomic steps

    International Nuclear Information System (INIS)

    Yatsui, Takashi; Kuribara, Kazunori; Sekitani, Tsuyoshi; Someya, Takao; Yoshimoto, Mamoru

    2016-01-01

    High-quality single-crystalline sapphire is used to prepare various semiconductors because of its thermal stability. Here, we applied the tempering technique, which is well known in the production of chocolate, to prepare a sapphire substrate. Surprisingly, we successfully realised millimetre-range ordering of the atomic step of the sapphire substrate. We also obtained a sapphire atomic step with nanometre-scale uniformity in the terrace width and atomic-step height. Such sapphire substrates will find applications in the preparation of various semiconductors and devices. (paper)

  10. Growth of vertically oriented InN nanorods from In-rich conditions on unintentionally patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Terziyska, Penka T., E-mail: pterziy1@lakeheadu.ca [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); Butcher, Kenneth Scott A. [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); MEAglow Ltd., Box 398, 2400 Nipigon Road, Thunder Bay, ON P7C4W1 (Canada); Rafailov, Peter [Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria); Alexandrov, Dimiter [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); MEAglow Ltd., Box 398, 2400 Nipigon Road, Thunder Bay, ON P7C4W1 (Canada)

    2015-10-30

    Highlights: • Vertical InN nanorods are grown on selective areas of sapphire substrates. • In metal droplets nucleate on the sharp needle apexes on the selective areas. • The preferred orientation and the growth direction of the nanorods are (0 0 0 1). • The nanorods grow from the supersaturated indium melt on their tops. - Abstract: Vertically oriented InN nanorods were grown on selective areas of unintentionally patterned c-oriented sapphire substrates exhibiting sharp needles that preferentially accommodate In-metal liquid droplets, using Migration Enhanced Afterglow (MEAglow) growth technique. We point out that the formation of AlN needles on selected areas can be reproduced intentionally by over-nitridation of unmasked areas of sapphire substrates. The liquid indium droplets serve as a self-catalyst and the nanorods grow from the supersaturated indium melt in the droplet in a vertical direction. X-ray diffraction measurements indicate the presence of hexagonal InN only, with preferred orientation along (0 0 0 1) crystal axis, and very good crystalline quality. The room temperature Raman spectrum shows the presence of the A{sub 1}(TO), E{sub 2}(high) and A{sub 1}(LO) phonon modes of the hexagonal InN.

  11. Evaluating the Type of Light Transmittance in Mono Crystalline, Poly Crystalline and Sapphire Brackets- An Invitro Spectrofluorometer Study.

    Science.gov (United States)

    Mohamed, Jauhar P; Kommi, Pradeep Babu; Kumar, M Senthil; Hanumanth; Venkatesan; Aniruddh; Arvinth; Kumar, Arani Nanda

    2016-08-01

    Most of the patients seek orthodontic treatment to improve the smile, which improves the facial profile by means of fixed appliances i.e., brackets and wires. The brackets are of different types like stainless steel and ceramic. Ceramic brackets were considered as aesthetic appliance which was divided into mono-crystalline, polycrystalline and sapphire brackets. The light transmittance might influence the degree of curing adhesive material in mono crystalline, polycrystalline and sapphire brackets. The aim of the present study was to evaluate the translucency and intensity of three different aesthetic brackets (mono crystalline, poly crystalline and sapphire ceramic brackets) and to determine their influence on shear bond strength of the brackets. The adhesive remnant index was also measured after debonding of the brackets from the tooth surface. Twenty six samples each of monocrystalline, polycrystalline and sapphire brackets (total 78 ceramic brackets) were used for the study. The bracket samples were subjected to optical fluorescence test using spectrofluorometer to measure the intensity of the brackets. Seventy eight extracted premolar teeth were procured and divided into 3 groups. The brackets were then bonded to the tooth using Transbond XT (3M Unitek) light cure composite material and cured with new light cure unit (Light Emitting Diode) of wood pecker company (400-450nm) for 30 seconds, and these samples were subjected to shear bond strength test with Instron Universal Testing Machine (UNITEK-94100) with a load range between 0 to 100 KN with a maximum cross head speed of 0.5mm/min. ARI (Adhesive Remnant Index) scores were evaluated according to Artun and Bergland scoring system using stereomicroscope at 20x magnification. The light absorption values obtained from spectrofluorometeric study were 3300000-3500000 cps for group 1 (monocrystalline ceramic brackets), 6000000-6500000 cps for Group 2 (polycrystalline ceramic brackets) and 2700000 -3000000 cps for

  12. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  13. Improved crystalline quality of AlN epitaxial layer on sapphire by introducing TMGa pulse flow into the nucleation stage

    Science.gov (United States)

    Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao

    2018-05-01

    The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.

  14. MBE growth and characterization of ZnTe epilayers on m-plane sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nakasu, Taizo; Sun, Wei-Che; Yamashita, Sotaro; Aiba, Takayuki; Taguri, Kosuke [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26, Tokyo 169-0051 (Japan); Asahi, Toshiaki [Technology Development Center, JX Nippon Mining and Metals Corporation, Hitachi 317-0056 (Japan); Togo, Hiroyoshi [NTT Microsystem Integration Laboratories, Atsugi 243-0198 (Japan)

    2014-07-15

    ZnTe epilayers were grown on transparent (10-10) oriented (m -plane) sapphire substrates by molecular beam epitaxy (MBE). Pole figure imaging was used to study the domain distribution within the layer. (211)-oriented ZnTe domains were formed on m -plane sapphire. The presence of only one kind of (211) ZnTe domain formed on the 2 -tilted m -plane sapphire substrates was confirmed. Thus, single domain (211) ZnTe epilayers can be grown on the m -plane sapphire using MBE. Although differences in the crystal structure and lattice mismatch are large, precise control of the substrate surface lattice arrangement result in the formation of high-quality epitaxial layers. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Crystal orientation mechanism of ZnTe epilayers formed on different orientations of sapphire substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nakasu, T.; Yamashita, S.; Aiba, T.; Hattori, S.; Sun, W.; Taguri, K.; Kazami, F.; Kobayashi, M.

    2014-01-01

    The electrooptic effect in ZnTe has recently attracted research attention, and various device structures using ZnTe have been explored. For application to practical terahertz wave detector devices based on ZnTe thin films, sapphire substrates are preferred because they enable the optical path alignment to be simplified. ZnTe/sapphire heterostructures were focused upon, and ZnTe epilayers were prepared on highly mismatched sapphire substrates by molecular beam epitaxy. Epitaxial relationships between the ZnTe thin films and the sapphire substrates with their various orientations were investigated using an X-ray diffraction pole figure method. (0001) c-plane, (1-102) r-plane, (1-100) m-plane, and (11-20) a-plane oriented sapphire substrates were used in this study. The epitaxial relationship between ZnTe and c-plane sapphire was found to be (111) ZnTe//(0001) sapphire with an in-plane orientation relationship of [−211] ZnTe//[1-100] sapphire. It was found that the (211)-plane ZnTe layer was grown on the m-plane of the sapphire substrates, and the (100)-plane ZnTe layer was grown on the r-plane sapphire. When the sapphire substrates were inclined from the c-plane towards the m-axis direction, the orientation of the ZnTe thin films was then tilted from the (111)-plane to the (211)-plane. The c-plane of the sapphire substrates governs the formation of the (111) ZnTe domain and the ZnTe epilayer orientation. These crystallographic features were also related to the atom arrangements of ZnTe and sapphire.

  16. Polishing Sapphire Substrates by 355 nm Ultraviolet Laser

    Directory of Open Access Journals (Sweden)

    X. Wei

    2012-01-01

    Full Text Available This paper tries to investigate a novel polishing technology with high efficiency and nice surface quality for sapphire crystal that has high hardness, wear resistance, and chemical stability. A Q-switched 355 nm ultraviolet laser with nanosecond pulses was set up and used to polish sapphire substrate in different conditions in this paper. Surface roughness Ra of polished sapphire was measured with surface profiler, and the surface topography was observed with scanning electronic microscope. The effects of processing parameters as laser energy, pulse repetition rate, scanning speed, incident angle, scanning patterns, and initial surface conditions on surface roughness were analyzed.

  17. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  18. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  19. Solid state dewetting and stress relaxation in a thin single crystalline Ni film on sapphire

    International Nuclear Information System (INIS)

    Rabkin, E.; Amram, D.; Alster, E.

    2014-01-01

    In this study, we deposited a 80 nm thick single crystalline Ni film on a sapphire substrate. Heat treatment of this film at 1000 °C followed by slow cooling resulted in the formation of faceted holes, star-like channel instabilities and faceted microwires. The ridges at the rims of faceted holes and channels exhibited a twinning orientation relationship with the rest of the film. A sub-nanometer-high hexagonal topography pattern on the surface of the unperturbed film was observed by atomic force microscopy. No such pattern was observed on the top facets of isolated Ni particles and hole ridges. We discuss the observed dewetting patterns in terms of the effects of Ni surface anisotropy and faceting on solid state dewetting. The hexagonal pattern on the surface of the unperturbed film was attributed to thermal stress relaxation in the film via dislocations glide. This work demonstrates that solid state dewetting of single crystalline metal films can be utilized for film patterning and for producing hierarchical surface topographies

  20. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  1. Nanoscratch Characterization of GaN Epilayers on c- and a-Axis Sapphire Substrates

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2010-01-01

    Full Text Available Abstract In this study, we used metal organic chemical vapor deposition to form gallium nitride (GaN epilayers on c- and a-axis sapphire substrates and then used the nanoscratch technique and atomic force microscopy (AFM to determine the nanotribological behavior and deformation characteristics of the GaN epilayers, respectively. The AFM morphological studies revealed that pile-up phenomena occurred on both sides of the scratches formed on the GaN epilayers. It is suggested that cracking dominates in the case of GaN epilayers while ploughing during the process of scratching; the appearances of the scratched surfaces were significantly different for the GaN epilayers on the c- and a-axis sapphire substrates. In addition, compared to the c-axis substrate, we obtained higher values of the coefficient of friction (μ and deeper penetration of the scratches on the GaN a-axis sapphire sample when we set the ramped force at 4,000 μN. This discrepancy suggests that GaN epilayers grown on c-axis sapphire have higher shear resistances than those formed on a-axis sapphire. The occurrence of pile-up events indicates that the generation and motion of individual dislocation, which we measured under the sites of critical brittle transitions of the scratch track, resulted in ductile and/or brittle properties as a result of the deformed and strain-hardened lattice structure.

  2. Single crystalline Er{sub 2}O{sub 3}:sapphire films as potentially high-gain amplifiers at telecommunication wavelength

    Energy Technology Data Exchange (ETDEWEB)

    Kuznetsov, A. S.; Sadofev, S.; Schäfer, P.; Kalusniak, S.; Henneberger, F., E-mail: fh@physik.hu-berlin.de [Institut für Physik, Humboldt-Universität zu Berlin, Newtonstr. 15, D-12489 Berlin (Germany)

    2014-11-10

    Single crystalline thin films of Er{sub 2}O{sub 3}, demonstrating efficient 1.5 μm luminescence of Er{sup 3+} at room temperature were grown on Al{sub 2}O{sub 3} substrate by molecular beam epitaxy. The absorption coefficient at 1.536 μm was found to reach 270 cm{sup −1} translating in a maximal possible gain of 1390 dBcm{sup −1}. In conjunction with the 10% higher refractive index as compared to Al{sub 2}O{sub 3}, this opens the possibility to use Er{sub 2}O{sub 3}:sapphire films as short-length waveguide amplifiers in telecommunication.

  3. The Influence of Surface Anisotropy Crystalline Structure on Wetting of Sapphire by Molten Aluminum

    Science.gov (United States)

    Aguilar-Santillan, Joaquin

    2013-05-01

    The wetting of sapphire by molten aluminum was investigated by the sessile drop technique from 1073 K to 1473 K (800 °C to 1200 °C) at PO2 <10-15 Pa under Ar atmosphere. This study focuses on sapphire crystalline structure and its principle to the interface. The planes " a" and " b" are oxygen terminated structures and wet more by Al, whereas the " c" plane is an aluminum terminated structure. A wetting transition at 1273 K (1000 °C) was obtained and a solid surface tension proves the capillarity trends of the couple.

  4. Characteristics of surface acoustic waves in (11\\bar 2 0)ZnO film/ R-sapphire substrate structures

    Science.gov (United States)

    Wang, Yan; Zhang, ShuYi; Xu, Jing; Xie, YingCai; Lan, XiaoDong

    2018-02-01

    (11\\bar 2 0)ZnO film/ R-sapphire substrate structure is promising for high frequency acoustic wave devices. The propagation characteristics of SAWs, including the Rayleigh waves along [0001] direction and Love waves along [1ī00] direction, are investigated by using 3 dimensional finite element method (3D-FEM). The phase velocity ( v p), electromechanical coupling coefficient ( k 2), temperature coefficient of frequency ( TCF) and reflection coefficient ( r) of Rayleigh wave and Love wave devices are theoretically analyzed. Furthermore, the influences of ZnO films with different crystal orientation on SAW properties are also investigated. The results show that the 1st Rayleigh wave has an exceedingly large k 2 of 4.95% in (90°, 90°, 0°) (11\\bar 2 0)ZnO film/ R-sapphire substrate associated with a phase velocity of 5300 m/s; and the 0th Love wave in (0°, 90°, 0°) (11\\bar 2 0)ZnO film/ R-sapphire substrate has a maximum k 2 of 3.86% associated with a phase velocity of 3400 m/s. And (11\\bar 2 0)ZnO film/ R-sapphire substrate structures can be used to design temperature-compensated and wide-band SAW devices. All of the results indicate that the performances of SAW devices can be optimized by suitably selecting ZnO films with different thickness and crystal orientations deposited on R-sapphire substrates.

  5. Graphene on insulating crystalline substrates

    International Nuclear Information System (INIS)

    Akcoeltekin, S; El Kharrazi, M; Koehler, B; Lorke, A; Schleberger, M

    2009-01-01

    We show that it is possible to prepare and identify ultra-thin sheets of graphene on crystalline substrates such as SrTiO 3 , TiO 2 , Al 2 O 3 and CaF 2 by standard techniques (mechanical exfoliation, optical and atomic force microscopy). On the substrates under consideration we find a similar distribution of single layer, bilayer and few-layer graphene and graphite flakes as with conventional SiO 2 substrates. The optical contrast C of a single graphene layer on any of those substrates is determined by calculating the optical properties of a two-dimensional metallic sheet on the surface of a dielectric, which yields values between C = -1.5% (G/TiO 2 ) and C = -8.8% (G/CaF 2 ). This contrast is in reasonable agreement with experimental data and is sufficient to make identification by an optical microscope possible. The graphene layers cover the crystalline substrate in a carpet-like mode and the height of single layer graphene on any of the crystalline substrates as determined by atomic force microscopy is d SLG = 0.34 nm and thus much smaller than on SiO 2 .

  6. Theoretical studies on lattice-oriented growth of single-walled carbon nanotubes on sapphire

    Science.gov (United States)

    Li, Zhengwei; Meng, Xianhong; Xiao, Jianliang

    2017-09-01

    Due to their excellent mechanical and electrical properties, single-walled carbon nanotubes (SWNTs) can find broad applications in many areas, such as field-effect transistors, logic circuits, sensors and flexible electronics. High-density, horizontally aligned arrays of SWNTs are essential for high performance electronics. Many experimental studies have demonstrated that chemical vapor deposition growth of nanotubes on crystalline substrates such as sapphire offers a promising route to achieve such dense, perfectly aligned arrays. In this work, a theoretical study is performed to quantitatively understand the van der Waals interactions between SWNTs and sapphire substrates. The energetically preferred alignment directions of SWNTs on A-, R- and M-planes and the random alignment on the C-plane predicted by this study are all in good agreement with experiments. It is also shown that smaller SWNTs have better alignment than larger SWNTs due to their stronger interaction with sapphire substrate. The strong vdW interactions along preferred alignment directions can be intuitively explained by the nanoscale ‘grooves’ formed by atomic lattice structures on the surface of sapphire. This study provides important insights to the controlled growth of nanotubes and potentially other nanomaterials.

  7. Dependence of adhesion strength between GaN LEDs and sapphire substrate on power density of UV laser irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Park, Junsu [Department of Nano-Manufacturing Technology, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of); Sin, Young-Gwan [Department of Nano-Mechatronics, Korea University of Science and Technology (UST), 217 Gajeong-Ro, Yuseong-Gu, Daejeon 34113 (Korea, Republic of); Kim, Jae-Hyun [Department of Nano-Mechanics, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of); Kim, Jaegu, E-mail: gugu99@kimm.re.kr [Department of Nano-Manufacturing Technology, Korea Institute of Machinery and Materials, 156 Gajeongbuk-Ro, Yuseong-Gu, Daejeon 34103 (Korea, Republic of)

    2016-10-30

    Highlights: • Fundamental relationship between laser irradiation and adhesion strength, between gallium-nitride light emitted diode and sapphire substrate, is proposed during selective laser lift-off. • Two competing mechanisms affect adhesion at the irradiated interface between the GaN LED and sapphire substrate. • Ga precipitation caused by thermal decomposition and roughened interface caused by thermal damage lead to the considerable difference of adhesion strength at the interface. - Abstract: Selective laser lift-off (SLLO) is an innovative technology used to manufacture and repair micro-light-emitting diode (LED) displays. In SLLO, laser is irradiated to selectively separate micro-LED devices from a transparent sapphire substrate. The light source used is an ultraviolet (UV) laser with a wavelength of 266 nm, pulse duration of 20 ns, and repetition rate of 30 kHz. Controlled adhesion between a LED and the substrate is key for a SLLO process with high yield and reliability. This study examined the fundamental relationship between adhesion and laser irradiation. Two competing mechanisms affect adhesion at the irradiated interface between the GaN LED and sapphire substrate: Ga precipitation caused by the thermal decomposition of GaN and roughened interface caused by thermal damage on the sapphire. The competition between these two mechanisms leads to a non-trivial SLLO condition that needs optimization. This study helps understand the SLLO process, and accelerate the development of a process for manufacturing micro-LED displays via SLLO for future applications.

  8. Ga2O3-In2O3 thin films on sapphire substrates: Synthesis and ultraviolet photoconductivity

    Science.gov (United States)

    Muslimov, A. E.; Butashin, A. V.; Kolymagin, A. B.; Nabatov, B. V.; Kanevsky, V. M.

    2017-11-01

    The structure and electrical and optical properties of β-Ga2O3-In2O3 thin films on sapphire substrates with different orientations have been investigated. The samples have been prepared by annealing of gallium-indium metallic films on sapphire substrates in air at different gallium-to-indium ratios in the initial mixture. The photoconductivity of these structures in the solar-blind ultraviolet spectral region has been examined.

  9. Characterization of barium strontium titanate thin films on sapphire substrate prepared via RF magnetron sputtering system

    Science.gov (United States)

    Jamaluddin, F. W.; Khalid, M. F. Abdul; Mamat, M. H.; Zoolfakar, A. S.; Zulkefle, M. A.; Rusop, M.; Awang, Z.

    2018-05-01

    Barium Strontium Titanate (Ba0.5Sr0.5TiO3) is known to have a high dielectric constant and low loss at microwave frequencies. These unique features are useful for many electronic applications. This paper focuses on material characterization of BST thin films deposited on sapphire substrate by RF magnetron sputtering system. The sample was then annealed at 900 °C for two hours. Several methods were used to characterize the structural properties of the material such as X-ray diffraction (XRD) and atomic force microscopy (AFM). Field emission scanning electron microscopy (FESEM) was used to analyze the surface morphology of the thin film. From the results obtained, it can be shown that the annealed sample had a rougher surface and better crystallinity as compared to as-deposited sample.

  10. Epitaxial growth of Sb-doped nonpolar a-plane ZnO thin films on r-plane sapphire substrates by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hou-Guang, E-mail: houguang@isu.edu.tw [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China); Hung, Sung-Po [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China)

    2014-02-15

    Highlights: ► Sb-doped nonpolar a-plane ZnO layers were epitaxially grown on sapphire substrates. ► Crystallinity and electrical properties were studied upon growth condition and doping concentration. ► The out-of-plane lattice spacing of ZnO films reduces monotonically with increasing Sb doping level. ► The p-type conductivity of ZnO:Sb film is closely correlated with annealing condition and Sb doping level. -- Abstract: In this study, the epitaxial growth of Sb-doped nonpolar a-plane (112{sup ¯}0) ZnO thin films on r-plane (11{sup ¯}02) sapphire substrates was performed by radio-frequency magnetron sputtering. The influence of the sputter deposition conditions and Sb doping concentration on the microstructural and electrical properties of Sb-doped ZnO epitaxial films was investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and the Hall-effect measurement. The measurement of the XRD phi-scan indicated that the epitaxial relationship between the ZnO:Sb layer and sapphire substrate was (112{sup ¯}0){sub ZnO}//(11{sup ¯}02){sub Al{sub 2O{sub 3}}} and [11{sup ¯}00]{sub ZnO}//[112{sup ¯}0]{sub Al{sub 2O{sub 3}}}. The out-of-plane a-axis lattice parameter of ZnO films was reduced monotonically with the increasing Sb doping level. The cross-sectional transmission electron microscopy (XTEM) observation confirmed the absence of any significant antimony oxide phase segregation across the thickness of the Sb-doped ZnO epitaxial film. However, the epitaxial quality of the films deteriorated as the level of Sb dopant increased. The electrical properties of ZnO:Sb film are closely correlated with post-annealing conditions and Sb doping concentrations.

  11. High-sensitivity β-Ga_2O_3 solar-blind photodetector on high-temperature pretreated c-plane sapphire substrate

    KAUST Repository

    Qian, Ling-Xuan

    2017-09-20

    Recently, monoclinic Ga2O3 (beta-Ga2O3) photodetectors (PDs) have been extensively studied for various commercial and military applications due to the merits of intrinsic solar rejection, high gain, and great compactness. In this work, c-plane sapphire substrates were annealed under different temperatures in a vacuum furnace prior to the molecular beam epitaxy (MBE) of beta-Ga2O3 thin film, which yielded a smoother surface and even a terraceand- step-like morphology on the substrate, resulting in improved crystallinity of the epitaxial film. Accordingly, both the dark and photo currents of beta-Ga2O3 metal-semiconductor-metal (MSM) PDs were increased by the enhanced carrier mobility (mu) of the more crystalline film. However, the substrate-annealing temperature must be sufficiently high to offset the rise of the dark current and thus achieve a remarkable improvement in the photodetection properties. As a result, the PD fabricated on the 1050 degrees C-annealed substrate exhibited extremely high sensitivity, for example, high responsivity (R) of 54.9 A/ W and large specific detectivity (D*) of 3.71 x 10(14) Jones. Both parameters were increased by one order of magnitude because of the combined effects of the dramatic increase in mu and the effective reduction in defect-related recombination centers. Nevertheless, the latter also prolonged the recovery time of the PD. These findings suggest another way to develop beta-Ga2O3 PD with extremely high sensitivity. (C) 2017 Optical Society of America

  12. (211) oriented ZnTe growth on m-plane sapphire by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Nakasu, Taizo [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26, Tokyo 169-0051 (Japan); Togo, Hiroyoshi [NTT Microsystem Integration Laboratories, Atugi-shi 243-0198 (Japan); Asahi, Toshiaki [Technology Development Center, JX Nippon Mining and Metals Corporation, Hitachi-shi 317-0056 (Japan)

    2013-11-15

    Single-crystalline and single domain ZnTe thin films are sought for high-performance terahertz wave detectors, and ZnTe/sapphire heterostructures were considered since the Electro-Optical (EO) effect could be obtained only from epilayers. ZnTe epilayers were grown on m-plane sapphire substrates by molecular beam epitaxy, and the potential of single domain epilayers was explored. Through the X-ray diffraction pole figure measurement it was confirmed that one (100) oriented ZnTe domain along with two kinds of (211) oriented domains were formed on the m-plane sapphire when the layer was grown at 340 C. When the layer was grown at 350 C, the (211) oriented domain dominated the film. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Polarity inversion of AlN film grown on nitrided a-plane sapphire substrate with pulsed DC reactive sputtering

    Directory of Open Access Journals (Sweden)

    Marsetio Noorprajuda

    2018-04-01

    Full Text Available The effect of oxygen partial pressure (PO2 on polarity and crystalline quality of AlN films grown on nitrided a-plane sapphire substrates by pulsed direct current (DC reactive sputtering was investigated as a fundamental study. The polarity inversion of AlN from nitrogen (−c-polarity to aluminum (+c-polarity occurred during growth at a high PO2 of 9.4×103 Pa owing to Al-O octahedral formation at the interface of nitrided layer and AlN sputtered film which reset the polarity of AlN. The top part of the 1300 nm-thick AlN film sputtered at the high PO2 was polycrystallized. The crystalline quality was improved owing to the high kinetic energy of Al sputtered atom in the sputtering phenomena. Thinner AlN films were also fabricated at the high PO2 to eliminate the polycrystallization. For the 200 nm-thick AlN film sputtered at the high PO2, the full width at half-maximum values of the AlN (0002 and (10−12 X-ray diffraction rocking curves were 47 and 637 arcsec, respectively.

  14. Epitaxial growth of InN on c-plane sapphire by pulsed laser deposition with r.f. nitrogen radical source

    International Nuclear Information System (INIS)

    Ohta, J.; Fujioka, H.; Honke, T.; Oshima, M.

    2004-01-01

    We have grown InN films on c-plane sapphire substrates by pulsed laser deposition (PLD) with a radio frequency nitrogen radical source for the first time and investigated the effect of the substrate surface nitridation on the structural and electrical properties of InN films with reflection high energy electron diffraction (RHEED), atomic force microscope, the Hall effect measurements and high-resolution X-ray diffraction (HRXRD). RHEED and HRXRD characterizations revealed that high-quality InN grows epitaxially on sapphire by PLD and its epitaxial relationship is InN (0 0 0 1) parallel sapphire (0 0 0 1) and InN [2 -1 -1 0] parallel sapphire [1 0 -1 0]. The InN crystalline quality and the electron mobility are improved by the substrate nitridation process. The area of the pits at the InN surface is reduced by the substrate nitridation process probably due to the reduction in the interface energy between InN and the substrate. The full width at half maximum of the -1 -1 2 4 X-ray rocking curve for InN grown by the present technique without using any buffer layers was as small as 34.8 arcmin. These results indicate that the present technique is promising for the growth of the high-quality InN films

  15. Improvement in light-output efficiency of near-ultraviolet InGaN-GaN LEDs fabricated on stripe patterned sapphire substrates

    International Nuclear Information System (INIS)

    Lee, Y.J.; Hsu, T.C.; Kuo, H.C.; Wang, S.C.; Yang, Y.L.; Yen, S.N.; Chu, Y.T.; Shen, Y.J.; Hsieh, M.H.; Jou, M.J.; Lee, B.J.

    2005-01-01

    InGaN/GaN multi-quantum wells near ultraviolet light-emitting diodes (LEDs) were fabricated on a patterned sapphire substrate (PSS) with parallel stripe along the sapphire direction by using low-pressure metal-organic chemical vapor deposition (MOCVD). The forward- and reverse-bias electrical characteristics of the stripe PSS LEDs are, respectively, similar and better than those of conventional LEDs on sapphire substrate. The output power of the epoxy package of stripe PSS LED was 20% higher than that of the conventional LEDs. The enhancement of output power is due not only to the reduction of dislocation density but also to the release of the guided light in LEDs by the geometric shape of the stripe PSS, according to the ray-tracing analysis

  16. Defect formation and recrystallization in the silicon on sapphire films under Si{sup +} irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Shemukhin, A.A., E-mail: shemuhin@gmail.com [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University, Moscow (Russian Federation); Nazarov, A.V.; Balakshin, Yu. V. [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University, Moscow (Russian Federation); Chernysh, V.S. [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University, Moscow (Russian Federation); Faculty of Physics, Lomonosov Moscow State University, Moscow (Russian Federation)

    2015-07-01

    Silicon-on-sapphire (SOS) is one of the most promising silicon-on-insulator (SOI) technologies. SOS structures are widely used in microelectronics, but to meet modern requirements the silicon layer should be 100 nm thick or less. The problem is in amount of damage in the interface layer, which decreases the quality of the produced devices. In order to improve the crystalline structure quality SOS samples with 300 nm silicon layers were implanted with Si{sup +} ions with energies in the range from 180 up to 230 keV with fluences in the range from 10{sup 14} up to 5 × 10{sup 15} cm{sup −2} at 0 °C. The crystalline structure of the samples was studied with RBS and the interface layer was studied with SIMS after subsequent annealing. It has been found out that to obtain silicon films with high lattice quality it is necessary to damage the sapphire lattice near the silicon–sapphire interface. Complete destruction of the strongly defected area and subsequent recrystallization depends on the energy of implanted ions and the substrate temperature. No significant mixing in the interface layer was observed with the SIMS.

  17. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  18. Low-threshold stimulated emission at 249 nm and 256 nm from AlGaN-based multiple-quantum-well lasers grown on sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xiao-Hang; Detchprohm, Theeradetch; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Dupuis, Russell D., E-mail: dupuis@gatech.edu [Center for Compound Semiconductors and School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332-0250 (United States); Wang, Shuo; Wei, Yong O.; Xie, Hongen; Fischer, Alec M.; Ponce, Fernando A. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Wernicke, Tim; Reich, Christoph; Martens, Martin; Kneissl, Michael [Technical University of Berlin, Institute for Solid State Physics, Berlin D-10623 (Germany)

    2014-10-06

    Optically pumped deep-ultraviolet (DUV) lasing with low threshold was demonstrated from AlGaN-based multiple-quantum-well (MQW) heterostructures grown on sapphire substrates. The epitaxial layers were grown pseudomorphically by metalorganic chemical vapor deposition on (0001) sapphire substrates. Stimulated emission was observed at wavelengths of 256 nm and 249 nm with thresholds of 61 kW/cm{sup 2} and 95 kW/cm{sup 2} at room temperature, respectively. The thresholds are comparable to the reported state-of-the-art AlGaN-based MQW DUV lasers grown on bulk AlN substrates emitting at 266 nm. These low thresholds are attributed to the optimization of active region and waveguide layer as well as the use of high-quality AlN/sapphire templates. The stimulated emission above threshold was dominated by transverse-electric polarization. This work demonstrates the potential candidacy of sapphire substrates for DUV diode lasers.

  19. Low-threshold stimulated emission at 249 nm and 256 nm from AlGaN-based multiple-quantum-well lasers grown on sapphire substrates

    International Nuclear Information System (INIS)

    Li, Xiao-Hang; Detchprohm, Theeradetch; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Dupuis, Russell D.; Wang, Shuo; Wei, Yong O.; Xie, Hongen; Fischer, Alec M.; Ponce, Fernando A.; Wernicke, Tim; Reich, Christoph; Martens, Martin; Kneissl, Michael

    2014-01-01

    Optically pumped deep-ultraviolet (DUV) lasing with low threshold was demonstrated from AlGaN-based multiple-quantum-well (MQW) heterostructures grown on sapphire substrates. The epitaxial layers were grown pseudomorphically by metalorganic chemical vapor deposition on (0001) sapphire substrates. Stimulated emission was observed at wavelengths of 256 nm and 249 nm with thresholds of 61 kW/cm 2 and 95 kW/cm 2 at room temperature, respectively. The thresholds are comparable to the reported state-of-the-art AlGaN-based MQW DUV lasers grown on bulk AlN substrates emitting at 266 nm. These low thresholds are attributed to the optimization of active region and waveguide layer as well as the use of high-quality AlN/sapphire templates. The stimulated emission above threshold was dominated by transverse-electric polarization. This work demonstrates the potential candidacy of sapphire substrates for DUV diode lasers.

  20. On the laser lift-off of lightly doped micrometer-thick n-GaN films from substrates via the absorption of IR radiation in sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Voronenkov, V. V. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Virko, M. V.; Kogotkov, V. S.; Leonidov, A. A. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Pinchuk, A. V.; Zubrilov, A. S.; Gorbunov, R. I.; Latishev, F. E.; Bochkareva, N. I.; Lelikov, Y. S.; Tarkhin, D. V.; Smirnov, A. N.; Davydov, V. Y. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Sheremet, I. A. [Financial University under the Government of the Russian Federation (Russian Federation); Shreter, Y. G., E-mail: y.shreter@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The intense absorption of CO{sub 2} laser radiation in sapphire is used to separate GaN films from GaN templates on sapphire. Scanning of the sapphire substrate by the laser leads to the thermal dissociation of GaN at the GaN/sapphire interface and to the detachment of GaN films from the sapphire. The threshold density of the laser energy at which n-GaN started to dissociate is 1.6 ± 0.5 J/cm{sup 2}. The mechanical-stress distribution and the surface morphology of GaN films and sapphire substrates before and after laser lift-off are studied by Raman spectroscopy, atomic-force microscopy, and scanning electron microscopy. A vertical Schottky diode with a forward current density of 100 A/cm{sup 2} at a voltage of 2 V and a maximum reverse voltage of 150 V is fabricated on the basis of a 9-μm-thick detached n-GaN film.

  1. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Fang-Wei [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China); Ke, Wen-Cheng, E-mail: wcke@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China)

    2016-07-01

    Highlights: • Nanoscale patterned sapphire substrate was prepared by anodic-aluminum-oxide etching mask. • Influence of aspect ratio of NPSS on structural and electrical properties of GaN films was studied. • Low dislocation density and high carrier mobility of GaN films were grown on high aspect ratio NPSS. - Abstract: This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 10{sup 8} cm{sup −2} for GaN on bare sapphire to 4.9 × 10{sup 8} cm{sup −2} for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm{sup 2}/Vs for GaN on bare sapphire to 199 cm{sup 2}/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with

  2. Influences of oxygen gas flow rate on electrical properties of Ga-doped ZnO thin films deposited on glass and sapphire substrates

    International Nuclear Information System (INIS)

    Makino, Hisao; Song, Huaping; Yamamoto, Tetsuya

    2014-01-01

    The Ga-doped ZnO (GZO) films deposited on glass and c-plane sapphire substrates have been comparatively studied in order to explore the role of grain boundaries in electrical properties. The influences of oxygen gas flow rates (OFRs) during the deposition by ion-plating were examined. The dependences of carrier concentration, lattice parameters, and characteristic of thermal desorption of Zn on the OFR showed common features between glass and sapphire substrates, however, the Hall mobility showed different behavior. The Hall mobility of GZO films on glass increased with increasing OFR of up to 15 sccm, and decreased with further increasing OFR. On the other hand, the Hall mobility of GZO films on c-sapphire increased for up to 25 sccm. The role of grain boundary in polycrystalline GZO films has been discussed. - Highlights: • Ga-doped ZnO films were deposited on glass and c-sapphire by ion-plating. • The epitaxial growth on c-sapphire was confirmed by X-ray diffraction. • Dependence of Hall mobility showed different tendency between glass and sapphire. • Grain boundaries influence transport properties at high O 2 gas flow rate

  3. The effect of a slight mis-orientation angle of c-plane sapphire substrate on surface and crystal quality of MOCVD grown GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2004-09-01

    The effect of a slight mis-orientation of c-plane sapphire substrate on the surface morphology and crystal quality of GaN thin films grown by MOCVD has been investigated. The mis-orientation angle of vicinal c-plane sapphire substrate was changed within the range of 0.00(zero)-1.00(one) degree, and the experimental results were compared with those on just angle (zero degree) c-plane sapphire substrate. The surface morphology and crystal quality were found to be very sensitive to mis-orientation angle. Consequently, the mis-orientation angle was optimized to be 0.15 . (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Preparation and modification of VO2 thin film on R-sapphire substrate by rapid thermal process

    Science.gov (United States)

    Zhu, Nai-Wei; Hu, Ming; Xia, Xiao-Xu; Wei, Xiao-Ying; Liang, Ji-Ran

    2014-04-01

    The VO2 thin film with high performance of metal-insulator transition (MIT) is prepared on R-sapphire substrate for the first time by magnetron sputtering with rapid thermal process (RTP). The electrical characteristic and THz transmittance of MIT in VO2 film are studied by four-point probe method and THz time domain spectrum (THz-TDS). X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and search engine marketing (SEM) are employed to analyze the crystalline structure, valence state, surface morphology of the film. Results indicate that the properties of VO2 film which is oxidized from the metal vanadium film in oxygen atmosphere are improved with a follow-up RTP modification in nitrogen atmosphere. The crystallization and components of VO2 film are improved and the film becomes compact and uniform. A better phase transition performance is shown that the resistance changes nearly 3 orders of magnitude with a 2-°C hysteresis width and the THz transmittances are reduced by 64% and 60% in thermal and optical excitation respectively.

  5. AFM imaging and fractal analysis of surface roughness of AlN epilayers on sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Dallaeva, Dinara, E-mail: dinara.dallaeva@yandex.ru [Brno University of Technology, Faculty of Electrical Engineering and Communication, Physics Department, Technická 8, 616 00 Brno (Czech Republic); Ţălu, Ştefan [Technical University of Cluj-Napoca, Faculty of Mechanical Engineering, Department of AET, Discipline of Descriptive Geometry and Engineering Graphics, 103-105 B-dul Muncii Street, Cluj-Napoca 400641, Cluj (Romania); Stach, Sebastian [University of Silesia, Faculty of Computer Science and Materials Science, Institute of Informatics, Department of Biomedical Computer Systems, ul. Będzińska 39, 41-205 Sosnowiec (Poland); Škarvada, Pavel; Tománek, Pavel; Grmela, Lubomír [Brno University of Technology, Faculty of Electrical Engineering and Communication, Physics Department, Technická 8, 616 00 Brno (Czech Republic)

    2014-09-01

    Graphical abstract: - Highlights: • We determined the complexity of 3D surface roughness of aluminum nitride layers. • We used atomic force microscopy and analyzed their fractal geometry. • We determined the fractal dimension of surface roughness of aluminum nitride layers. • We determined the dependence of layer morphology on substrate temperature. - Abstract: The paper deals with AFM imaging and characterization of 3D surface morphology of aluminum nitride (AlN) epilayers on sapphire substrates prepared by magnetron sputtering. Due to the effect of temperature changes on epilayer's surface during the fabrication, a surface morphology is studied by combination of atomic force microscopy (AFM) and fractal analysis methods. Both methods are useful tools that may assist manufacturers in developing and fabricating AlN thin films with optimal surface characteristics. Furthermore, they provide different yet complementary information to that offered by traditional surface statistical parameters. This combination is used for the first time for measurement on AlN epilayers on sapphire substrates, and provides the overall 3D morphology of the sample surfaces (by AFM imaging), and reveals fractal characteristics in the surface morphology (fractal analysis)

  6. High Transparent and Conductive TiO2/Ag/TiO2 Multilayer Electrode Films Deposited on Sapphire Substrate

    Science.gov (United States)

    Loka, Chadrasekhar; Moon, Sung Whan; Choi, YiSik; Lee, Kee-Sun

    2018-03-01

    Transparent conducting oxides attract intense interests due to its diverse industrial applications. In this study, we report sapphire substrate-based TiO2/Ag/TiO2 (TAT) multilayer structure of indium-free transparent conductive multilayer coatings. The TAT thin films were deposited at room temperature on sapphire substrates and a rigorous analysis has been presented on the electrical and optical properties of the films as a function of Ag thickness. The optical and electrical properties were mainly controlled by the Ag mid-layer thickness of the TAT tri-layer. The TAT films showed high luminous transmittance 84% at 550 nm along with noteworthy low electrical resistance 3.65 × 10-5 Ω-cm and sheet resistance of 3.77 Ω/square, which is better are than those of amorphous ITO films and any sapphire-based dielectric/metal/dielectric multilayer stack. The carrier concentration of the films was increased with respect to Ag thickness. We obtained highest Hackke's figure of merit 43.97 × 10-3 Ω-1 from the TAT multilayer thin film with a 16 nm thick Ag mid-layer.

  7. Structural properties, crystal quality and growth modes of MOCVD-grown AlN with TMAl pretreatment of sapphire substrate

    KAUST Repository

    Sun, Haiding; Wu, Feng; Altahtamouni, Talal Mohammed Ahmad; Alfaraj, Nasir; Li, Kun; Detchprohm, Theeradetch; Dupuis, Russell; Li, Xiaohang

    2017-01-01

    The growth of high quality AlN epitaxial films relies on precise control of the initial growth stages. In this work, we examined the influence of the trimethylaluminum (TMAl) pretreatment of sapphire substrates on the structural properties, crystal quality and growth modes of heteroepitaxial AlN films on (0001) sapphire substrates. Without the pretreatment, the AlN films nucleated on the smooth surface but exhibited mixed crystallographic Al- (N-) polarity, resulting in rough AlN film surfaces. With increasing the pretreatment time from 1 to 5 s, the N-polarity started to be impeded. However, small islands were formed on sapphire surface due to the decompostion of TMAl. As a result, small voids became noticeable at the nucleation layer (NL) because the growth started as quasi three-dimensional (3D) but transformed to 2D mode as the film grew thicker and got coalesced, leading to smoother and Al-polar films. On the other hand, longer pretreatment time of 40 s formed large 3D islands on sapphire, and thus initiated a 3D-growth mode of the AlN film, generating Al-polar AlN nanocolumns with different facets, which resulted into rougher film surfaces. The epitaxial growth modes and their correlation with the AlN film crystal quality under different TMAl pretreatments are also discussed.

  8. Structural properties, crystal quality and growth modes of MOCVD-grown AlN with TMAl pretreatment of sapphire substrate

    KAUST Repository

    Sun, Haiding

    2017-08-08

    The growth of high quality AlN epitaxial films relies on precise control of the initial growth stages. In this work, we examined the influence of the trimethylaluminum (TMAl) pretreatment of sapphire substrates on the structural properties, crystal quality and growth modes of heteroepitaxial AlN films on (0001) sapphire substrates. Without the pretreatment, the AlN films nucleated on the smooth surface but exhibited mixed crystallographic Al- (N-) polarity, resulting in rough AlN film surfaces. With increasing the pretreatment time from 1 to 5 s, the N-polarity started to be impeded. However, small islands were formed on sapphire surface due to the decompostion of TMAl. As a result, small voids became noticeable at the nucleation layer (NL) because the growth started as quasi three-dimensional (3D) but transformed to 2D mode as the film grew thicker and got coalesced, leading to smoother and Al-polar films. On the other hand, longer pretreatment time of 40 s formed large 3D islands on sapphire, and thus initiated a 3D-growth mode of the AlN film, generating Al-polar AlN nanocolumns with different facets, which resulted into rougher film surfaces. The epitaxial growth modes and their correlation with the AlN film crystal quality under different TMAl pretreatments are also discussed.

  9. Characteristics of InGaN-Based Light-Emitting Diodes on Patterned Sapphire Substrates with Various Pattern Heights

    Directory of Open Access Journals (Sweden)

    Sheng-Fu Yu

    2012-01-01

    Full Text Available The optical and electrical characteristics of InGaN-based blue light-emitting diodes (LEDs grown on patterned sapphire substrates (PSSs with different pattern heights and on planar sapphire by atmospheric-pressure metal-organic chemical vapor deposition were investigated. Compared with planar sapphire, it was found that the LED electroluminescence intensity is significantly enhanced on PSSs with pattern heights of 0.5 (21%, 1.1 (57%, 1.5 (81%, and 1.9 (91% μm at an injected current of 20 mA. The increased light intensity exhibits the same trend in a TracePro simulation. In addition, it was also found that the level of leakage current depends on the density of V-shape defects, which were measured by scanning electron microscopy.

  10. Air-void embedded GaN-based light-emitting diodes grown on laser drilling patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Hao; Li, Yufeng; Wang, Shuai; Feng, Lungang; Xiong, Han; Yun, Feng, E-mail: fyun2010@mail.xjtu.edu.cn [Key Laboratory of Physical Electronics and Devices of Ministry of Education and Shaanxi Provincial Key Laboratory of Photonics and Information Technology, Xi’an Jiaotong University, Xi’an, Shaanxi 710049 (China); Solid-State Lighting Engineering Research Center, Xi’an Jiaotong University, Xi’an, Shaanxi 710049 (China); Su, Xilin [Shaanxi Supernova Lighting Technology Co., Ltd., Xi’an, Shaanxi 710075 (China)

    2016-07-15

    Air-void structure was introduced in GaN-based blue light-emitting diodes (LED) with one-step growth on periodic laser drilling patterned sapphire substrate, which free of any photolithography or wet/dry etching process. The influence of filling factors (FF) of air-void on crystal quality and optical performance were investigate. Transmission electron microscopy images and micro-Raman spectroscopy indicated that the dislocation was bended and the partially compressed strain was released. When FF was 55.43%, compared with the LED structure grown on flat sapphire substrate, the incorporation of air-void was observed to reduce the compressed stress of ∼20% and the luminance intensity has improved by 128%. Together with the simulated reflection intensity enhancement by finite difference time-domain (FDTD) method, we attribute the enhanced optical performance to the combined contribution of strong back-side light reflection of air-void and better GaN epitaxial quality. This approach provides a simple replacement to the conventional air-void embedded LED process.

  11. Neutron Transmission of Single-crystal Sapphire Filters

    Science.gov (United States)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2005-05-01

    An additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for single-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula and experimental data. We discuss the use of sapphire single crystal as a thermal neutron filter in terms of the optimum cystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons.

  12. Preparation and modification of VO2 thin film on R-sapphire substrate by rapid thermal process

    International Nuclear Information System (INIS)

    Zhu Nai-Wei; Hu Ming; Xia Xiao-Xu; Wei Xiao-Ying; Liang Ji-Ran

    2014-01-01

    The VO 2 thin film with high performance of metal–insulator transition (MIT) is prepared on R-sapphire substrate for the first time by magnetron sputtering with rapid thermal process (RTP). The electrical characteristic and THz transmittance of MIT in VO 2 film are studied by four-point probe method and THz time domain spectrum (THz-TDS). X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and search engine marketing (SEM) are employed to analyze the crystalline structure, valence state, surface morphology of the film. Results indicate that the properties of VO 2 film which is oxidized from the metal vanadium film in oxygen atmosphere are improved with a follow-up RTP modification in nitrogen atmosphere. The crystallization and components of VO 2 film are improved and the film becomes compact and uniform. A better phase transition performance is shown that the resistance changes nearly 3 orders of magnitude with a 2-°C hysteresis width and the THz transmittances are reduced by 64% and 60% in thermal and optical excitation respectively. (interdisciplinary physics and related areas of science and technology)

  13. Epitactical FeAl films on sapphire and their magnetic properties

    International Nuclear Information System (INIS)

    Trautvetter, Moritz

    2011-01-01

    In the presented thesis epitaxial FeAl thin films on sapphire have been prepared by pulse laser deposition (PLD). The thin films deposited at room temperature exhibits ferromagnetism and subsequent annealing is necessary to transform the thin films to paramagnetic B2-phase, where the transition temperature depends on the crystalline orientation of the sapphire substrate. Alternatively, by deposition at higher substrate temperature the B2-phase is obtained directly. However, morphology of the FeAl film is influenced by different growth modes resulting from different substrate temperatures. The paramagnetic FeAl films can then be transformed to ferromagnetic phase by successive ion irradiation. Independent of the ion species used for irradiation, the same universal relation between thin films' coercive fields and irradiation damage is identified. The ion irradiation ferromagnetism can be transformed back to paramagnetism by subsequent annealing. The mutual transition between ferromagnetic and paramagnetic phases has been performed several times and shows full reversibility. The ferromagnetic phase induced by Kr + irradiation exhibits structural relaxation, where the saturate magnetization of FeAl thin film gradually decreases in several days. Later, ion irradiation has been performed selectively on defined areas of the thin film with the help of an unconventional lithography technique. The subsequent thin film is composed of ordered hexagonal array of ferromagnetic nano-cylinders separated by a paramagnetic matrix, suggesting a promising system for magnetic data storage. (orig.)

  14. Neutron transmission of single-crystal sapphire filters

    International Nuclear Information System (INIS)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2005-01-01

    An additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for single-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula fits and experimental data. We discuss the use of sapphire single crystal as a thermal neutron filter in terms of the optimum crystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons. (author)

  15. Neutron transmission of single-crystal sapphire filters

    International Nuclear Information System (INIS)

    Adib, M.; Kilany, M.; Habib, N.; Fathallah, M.

    2004-01-01

    A simple additive formula is given that permits the calculation of the nuclear capture, thermal diffuse and Bragg scattering cross-sections as a function of sapphire temperature and crystal parameters. We have developed a computer program that allows calculations of the thermal neutron transmission for the sapphire rhombohedral structure and its equivalent trigonal structure. The calculated total cross-section values and effective attenuation coefficient for mono-crystalline sapphire at different temperatures are compared with measured values. Overall agreement is indicated between the formula fits and experimental data. We discuss the use of sapphire single-crystal as a thermal neutron filter in terms of the optimum crystal thickness, mosaic spread, temperature, cutting plane and tuning for efficient transmission of thermal-reactor neutrons

  16. Preparation and structural properties of YBCO films grown on GaN/c-sapphire hexagonal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chromik, S., E-mail: stefan.chromik@savba.sk [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Gierlowski, P. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Spankova, M.; Dobrocka, E.; Vavra, I.; Strbik, V.; Lalinsky, T.; Sojkova, M. [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Liday, J.; Vogrincic, P. [Department of Microelectronics, Slovak Technical University, Ilkovicova 3, 81219 Bratislava (Slovakia); Espinos, J.P. [Instituto de Ciencia de Materiales de Sevilla, Avda Americo Vespucio 49, 41092 Sevilla (Spain)

    2010-07-01

    Epitaxial YBCO thin films have been grown on hexagonal GaN/c-sapphire substrates using DC magnetron sputtering and pulsed laser deposition. An MgO buffer layer has been inserted between the substrate and the YBCO film as a diffusion barrier. X-ray diffraction analysis indicates a c-axis oriented growth of the YBCO films. {Phi}-scan shows surprisingly twelve maxima. Transmission electron microscopy analyses confirm an epitaxial growth of the YBCO blocks with a superposition of three a-b YBCO planes rotated by 120 deg. to each other. Auger electron spectroscopy and X-ray photoelectron spectroscopy reveal no surface contamination with Ga even if a maximum substrate temperature of 700 deg. C is applied.

  17. Dispersion Free Doped and Undoped AlGaN/GaN HEMTs on Sapphire and SiC Substrates

    NARCIS (Netherlands)

    Kraemer, M.C.J.C.M.; Jacobs, B.; Kwaspen, J.J.M.; Suijker, E.M.; Hek, A.P. de; Karouta, F.; Kaufmann, L.M.F.; Hoskens, R.C.P.

    2004-01-01

    We present dispersion free pulsed current voltage (I-V) and radio frequency (RF) power results of undoped and doped AlGaN/GaN HEMTs on sapphire and SiC substrates. The most significant processing step leading to these results is the application of a reactive ion etching (RIE) argon (Ar) plasma

  18. Controllable laser thermal cleavage of sapphire wafers

    Science.gov (United States)

    Xu, Jiayu; Hu, Hong; Zhuang, Changhui; Ma, Guodong; Han, Junlong; Lei, Yulin

    2018-03-01

    Laser processing of substrates for light-emitting diodes (LEDs) offers advantages over other processing techniques and is therefore an active research area in both industrial and academic sectors. The processing of sapphire wafers is problematic because sapphire is a hard and brittle material. Semiconductor laser scribing processing suffers certain disadvantages that have yet to be overcome, thereby necessitating further investigation. In this work, a platform for controllable laser thermal cleavage was constructed. A sapphire LED wafer was modeled using the finite element method to simulate the thermal and stress distributions under different conditions. A guide groove cut by laser ablation before the cleavage process was observed to guide the crack extension and avoid deviation. The surface and cross section of sapphire wafers processed using controllable laser thermal cleavage were characterized by scanning electron microscopy and optical microscopy, and their morphology was compared to that of wafers processed using stealth dicing. The differences in luminous efficiency between substrates prepared using these two processing methods are explained.

  19. Impact of layer and substrate properties on the surface acoustic wave velocity in scandium doped aluminum nitride based SAW devices on sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Gillinger, M., E-mail: manuel.gillinger@tuwien.ac.at; Knobloch, T.; Schneider, M.; Schmid, U. [Institute of Sensor and Actuator Systems, TU Wien, 1040 Vienna (Austria); Shaposhnikov, K.; Kaltenbacher, M. [Institute of Mechanics and Mechatronics, TU Wien, 1040 Vienna (Austria)

    2016-06-06

    This paper investigates the performance of surface acoustic wave (SAW) devices consisting of reactively sputter deposited scandium doped aluminum nitride (Sc{sub x}Al{sub 1-x}N) thin films as piezoelectric layers on sapphire substrates for wireless sensor or for RF-MEMS applications. To investigate the influence of piezoelectric film thickness on the device properties, samples with thickness ranging from 500 nm up to 3000 nm are fabricated. S{sub 21} measurements and simulations demonstrate that the phase velocity is predominantly influenced by the mass density of the electrode material rather than by the thickness of the piezoelectric film. Additionally, the wave propagation direction is varied by rotating the interdigital transducer structures with respect to the crystal orientation of the substrate. The phase velocity is about 2.5% higher for a-direction compared to m-direction of the sapphire substrate, which is in excellent agreement with the difference in the anisotropic Young's modulus of the substrate corresponding to these directions.

  20. Epitaxial hexagonal materials on IBAD-textured substrates

    Science.gov (United States)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  1. Effect of sapphire substrate nitridation on the elimination of rotation domains in ZnO epitaxial films

    International Nuclear Information System (INIS)

    Ying Minju; Du Xiaolong; Mei Zengxia; Zeng Zhaoquan; Zheng Hao; Wang Yong; Jia Jinfeng; Zhang Ze; Xue Qikun

    2004-01-01

    The rotation domain structures in ZnO films grown on sapphire substrates under different pre-treatment conditions have been investigated by in situ reflection high-energy electron diffraction and ex situ x-ray diffraction (XRD). It was found that by appropriate nitridation treatment, forming a thin AlN film on the substrate, the rotation domains in ZnO films could be completely suppressed, and a full width at half maximum of only 180 arcsec was observed in the (0 0 0 2) reflection of XRD rocking curves. The mechanisms for the elimination of rotation domains in the ZnO films are discussed

  2. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Laser writing of single-crystalline gold substrates for surface enhanced Raman spectroscopy

    Science.gov (United States)

    Singh, Astha; Sharma, Geeta; Ranjan, Neeraj; Mittholiya, Kshitij; Bhatnagar, Anuj; Singh, B. P.; Mathur, Deepak; Vasa, Parinda

    2017-07-01

    Surface enhanced Raman scattering (SERS) spectroscopy, a powerful contemporary tool for studying low-concentration analytes via surface plasmon induced enhancement of local electric field, is of utility in biochemistry, material science, threat detection, and environmental studies. We have developed a simple, fast, scalable, and relatively low-cost optical method of fabricating and characterizing large-area, reusable and broadband SERS substrates with long storage lifetime. We use tightly focused, intense infra-red laser pulses to write gratings on single-crystalline, Au (1 1 1) gold films on mica which act as SERS substrates. Our single-crystalline SERS substrates compare favourably, in terms of surface quality and roughness, to those fabricated in poly-crystalline Au films. Tests show that our SERS substrates have the potential of detecting urea and 1,10-phenantroline adulterants in milk and water, respectively, at 0.01 ppm (or lower) concentrations.

  4. The nucleation of HCl and Cl{sub 2}-based HVPE GaN on mis-oriented sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bohnen, Tim; Dreumel, Gerbe W.G. van; Enckevort, Willem J.P. van; Ashraf, Hina; Jong, Aryan E.F. de; Hageman, Paul R.; Vlieg, Elias [IMM, Radboud University, Nijmegen (Netherlands); Weyher, Jan L. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2010-07-15

    The nucleation of both classic HCl-based and novel Cl{sub 2{sup -}} based HVPE GaN on mis-oriented sapphire substrates was investigated. The use of Cl{sub 2}in HVPE increases the growth rate by a factor of 4-5 and strongly reduces the parasitic deposition, allowing for the growth of much thicker wafers than HCl-based HVPE. Morphological SEM surface studies of the HCl-based HVPE sample surface show that at 600 C a nanocrystalline layer is deposited on the sapphire. During the subsequent annealing phase, the morphology changes to a {mu}m-sized island structure. During overgrowth at 1080 C, the islands coalesce. Small voids or pinholes are then formed in between the coalescing GaN islands. These pinholes lead to numerous pits on the surface of the GaN at thicknesses of 5 {mu}m. The pits disappear during continued overgrowth and can no longer be found on the surface, when the GaN film reaches a thickness of 45 {mu}m. This particular coalescence mechanism also applies to Cl{sub 2}-based HVPE GaN on sapphire (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  6. Epitaxial hexagonal materials on IBAD-textured substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  7. Design of patterned sapphire substrates for GaN-based light-emitting diodes

    International Nuclear Information System (INIS)

    Wang Hai-Yan; Lin Zhi-Ting; Han Jing-Lei; Zhong Li-Yi; Li Guo-Qiang

    2015-01-01

    A new method for patterned sapphire substrate (PSS) design is developed and proven to be reliable and cost-effective. As progress is made with LEDs’ luminous efficiency, the pattern units of PSS become more complicated, and the effect of complicated geometrical features is almost impossible to study systematically by experiments only. By employing our new method, the influence of pattern parameters can be systematically studied, and various novel patterns are designed and optimized within a reasonable time span, with great improvement in LEDs’ light extraction efficiency (LEE). Clearly, PSS pattern design with such a method deserves particular attention. We foresee that GaN-based LEDs on these newly designed PSSs will achieve more progress in the coming years. (topical review)

  8. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  9. Structural characterization of AgGaTe{sub 2} layers grown on a- and c-sapphire substrates by a closed space sublimation method

    Energy Technology Data Exchange (ETDEWEB)

    Uruno, Aya; Usui, Ayaka [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2014-07-15

    AgGaTe{sub 2} layers were grown on a- and c-plane sapphire substrates by a closed space sublimation method with varying the source temperature. Grown films were evaluated by θ -2θ and pole figure measurements of X-ray diffraction. AgGaTe{sub 2} layers were grown to have strong preference for the (103) orientation. However, it was cleared the Ag{sub 5}Te{sub 3} was formed along with the AgGaTe{sub 2} when the layer was grown on c-plane sapphire. The orientation of the film was analyzed by using the pole figure, and resulted in AgGaTe{sub 2} without Ag{sub 5}Te{sub 3} layers could be grown on a-plane sapphire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    International Nuclear Information System (INIS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-01-01

    Highlights: • Concave nano-patterned sapphire substrates with SiO 2 blocking layer. • The IQE is almost two times larger than that of conventional one. • The EQE was extremely enhanced more than 100%. - Abstract: In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO 2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO 2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO 2 blocking layer proposed in this work can enhance the performance of LEDs.

  11. Synthesis of high quality graphene on capped (1 1 1) Cu thin films obtained by high temperature secondary grain growth on c-plane sapphire substrates

    Science.gov (United States)

    Kim, Youngwoo; Moyen, Eric; Yi, Hemian; Avila, José; Chen, Chaoyu; Asensio, Maria C.; Lee, Young Hee; Pribat, Didier

    2018-07-01

    We propose a novel growth technique, in which graphene is synthesized on capped Cu thin films deposited on c-plane sapphire. The cap is another sapphire plate which is just laid upon the Cu thin film, in direct contact with it. Thanks to this ‘contact cap’, Cu evaporation can be suppressed at high temperature and the 400 nm-thick Cu films can be annealed above 1000 °C, resulting in (1 1 1)-oriented grains of millimeter size. Following this high temperature annealing, graphene is grown by chemical vapor deposition during the same pump-down operation, without removing the contact cap. The orientation and doping type of the as-grown graphene were first studied, using low energy electron diffraction, as well as high resolution angle-resolved photoemission spectroscopy. In particular, the orientation relationships between the graphene and copper thin film with respect to the sapphire substrate were precisely determined. We find that the graphene sheets exhibit a minimal rotational disorder, with ~90% of the grains aligned along the copper high symmetry direction. Detailed transport measurements were also performed using field-effect transistor structures. Carrier mobility values as high as 8460 cm2 V‑1 s‑1 have been measured on top gate transistors fabricated directly on the sapphire substrate, by etching the Cu film from underneath the graphene sheets. This is by far the best carrier mobility value obtained to date for graphene sheets synthesized on a thin film-type metal substrate.

  12. Appearance of large crystalline domains in VO{sub 2} films grown on sapphire (001) and their phase transition characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Azhan, Nurul Hanis; Su, Kui; Okimura, Kunio, E-mail: okifn@keyaki.cc.u-tokai.ac.jp [Graduate School of Science and Technology, Tokai University, Hiratsuka 259-1292 (Japan); Zaghrioui, Mustapha; Sakai, Joe [GREMAN, UMR 7347 CNRS, Université François Rabelais de Tours, Parc de Grandmont 37200 Tours (France)

    2015-06-28

    We report the first observation of large crystalline domains of several μm-size in VO{sub 2} films deposited on Al{sub 2}O{sub 3} (001) substrates by rf-biased reactive sputtering technique. The large crystalline domains, dominated with random in-plane oriented growth of (011){sub M1}-orientation, appear only under adequate substrate biasing, such as 10 W, while most biasing conditions result in conventional nanosized grains of highly oriented (010){sub M1}-orientation. Two temperature-controlled analyses, x-ray diffraction and micro-Raman spectroscopy, have revealed that some parts of large crystalline domains undergo intermediate monoclinic (M2) phase during the thermally-induced structural phase transition from monoclinic (M1) to rutile-tetragonal (R) phase. As an effect of the appearance of large crystalline domains, the film showed in-plane tensile stress, resulting in high T{sub IMT} of 69 °C due to the elongation of the V-V distance in its low-temperature monoclinic phase.

  13. Transfer-free graphene synthesis on sapphire by catalyst metal agglomeration technique and demonstration of top-gate field-effect transistors

    International Nuclear Information System (INIS)

    Miyoshi, Makoto; Arima, Yukinori; Kubo, Toshiharu; Egawa, Takashi; Mizuno, Masaya; Soga, Tetsuo

    2015-01-01

    Transfer-free graphene synthesis was performed on sapphire substrates by using the catalyst metal agglomeration technique, and the graphene film quality was compared to that synthesized on sputtered SiO 2 /Si substrates. Raman scattering measurements indicated that the graphene film on sapphire has better structural qualities than that on sputtered SiO 2 /Si substrates. The cross-sectional transmission microscopic study also revealed that the film flatness was drastically improved by using sapphire substrates instead of sputtered SiO 2 /Si substrates. These quality improvements seemed to be due the chemical and thermal stabilities of sapphire. Top-gate field-effect transistors were fabricated using the graphene films on sapphire, and it was confirmed that their drain current can be modulated with applied gate voltages. The maximum field-effect mobilities were estimated to be 720 cm 2 /V s for electrons and 880 cm 2 /V s for holes, respectively

  14. Transfer-free graphene synthesis on sapphire by catalyst metal agglomeration technique and demonstration of top-gate field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Miyoshi, Makoto, E-mail: miyoshi.makoto@nitech.ac.jp; Arima, Yukinori; Kubo, Toshiharu; Egawa, Takashi [Research Center for Nano Device and Advanced Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Mizuno, Masaya [Research Center for Nano Device and Advanced Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Department of Frontier Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan); Soga, Tetsuo [Department of Frontier Materials, Nagoya Institute of Technology, Nagoya 466-8555 (Japan)

    2015-08-17

    Transfer-free graphene synthesis was performed on sapphire substrates by using the catalyst metal agglomeration technique, and the graphene film quality was compared to that synthesized on sputtered SiO{sub 2}/Si substrates. Raman scattering measurements indicated that the graphene film on sapphire has better structural qualities than that on sputtered SiO{sub 2}/Si substrates. The cross-sectional transmission microscopic study also revealed that the film flatness was drastically improved by using sapphire substrates instead of sputtered SiO{sub 2}/Si substrates. These quality improvements seemed to be due the chemical and thermal stabilities of sapphire. Top-gate field-effect transistors were fabricated using the graphene films on sapphire, and it was confirmed that their drain current can be modulated with applied gate voltages. The maximum field-effect mobilities were estimated to be 720 cm{sup 2}/V s for electrons and 880 cm{sup 2}/V s for holes, respectively.

  15. N-polar GaN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistor formed on sapphire substrate with minimal step bunching

    Science.gov (United States)

    Prasertsuk, Kiattiwut; Tanikawa, Tomoyuki; Kimura, Takeshi; Kuboya, Shigeyuki; Suemitsu, Tetsuya; Matsuoka, Takashi

    2018-01-01

    The metal-insulator-semiconductor (MIS) gate N-polar GaN/AlGaN/GaN high-electron-mobility transistor (HEMT) on a (0001) sapphire substrate, which can be expected to operate with lower on-resistance and more easily work on the pinch-off operation than an N-polar AlGaN/GaN HEMT, was fabricated. For suppressing the step bunching and hillocks peculiar in the N-polar growth, a sapphire substrate with an off-cut angle as small as 0.8° was introduced and an N-polar GaN/AlGaN/GaN HEMT without the step bunching was firstly obtained by optimizing the growth conditions. The previously reported anisotropy of transconductance related to the step was eliminated. The pinch-off operation was also realized. These results indicate that this device is promising.

  16. Fabrication of single-crystalline plasmonic nanostructures on transparent and flexible amorphous substrates

    Science.gov (United States)

    Mori, Tomohiro; Mori, Takeshi; Tanaka, Yasuhiro; Suzaki, Yoshifumi; Yamaguchi, Kenzo

    2017-02-01

    A new experimental technique is developed for producing a high-performance single-crystalline Ag nanostructure on transparent and flexible amorphous substrates for use in plasmonic sensors and circuit components. This technique is based on the epitaxial growth of Ag on a (001)-oriented single-crystalline NaCl substrate, which is subsequently dissolved in ultrapure water to allow the Ag film to be transferred onto a wide range of different substrates. Focused ion beam milling is then used to create an Ag nanoarray structure consisting of 200 cuboid nanoparticles with a side length of 160 nm and sharp, precise edges. This array exhibits a strong signal and a sharp peak in plasmonic properties and Raman intensity when compared with a polycrystalline Ag nanoarray.

  17. High-phase-purity zinc-blende InN on r-plane sapphire substrate with controlled nitridation pretreatment

    International Nuclear Information System (INIS)

    Hsiao, C.-L.; Wu, C.-T.; Hsu, H.-C.; Hsu, G.-M.; Chen, L.-C.; Liu, T.-W.; Shiao, W.-Y.; Yang, C. C.; Gaellstroem, Andreas; Holtz, Per-Olof; Chen, C.-C.; Chen, K.-H.

    2008-01-01

    High-phase-purity zinc-blende (zb) InN thin film has been grown by plasma-assisted molecular-beam epitaxy on r-plane sapphire substrate pretreated with nitridation. X-ray diffraction analysis shows that the phase of the InN films changes from wurtzite (w) InN to a mixture of w-InN and zb-InN, to zb-InN with increasing nitridation time. High-resolution transmission electron microscopy reveals an ultrathin crystallized interlayer produced by substrate nitridation, which plays an important role in controlling the InN phase. Photoluminescence emission of zb-InN measured at 20 K shows a peak at a very low energy, 0.636 eV, and an absorption edge at ∼0.62 eV is observed at 2 K, which is the lowest bandgap reported to date among the III-nitride semiconductors

  18. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  19. Highly efficient and reliable high power LEDs with patterned sapphire substrate and strip-shaped distributed current blocking layer

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Shengjun [School of Power and Mechanical Engineering, Wuhan University, Wuhan 430072 (China); State Key Laboratory of Mechanical System and Vibration, School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Yuan, Shu; Liu, Yingce [Quantum Wafer Inc., Foshan 528251 (China); Guo, L. Jay [Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, MI 48109 (United States); Liu, Sheng, E-mail: victor_liu63@126.com [School of Power and Mechanical Engineering, Wuhan University, Wuhan 430072 (China); Ding, Han [State Key Laboratory of Mechanical System and Vibration, School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China)

    2015-11-15

    Graphical abstract: - Highlights: • TEM is used to characterize threading dislocation existing in GaN epitaxial layer. • Effect of threading dislocation on optical and electrical of LEDs is discussed. • Strip-shaped SiO{sub 2} DCBL is designed to improve current spreading performance of LEDs. - Abstract: We demonstrated that the improvement in optical and electrical performance of high power LEDs was achieved using cone-shaped patterned sapphire substrate (PSS) and strip-shaped SiO{sub 2} distributed current blocking layer (DCBL). We found through transmission electron microscopy (TEM) observation that densities of both the screw dislocation and edge dislocation existing in GaN epitaxial layer grown on PSS were much less than that of GaN epitaxial layer grown on flat sapphire substrate (FSS). Compared to LED grown on FSS, LED grown on PSS showed higher sub-threshold forward-bias voltage and lower reverse leakage current, resulting in an enhancement in device reliability. We also designed a strip-shaped SiO{sub 2} DCBL beneath a strip-shaped p-electrode, which prevents the current from being concentrated on regions immediately adjacent the strip-shaped p-electrode, thereby facilitating uniform current spreading into the active region. By implementing strip-shaped SiO{sub 2} DCBL, light output power of high power PSS-LED chip could be further increased by 13%.

  20. Influence of laser sputtering parameters on orientation of cerium oxide buffer layer on sapphire and properties of YBa2Cu3Ox superconducting film

    International Nuclear Information System (INIS)

    Mozhaev, P.B.; Ovsyannikov, G.A.; Skov, J.L.

    1999-01-01

    Effect of laser sputtering parameters on crystalline properties of CeO 2 buffer layers grown on (1102) orientation sapphire substrate and on properties of YBa 2 Cu 3 O x superconducting thin films was studied. It was shown that depending on the sputtering conditions one might observe growth of CeO 2 (100) and (111) orientations. Varying heater temperature, chamber pressure and density of laser ray energy on the target one managed to obtain mono-oriented buffer layer of the desired orientation [ru

  1. Strain-dependence of the structure and ferroic properties of epitaxial Ni1−xTi1−yO3 thin films grown on sapphire substrates

    International Nuclear Information System (INIS)

    Varga, Tamas; Droubay, Timothy C.; Bowden, Mark E.; Stephens, Sean A.; Manandhar, Sandeep; Shutthanandan, Vaithiyalingam; Colby, Robert J.; Hu, Dehong; Shelton, William A.; Chambers, Scott A.

    2015-01-01

    Polarization-induced weak ferromagnetism has been predicted a few years back in compounds MTiO 3 (M = Fe, Mn, Ni) (Fennie, 2008). We set out to stabilize this metastable, distorted perovskite structure by growing NiTiO 3 epitaxially on sapphire Al 2 O 3 (001) substrate, and to control the polar and magnetic properties via strain. Epitaxial Ni 1−x Ti 1−y O 3 films of different Ni/Ti ratios and thicknesses were deposited on Al 2 O 3 substrates by pulsed laser deposition at different temperatures, and characterized using several techniques. The effect of film thickness, deposition temperature, and film stoichiometry on lattice strain, film structure, and physical properties was investigated. Our structural data from x-ray diffraction, electron microscopy, and x-ray absorption spectroscopy shows that substrate-induced strain has a marked effect on the structure and crystalline quality of the films. Physical property measurements reveal a dependence of the Néel transition and lattice polarization on strain, and highlight our ability to control the ferroic properties in NiTiO 3 thin films by film stoichiometry and thickness. - Highlights: • NiTiO 3 epitaxial thin films with LiNbO 3 -type structure by pulsed laser deposition. • Strain varied by film thickness, stoichiometry, and synthesis temperature. • Systematic study of the effect of strain on film structure and physical properties. • Manipulation of ferroic properties by strain confirmed

  2. Substrate bias effect on crystallinity of polycrystalline silicon thin films prepared by pulsed ion-beam evaporation method

    Energy Technology Data Exchange (ETDEWEB)

    Ali, Fazlat; Gunji, Michiharu; Yang, Sung-Chae; Suzuki, Tsuneo; Suematsu, Hisayuki; Jiang, Weihua; Yatsui, Kiyoshi [Nagaoka Univ. of Technology, Extreme Energy-Density Research Inst., Nagaoka, Niigata (Japan)

    2002-06-01

    The deposition of polycrystalline silicon thin films has been tried by a pulsed ion-beam evaporation method, where high crystallinity and deposition rate have been achieved without heating the substrate. The crystallinity and the deposition rate were improved by applying bias voltage to the substrate, where instantaneous substrate heating might have occurred by ion-bombardment. (author)

  3. Substrate bias effect on crystallinity of polycrystalline silicon thin films prepared by pulsed ion-beam evaporation method

    International Nuclear Information System (INIS)

    Ali, Fazlat; Gunji, Michiharu; Yang, Sung-Chae; Suzuki, Tsuneo; Suematsu, Hisayuki; Jiang, Weihua; Yatsui, Kiyoshi

    2002-01-01

    The deposition of polycrystalline silicon thin films has been tried by a pulsed ion-beam evaporation method, where high crystallinity and deposition rate have been achieved without heating the substrate. The crystallinity and the deposition rate were improved by applying bias voltage to the substrate, where instantaneous substrate heating might have occurred by ion-bombardment. (author)

  4. Improving InGaN-LED performance by optimizing the patterned sapphire substrate shape

    International Nuclear Information System (INIS)

    Huang Xiao-Hui; Liu Jian-Ping; Fan Ya-Ming; Kong Jun-Jie; Yang Hui; Wang Huai-Bing

    2012-01-01

    The epitaxial growths of GaN films and GaN-based LEDs on various patterned sapphire substrates (PSSes) with different values of fill factor (f) and slanted angle (θ) are investigated in detail. The threading dislocation (TD) density is lower in the film grown on the PSS with a smaller fill factor, resulting in a higher internal quantum efficiency (IQE). Also the ability of the LED to withstand the electrostatic discharge (ESD) increases as the fill factor decreases. The illumination output power of the LED is affected by both θ and f. It is found that the illumination output power of the LED grown on the PSS with a lower production of tan θ and f is higher than that with a higher production of tan θ and f. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Implementation of ZnO/ZnMgO strained-layer superlattice for ZnO heteroepitaxial growth on sapphire

    Science.gov (United States)

    Petukhov, Vladimir; Bakin, Andrey; Tsiaoussis, Ioannis; Rothman, Johan; Ivanov, Sergey; Stoemenos, John; Waag, Andreas

    2011-05-01

    The main challenge in fabrication of ZnO-based devices is the absence of reliable p-type material. This is mostly caused by insufficient crystalline quality of the material and not well-enough-developed native point defect control of ZnO. At present high-quality ZnO wafers are still expensive and ZnO heteroepitaxial layers on sapphire are the most reasonable alternative to homoepitaxial layers. But it is still necessary to improve the crystalline quality of the heteroepitaxial layers. One of the approaches to reduce defect density in heteroepitaxial layers is to introduce a strained-layer superlattice (SL) that could stop dislocation propagation from the substrate-layer interface. In the present paper we have employed fifteen periods of a highly strained SL structure. The structure was grown on a conventional double buffer layer comprising of high-temperature MgO/low-temperature ZnO on sapphire. The influence of the SLs on the properties of the heteroepitaxial ZnO layers is investigated. Electrical measurements of the structure with SL revealed very high values of the carrier mobility up to 210 cm2/Vs at room temperature. Structural characterization of the obtained samples showed that the dislocation density in the following ZnO layer was not reduced. The high mobility signal appears to come from the SL structure or the SL/ZnO interface.

  6. The growth and characterization of well aligned RuO2 nanorods on sapphire substrates

    International Nuclear Information System (INIS)

    Chen, C C; Chen, R S; Tsai, T Y; Huang, Y S; Tsai, D S; Tiong, K K

    2004-01-01

    Self-assembled and well aligned RuO 2 nanorods (NRs) have been grown on sapphire (SA) substrates via metal-organic chemical vapour deposition (MOCVD), using bis(ethylcyclopentadienyl)ruthenium as the source reagent. The surface morphology, structural, and spectroscopic properties of the as-deposited NRs were characterized using field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), selected-area electron diffractometry (SAD), x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS), and micro-Raman spectroscopy. FESEM micrographs reveal that vertically aligned nanorods (NRs) were grown on SA(100), while the NRs on the SA(012) were grown with a tilt angle of ∼ 35 degrees from the normal to the substrates. TEM and SAD measurements showed that the RuO 2 NRs with square cross-section have the long axis directed along the [001] direction. The XRD results indicate that the RuO 2 NRs are (002) oriented on SA(100) and (101) oriented on SA(012) substrates. A strong substrate effect on the alignment of the RuO 2 NRs growth has been demonstrated and the probable mechanism for the formation of these NRs has been discussed. XP spectra show the coexistence of higher oxidation state of ruthenium in the as-grown RuO 2 NRs. Micro-Raman spectra show the red-shift and peak broadening of the RuO 2 signatures with respect to that of the bulk counterpart which may be indicative of a phonon confinement effect for these NRs

  7. Efficient evaluation of epitaxial MoS2 on sapphire by direct band structure imaging

    Science.gov (United States)

    Kim, Hokwon; Dumcenco, Dumitru; Fregnaux, Mathieu; Benayad, Anass; Kung, Yen-Cheng; Kis, Andras; Renault, Olivier; Lanes Group, Epfl Team; Leti, Cea Team

    The electronic band structure evaluation of two-dimensional metal dichalcogenides is critical as the band structure can be greatly influenced by the film thickness, strain, and substrate. Here, we performed a direct measurement of the band structure of as-grown monolayer MoS2 on single crystalline sapphire by reciprocal-space photoelectron emission microscopy with a conventional laboratory ultra-violet He I light source. Arrays of gold electrodes were deposited onto the sample in order to avoid charging effects due to the insulating substrate. This allowed the high resolution mapping (ΔE = 0.2 eV Δk = 0.05 Å-1) of the valence states in momentum space down to 7 eV below the Fermi level. The high degree of the epitaxial alignment of the single crystalline MoS2 nuclei was verified by the direct momentum space imaging over a large area containing multiple nuclei. The derived values of the hole effective mass were 2.41 +/-0.05 m0 and 0.81 +/-0.05 m0, respectively at Γ and K points, consistent with the theoretical values of the freestanding monolayer MoS2 reported in the literature. HK acknowledges the french CEA Basic Technological Research program (RTB) for funding.

  8. Reduced cost and improved figure of sapphire optical components

    Science.gov (United States)

    Walters, Mark; Bartlett, Kevin; Brophy, Matthew R.; DeGroote Nelson, Jessica; Medicus, Kate

    2015-10-01

    Sapphire presents many challenges to optical manufacturers due to its high hardness and anisotropic properties. Long lead times and high prices are the typical result of such challenges. The cost of even a simple 'grind and shine' process can be prohibitive. The high precision surfaces required by optical sensor applications further exacerbate the challenge of processing sapphire thereby increasing cost further. Optimax has demonstrated a production process for such windows that delivers over 50% time reduction as compared to traditional manufacturing processes for sapphire, while producing windows with less than 1/5 wave rms figure error. Optimax's sapphire production process achieves significant improvement in cost by implementation of a controlled grinding process to present the best possible surface to the polishing equipment. Following the grinding process is a polishing process taking advantage of chemical interactions between slurry and substrate to deliver excellent removal rates and surface finish. Through experiments, the mechanics of the polishing process were also optimized to produce excellent optical figure. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. In addition to reducing the cost of producing large sapphire sensor windows, the grinding and polishing technology Optimax has developed aids in producing spherical sapphire components to better figure quality. Through specially developed polishing slurries, the peak-to-valley figure error of spherical sapphire parts is reduced by over 80%.

  9. Growth of cubic InN on r-plane sapphire

    International Nuclear Information System (INIS)

    Cimalla, V.; Pezoldt, J.; Ecke, G.; Kosiba, R.; Ambacher, O.; Spiess, L.; Teichert, G.; Lu, H.; Schaff, W.J.

    2003-01-01

    InN has been grown directly on r-plane sapphire substrates by plasma-enhanced molecular-beam epitaxy. X-ray diffraction investigations have shown that the InN layers consist of a predominant zinc blende (cubic) structure along with a fraction of the wurtzite (hexagonal) phase which content increases with proceeding growth. The lattice constant for zinc blende InN was found to be a=4.986 A. For this unusual growth of a metastable cubic phase on a noncubic substrate an epitaxial relationship was proposed where the metastable zinc blende phase grows directly on the r-plane sapphire while the wurtzite phase arises as the special case of twinning in the cubic structure

  10. Structural and electronic characterization of graphene grown by chemical vapor deposition and transferred onto sapphire

    International Nuclear Information System (INIS)

    Joucken, Frédéric; Colomer, Jean-François; Sporken, Robert; Reckinger, Nicolas

    2016-01-01

    Highlights: • CVD graphene is transferred onto sapphire. • Transport measurements reveal relatively low charge carriers mobility. • Scanning probe microscopy experiments reveal the presence of robust contaminant layers between the graphene and the sapphire, responsible for the low carriers mobility. - Abstract: We present a combination of magnetotransport and local probe measurements on graphene grown by chemical vapor deposition on copper foil and subsequently transferred onto a sapphire substrate. A rather strong p-doping is observed (∼9 × 10 12 cm −2 ) together with quite low carrier mobility (∼1350 cm 2 /V s). Atomic force and tunneling imaging performed on the transport devices reveals the presence of contaminants between sapphire and graphene, explaining the limited performance of our devices. The transferred graphene displays ridges similar to those observed whilst graphene is still on the copper foil. We show that, on sapphire, these ridges are made of different thicknesses of the contamination layer and that, contrary to what was reported for hBN or certain transition metal dichalcogenides, no self-cleansing process of the sapphire substrate is observed.

  11. Occurrence and elimination of in-plane misoriented crystals in AlN epilayers on sapphire via pre-treatment control

    International Nuclear Information System (INIS)

    Wang Hu; Xiong Hui; Wu Zhi-Hao; Yu Chen-Hui; Tian Yu; Dai Jiang-Nan; Fang Yan-Yan; Zhang Jian-Bao; Chen Chang-Qing

    2014-01-01

    AlN epilayers are grown directly on sapphire (0001) substrates each of which has a low temperature AlN nucleation layer. The effects of pretreatments of sapphire substrates, including exposures to NH 3 /H 2 and to H 2 only ambients at different temperatures, before the growth of AlN epilayers is investigated. In-plane misoriented crystals occur in N-polar AlN epilayers each with pretreatment in a H 2 only ambient, and are characterized by six 60°-apart peaks with splits in each peak in (101-bar 2) phi scan and two sets of hexagonal diffraction patterns taken along the [0001] zone axis in electron diffraction. These misoriented crystals can be eliminated in AlN epilayers by the pretreatment of sapphire substrates in the NH 3 /H 2 ambient. AlN epilayers by the pretreatment of sapphire substrates in the NH 3 /H 2 ambient are Al-polar. Our results show the pretreatments and the nucleation layers are responsible for the polarities of the AlN epilayers. We ascribe these results to the different strain relaxation mechanisms induced by the lattice mismatch of AlN and sapphire. (interdisciplinary physics and related areas of science and technology)

  12. Mechanically flexible optically transparent porous mono-crystalline silicon substrate

    KAUST Repository

    Rojas, Jhonathan Prieto; Syed, Ahad A.; Hussain, Muhammad Mustafa

    2012-01-01

    For the first time, we present a simple process to fabricate a thin (≥5μm), mechanically flexible, optically transparent, porous mono-crystalline silicon substrate. Relying only on reactive ion etching steps, we are able to controllably peel off a thin layer of the original substrate. This scheme is cost favorable as it uses a low-cost silicon <100> wafer and furthermore it has the potential for recycling the remaining part of the wafer that otherwise would be lost and wasted during conventional back-grinding process. Due to its porosity, it shows see-through transparency and potential for flexible membrane applications, neural probing and such. Our process can offer flexible, transparent silicon from post high-thermal budget processed device wafer to retain the high performance electronics on flexible substrates. © 2012 IEEE.

  13. Molecular beam epitaxy of InN layers on Sapphire, GaN and indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Landgraf, Boris; Schuhmann, Henning; Malindretos, Joerg; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut, Georg-August-Universitaet Goettingen (Germany); Segura-Ruiz, Jaime; Gomez-Gomez, Maribel; Cantarero, Andres [Materials Science Institute, University of Valencia, Paterna (Spain)

    2009-07-01

    Among the group-III nitrides semiconductors, InN is the one with the narrowest gap (0.67 eV), lowest effective electron mass and highest peak drift velocity. It is therefore a very interesting material for several applications, in particular semiconductor solar cells. Furthermore, the high electron affinity makes it suitable also as electrode material for organic solar cells. InN layers were grown by molecular beam epitaxy on MOCVD GaN templates, on bare c-plane sapphire and on polycrystalline indium tin oxide. On all substrates the III-V ratio as well as the substrate temperature was varied. A RHEED analysis of InN growth on GaN showed a relatively sharp transition from N-rich and columnar growth to In-rich growth with droplet formation by increasing the In flux impinging on the surface. The InN layers on single crystalline substrates were characterized by SEM, AFM, XRD, PL and Raman. The InN layers on ITO were mainly analyzed with respect to the surface morphology with SEM. HRTEM in cross section gives insight into the structure of the interface to the ITO substrate.

  14. In situ differential reflectance spectroscopy of thin crystalline films of PTCDA on different substrates

    International Nuclear Information System (INIS)

    Proehl, Holger; Nitsche, Robert; Dienel, Thomas; Leo, Karl; Fritz, Torsten

    2005-01-01

    We report an investigation of the excitonic properties of thin crystalline films of the archetypal organic semiconductor PTCDA (3,4,9,10-perylenetetracarboxylic dianhydride) grown on poly- and single crystalline surfaces. A sensitive setup capable of measuring the optical properties of ultrathin organic molecular crystals via differential reflectance spectroscopy (DRS) is presented. This tool allows to carry out measurements in situ, i.e., during the actual film growth, and over a wide spectral range, even on single crystalline surfaces with high symmetry or metallic surfaces, where widely used techniques like reflection anisotropy spectroscopy (RAS) or fluorescence excitation spectroscopy fail. The spectra obtained by DRS resemble mainly the absorption of the films if transparent substrates are used, which simplifies the analysis. In the case of mono- to multilayer films of PTCDA on single crystalline muscovite mica(0001) and Au(111) substrates, the formation of the solid state absorption from monomer to dimer and further to crystal-like absorption spectra can be monitored

  15. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  16. Epitactical FeAl films on sapphire and their magnetic properties; Epitaktische FeAl-Filme auf Saphir und ihre magnetischen Eigenschaften

    Energy Technology Data Exchange (ETDEWEB)

    Trautvetter, Moritz

    2011-05-05

    In the presented thesis epitaxial FeAl thin films on sapphire have been prepared by pulse laser deposition (PLD). The thin films deposited at room temperature exhibits ferromagnetism and subsequent annealing is necessary to transform the thin films to paramagnetic B2-phase, where the transition temperature depends on the crystalline orientation of the sapphire substrate. Alternatively, by deposition at higher substrate temperature the B2-phase is obtained directly. However, morphology of the FeAl film is influenced by different growth modes resulting from different substrate temperatures. The paramagnetic FeAl films can then be transformed to ferromagnetic phase by successive ion irradiation. Independent of the ion species used for irradiation, the same universal relation between thin films' coercive fields and irradiation damage is identified. The ion irradiation ferromagnetism can be transformed back to paramagnetism by subsequent annealing. The mutual transition between ferromagnetic and paramagnetic phases has been performed several times and shows full reversibility. The ferromagnetic phase induced by Kr{sup +} irradiation exhibits structural relaxation, where the saturate magnetization of FeAl thin film gradually decreases in several days. Later, ion irradiation has been performed selectively on defined areas of the thin film with the help of an unconventional lithography technique. The subsequent thin film is composed of ordered hexagonal array of ferromagnetic nano-cylinders separated by a paramagnetic matrix, suggesting a promising system for magnetic data storage. (orig.)

  17. Semipolar GaN grown on m-plane sapphire using MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University of Berlin (Germany)

    2008-07-01

    We have investigated the MOVPE growth of semipolar gallium nitride (GaN) films on (10 anti 1 0) m-plane sapphire substrates. Specular GaN films with a RMS roughness (10 x 10 {mu}m{sup 2}) of 15.2 nm were obtained and an arrowhead like structure aligned along[ anti 2 113] is prevailing. The orientation relationship was determined by XRD and yielded (212){sub GaN} parallel (10 anti 10){sub sapphire} and [anti 2113]{sub GaN} parallel [0001]{sub sapphire} as well as [anti 2113]{sub GaN} parallel [000 anti 1]{sub sapphire}. PL spectra exhibited near band edge emission accompanied by a strong basal plane stacking fault emission. In addition lower energy peaks attributed to prismatic plane stacking faults and donor acceptor pair emission appeared in the spectrum. With similar growth conditions also (1013) GaN films on m-plane sapphire were obtained. In the later case we found that the layer was twinned, crystallites with different c-axis orientation were present. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  19. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung [Department of Materials Science and Engineering and Research Institute of Advanced Materials (RIAM), Seoul National University, Seoul 151-744 (Korea, Republic of); Ju, Jin-Woo [Korea Photonics Technology Institute, Gwangju 500-779 (Korea, Republic of); Kim, Young-Min; Yoo, Seung Jo; Kim, Jin-Gyu [Korea Basic Science Institute, Daejeon 305-806 (Korea, Republic of)

    2015-07-15

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface, high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.

  20. Crystallinity and superconductivity of as-grown MgB2 thin films with AlN buffer layers

    International Nuclear Information System (INIS)

    Tsujimoto, K.; Shimakage, H.; Wang, Z.; Kaya, N.

    2005-01-01

    The effects of aluminum nitride (AlN) buffer layers on the superconducting properties of MgB 2 thin film were investigated. The AlN buffer layers and as-grown MgB 2 thin films were deposited in situ using the multiple-target sputtering system. The best depositing condition for the AlN/MgB 2 bi-layer occurred when the AlN was deposited on c-cut sapphire substrates at 290 deg. C. The crystallinity of the AlN/MgB 2 bi-layer was studied using the XRD φ-scan and it showed that AlN and MgB 2 had the same in-plane alignment rotated at an angle of 30 deg. as compared to c-cut sapphire. The critical temperature of the MgB 2 film was 29.8 K and the resistivity was 50.0 μΩ cm at 40 K

  1. Growth modes and epitaxy of FeAl thin films on a-cut sapphire prepared by pulsed laser and ion beam assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Xiang; Trautvetter, Moritz; Ziemann, Paul [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Wiedwald, Ulf [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Fakultät für Physik, Universität Duisburg-Essen, Lotharstraße 1, 47057 Duisburg (Germany)

    2014-01-14

    FeAl films around equiatomic composition are grown on a-cut (112{sup ¯}0) sapphire substrates by ion beam assisted deposition (IBAD) and pulsed laser deposition (PLD) at ambient temperature. Subsequent successive annealing is used to establish chemical order and crystallographic orientation of the films with respect to the substrate. We find a strongly [110]-textured growth for both deposition techniques. Pole figures prove the successful preparation of high quality epitaxial films by PLD with a single in-plane orientation. IBAD-grown films, however, exhibit three in-plane orientations, all of them with broad angular distributions. The difference of the two growth modes is attributed to the existence of a metastable intermediate crystalline orientation as concluded from nonassisted sputter depositions at different substrate temperatures. The formation of the chemically ordered crystalline B2 phase is accompanied by the expected transition from ferromagnetic to paramagnetic behavior of the films. In accordance with the different thermally induced structural recovery, we find a step-like magnetic transition to paramagnetic behavior after annealing for 1 h at T{sub A} = 300 °C for IBAD deposition, while PLD-grown films show a gradual decrease of ferromagnetic signals with rising annealing temperatures.

  2. Electrical parameters of silicon on sapphire; influence on aluminium gate MOS devices performances

    International Nuclear Information System (INIS)

    Suat, J.P.; Borel, J.

    1976-01-01

    The question is the quality level of the substrate obtained with MOS technologies on silicon on an insulating substrate. Experimental results are presented on the main electrical parameters of MOS transistors made on silicon on sapphire, e.g. mean values and spreads of: threhold voltage and surface mobilities of transistors, breakdown voltages, and leakage currents of diodes. These devices have been made in three different technologies: enhancement P. channel technology, depletion-enhancement P. channel technology, and complementary MOS technology. These technologies are all aluminium gate processes with standard design rules and 5μm channel length. Measurements show that presently available silicon on sapphire can be considered as a very suitable substrate for many MOS digital applications (but not for dynamic circuits) [fr

  3. Mosaic Structure Characterization of the AlInN Layer Grown on Sapphire Substrate

    Directory of Open Access Journals (Sweden)

    Engin Arslan

    2014-01-01

    Full Text Available The 150 nm thick, (0001 orientated wurtzite-phase Al1−xInxN epitaxial layers were grown by metal organic chemical vapor deposition on GaN (2.3 µm template/(0001 sapphire substrate. The indium (x concentration of the Al1−xInxN epitaxial layers was changed as 0.04, 0.18, 0.20, 0.47, and 0.48. The Indium content (x, lattice parameters, and strain values in the AlInN layers were calculated from the reciprocal lattice mapping around symmetric (0002 and asymmetric (10–15 reflection of the AlInN and GaN layers. The mosaic structure characteristics of the AlInN layers, such as lateral and vertical coherence lengths, tilt and twist angle, heterogeneous strain, and dislocation densities (edge and screw type dislocations of the AlInN epilayers, were investigated by using high-resolution X-ray diffraction measurements and with a combination of Williamson-Hall plot and the fitting of twist angles.

  4. Antiphase inversion domains in lithium cobaltite thin films deposited on single-crystal sapphire substrates

    International Nuclear Information System (INIS)

    Zheng, S.J.; Fisher, C.A.J.; Hitosugi, T.; Kumatani, A.; Shiraki, S.; Ikuhara, Y.H.; Kuwabara, A.; Moriwake, H.; Oki, H.; Ikuhara, Y.

    2013-01-01

    Antiphase inversion domains in LiCoO 2 thin films prepared by pulsed laser deposition on sapphire single-crystal substrates are analyzed using a combination of (scanning) transmission electron microscopy and first-principles calculations. Domains form epitaxially on the substrates with orientation relationships of [112 ¯ 0] LiCoO 2 (0001) LiCoO 2 //[11 ¯ 00] α-Al 2 O 3 (0001) α-Al 2 O 3 and [1 ¯ 1 ¯ 20] LiCoO 2 (0001) LiCoO 2 //[11 ¯ 00] α-Al 2 O 3 (0001) α-Al 2 O 3 . In addition, substrate/film interfaces with the above orientation relationships always have the same stacking sequence of Al–O–Co–O–Li–O. This is confirmed to be the most energetically stable stacking arrangement according to first-principles calculations. Individual domains form as a result of steps one (0 0 0 1) O–Al–O spacing in height on the otherwise flat substrate surface. Because the orientation of adjacent (0 0 0 1) AlO 6 octahedra in Al 2 O 3 are rotated by 180°, while LiO 6 and CoO 6 octahedra in LiCoO 2 are all aligned in the same direction, substrate steps produce LiCoO 2 domains rotated 180° relative to their neighbors. The similar size of oxygen octahedra in the two materials also means that the step height is close to the layer spacing in LiCoO 2 , so that (0 0 0 1) Li and Co layers of adjacent domains are shifted by one layer relative to each other at each domain boundary, aligning Li layers with Co layers across the boundary. The combination of these two effects generates antiphase inversion domains. The domain boundaries effectively sever Li-ion diffusion pathways in the (0 0 0 1) planes between domains and thus are expected to have a detrimental effect on Li-ion conductivity

  5. Morphological dependent Indium incorporation in InGaN/GaN multiple quantum wells structure grown on 4° misoriented sapphire substrate

    Directory of Open Access Journals (Sweden)

    Teng Jiang

    2016-03-01

    Full Text Available The epitaxial layers of InGaN/GaN MQWs structure were grown on both planar and vicinal sapphire substrates by metal organic chemical vapor deposition. By comparing the epitaxial layers grown on planar substrate, the sample grown on 4° misoriented from c-plane toward m-plane substrate exhibited many variations both on surface morphology and optical properties according to the scanning electronic microscopy and cathodoluminescence (CL spectroscopy results. Many huge steps were observed in the misoriented sample and a large amount of V-shape defects located around the boundary of the steps. Atoms force microscopy images show that the steps were inclined and deep grooves were formed at the boundary of the adjacent steps. Phase separation was observed in the CL spectra. CL mapping results also indicated that the deep grooves could effectively influence the localization of Indium atoms and form an In-rich region.

  6. Heteroepitaxial growth of CuInS2 thin films on sapphire by radio frequency reactive sputtering

    International Nuclear Information System (INIS)

    He, Y.B.; Kriegseis, W.; Meyer, B.K.; Polity, A.; Serafin, M.

    2003-01-01

    Direct heteroepitaxial growth of uniform stoichiometric CuInS 2 (CIS) thin films on sapphire (0001) substrates has been achieved by radio frequency reactive sputtering. X-ray ω-2θ scans reveal that the sputtered layers grow in a (112) orientation with a chalcopyrite structure. A rocking curve full width at half maximum of about 0.05 deg. (180 arc sec) for the (112) peak demonstrates a nearly perfect out-of-plane arrangement of CIS (112) parallel sapphire (0001). X-ray diffraction Phi scans further illustrate an excellent in-plane ordering of CIS [1-bar10] parallel sapphire (101-bar0). The sputtered thin CIS epilayers had a smooth surface with a typical root-mean-square roughness of about 3.3 nm as evaluated by atomic force microscopy. The epitaxial growth of tetragonal CIS on hexagonal sapphire provides evidence that heteroepitaxial growth may be realized between structures of different symmetry, such as films of cubic or tetragonal structures on hexagonal substrates or vice versa

  7. Order in nanometer thick intergranular films at Au-sapphire interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Baram, Mor [Department of Materials Engineering, Technion-Israel Institute of Technology, Haifa 32000 (Israel); Garofalini, Stephen H. [Department of Materials Science and Engineering, Rutgers University, Piscataway, NJ 08854-8065 (United States); Kaplan, Wayne D., E-mail: kaplan@tx.technion.ac.il [Department of Materials Engineering, Technion-Israel Institute of Technology, Haifa 32000 (Israel)

    2011-08-15

    Highlights: {yields} Au particles were equilibrated on (0 0 0 1) sapphire in the presence of anorthite. {yields} 1.2 nm thick equilibrium films (complexions) were formed at the Au-sapphire interfaces. {yields} Quantitative HRTEM was used to study the atomistic structure of the films. {yields} Structural order was observed in the 1.2 nm thick films adjacent to the sapphire crystal. {yields} This demonstrates that ordering is an intrinsic part of equilibrium intergranular films. - Abstract: In recent years extensive studies on interfaces have shown that {approx}1 nm thick intergranular films (IGF) exist at interfaces in different material systems, and that IGF can significantly affect the materials' properties. However, there is great deal of uncertainty whether such films are amorphous or partially ordered. In this study specimens were prepared from Au particles that were equilibrated on sapphire substrates in the presence of anorthite glass, leading to the formation of 1.2 nm thick IGF at the Au-sapphire interfaces. Site-specific cross-section samples were characterized using quantitative high resolution transmission electron microscopy to study the atomistic structure of the films. Order was observed in the 1.2 nm thick films adjacent to the sapphire crystal in the form of 'Ca cages', experimentally demonstrating that ordering is an intrinsic part of IGF, as predicted from molecular dynamics and diffuse interface theory.

  8. Temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Kumagai, Yoshinao; Adachi, Hirokazu; Otake, Aya; Higashikawa, Yoshihiro; Togashi, Rie; Murakami, Hisashi; Koukitu, Akinori

    2010-01-01

    The temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy (HVPE) was investigated. N-polarity single-crystal InN layers were successfully grown at temperatures ranging from 400 to 500 C. The a and c lattice constants of InN layers grown at 450 C or below were slightly larger than those of InN layers grown above 450 C due to oxygen incorporation that also increased the carrier concentration. The optical absorption edge of the InN layer decreased from above 2.0 to 0.76 eV when the growth temperature was increased from 450 to 500 C. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ahn, Yong Nam; Lee, Sung Hoon; Lim, Sung Keun; Woo, Kwang Je; Kim, Hyunbin

    2015-01-01

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH 3 flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films

  10. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Yong Nam, E-mail: ynahn81@gmail.com; Lee, Sung Hoon, E-mail: sunghoon.lee@corning.com; Lim, Sung Keun, E-mail: sk96.lim@samsung.com; Woo, Kwang Je, E-mail: kwangje.woo@corning.com; Kim, Hyunbin, E-mail: hyunbin.kim@corning.com

    2015-03-15

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH{sub 3} flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films.

  11. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  12. Contamination-Free Graphene Transfer from Cu-Foil and Cu-Thin-Film/Sapphire

    Directory of Open Access Journals (Sweden)

    Jaeyeong Lee

    2017-12-01

    Full Text Available The separation of graphene grown on metallic catalyst by chemical vapor deposition (CVD is essential for device applications. The transfer techniques of graphene from metallic catalyst to target substrate usually use the chemical etching method to dissolve the metallic catalyst. However, this causes not only high material cost but also environmental contamination in large-scale fabrication. We report a bubble transfer method to transfer graphene films to arbitrary substrate, which is nondestructive to both the graphene and the metallic catalyst. In addition, we report a type of metallic catalyst, which is 700 nm of Cu on sapphire substrate, which is hard enough to endure against any procedure in graphene growth and transfer. With the Cr adhesion layer between sapphire and Cu film, electrochemically delaminated graphene shows great quality during several growth cycles. The electrochemical bubble transfer method can offer high cost efficiency, little contamination and environmental advantages.

  13. Molecular Beam Epitaxy Growth of High Crystalline Quality LiNbO3

    Science.gov (United States)

    Tellekamp, M. Brooks; Shank, Joshua C.; Goorsky, Mark S.; Doolittle, W. Alan

    2016-12-01

    Lithium niobate is a multi-functional material with wide reaching applications in acoustics, optics, and electronics. Commercial applications for lithium niobate require high crystalline quality currently limited to bulk and ion sliced material. Thin film lithium niobate is an attractive option for a variety of integrated devices, but the research effort has been stagnant due to poor material quality. Both lattice matched and mismatched lithium niobate are grown by molecular beam epitaxy and studied to understand the role of substrate and temperature on nucleation conditions and material quality. Growth on sapphire produces partially coalesced columnar grains with atomically flat plateaus and no twin planes. A symmetric rocking curve shows a narrow linewidth with a full width at half-maximum (FWHM) of 8.6 arcsec (0.0024°), which is comparable to the 5.8 arcsec rocking curve FWHM of the substrate, while the film asymmetric rocking curve is 510 arcsec FWHM. These values indicate that the individual grains are relatively free of long-range disorder detectable by x-ray diffraction with minimal measurable tilt and twist and represents the highest structural quality epitaxial material grown on lattice mismatched sapphire without twin planes. Lithium niobate is also grown on lithium tantalate producing high quality coalesced material without twin planes and with a symmetric rocking curve of 193 arcsec, which is nearly equal to the substrate rocking curve of 194 arcsec. The surface morphology of lithium niobate on lithium tantalate is shown to be atomically flat by atomic force microscopy.

  14. Photoluminescence studies of ZnO thin films on R-plane sapphire substrates grown by sol-gel method

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Min Su [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of); Nam, Giwoong; Kim, Soaram [Department of Nano Engineering, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of); Kim, Do Yeob [Holcombe Department of Electrical and Computer Engineering, Center for Optical Materials Science and Engineering Technologies, Clemson University, Clemson, SC 29634 (United States); Lee, Dong-Yul [LED R and D team, Samsung Electronics Co. Ltd., Yongin 446-711 (Korea, Republic of); Kim, Jin Soo [Research Center of Advanced Materials Development (RCAMD), Division of Advanced Materials Engineering, Chonbuk National University, Jeonju, Chonbuk 561-756 (Korea, Republic of); Kim, Sung-O [Holcombe Department of Electrical and Computer Engineering, Center for Optical Materials Science and Engineering Technologies, Clemson University, Clemson, SC 29634 (United States); Kim, Jong Su [Department of Physics, Yeungnam University, Gyeongsan, Gyeongsangbuk-do 712-749 (Korea, Republic of); Son, Jeong-Sik [Department of Visual Optics, Kyungwoon University, Gumi, Gyeongsangbuk-do 730-850 (Korea, Republic of); Leem, Jae-Young, E-mail: jyleem@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Gimhae, Gyungnam 621-749 (Korea, Republic of)

    2012-10-15

    Zinc oxide (ZnO) thin films on R-plane sapphire substrates were grown by the sol-gel spin-coating method. The optical properties of the ZnO thin films were investigated using photoluminescence. In the UV range, the asymmetric near-band-edge emission was observed at 300 K, which consisted of two emissions at 3.338 and 3.279 eV. Eight peaks at 3.418, 3.402, 3.360, 3.288, 3.216, 3.145, 3.074, and 3.004 eV, which respectively correspond to the free exciton (FX), bound exciton, transverse optical (TO) phonon replica of FX recombination, and first-order longitudinal optical phonon replica of FX and the TO (1LO+TO), 2LO+TO, 3LO+TO, 4LO+TO, and 5LO+TO, were obtained at 12 K. From the temperature-dependent PL, it was found that the emission peaks at 3.338 and 3.279 eV corresponded to the FX and TO, respectively. The activation energy of the FX and TO emission peaks was found to be about 39.3 and 28.9 meV, respectively. The values of the fitting parameters of Varshni's empirical equation were {alpha}=4 Multiplication-Sign 10{sup -3} eV/K and {beta}=4.9 Multiplication-Sign 10{sup 3} K, and the S factor of the ZnO thin films was 0.658. With increasing temperature, the exciton radiative lifetime of the FX and TO emissions increased. The temperature-dependent variation of the exciton radiative lifetime for the TO emission was slightly higher than that for the FX emission. - Highlights: Black-Right-Pointing-Pointer ZnO thin films on R-plane sapphire substrates were grown by sol-gel method. Black-Right-Pointing-Pointer Two emission peaks at 3.338 and 3.279 eV were observed at 300 K Black-Right-Pointing-Pointer Activation energies of the two peaks were 39.3 and 28.9 meV,respectively. Black-Right-Pointing-Pointer Exciton radiative lifetime of the two peaks increased with increasing temperature.

  15. Critical thickness for Nb nanofilm on sapphire substrate: a critical analysis using finite element method

    International Nuclear Information System (INIS)

    Kumar, Arun; Subramaniam, Anandh

    2009-01-01

    Full text: On growth beyond critical thickness, interfacial misfit dislocations partially relax the misfit strains, in epitaxially grown nanofilms. In this study the stress state and growth of nanofilms is simulated using Finite Element Method (FEM); by imposing stress-free strains, corresponding to the lattice mismatch between Nb nanofilm and Sapphire substrate. On growth of the Nb nanofilm, a triangular network of edge misfit dislocations nucleates at the (0001) Al2ο3 || (111) Nb , interface. Using a combined simulation of a coherently strained nanofilm and an edge dislocation, the equilibrium criterion for the nucleation of an edge dislocation is determined. Theoretical analyses in literature use only the component of the Burger's vector parallel to the interface, which is an erroneous description of the stress state and energetics of the system. In this investigation the full interfacial edge dislocation is simulated using standard commercially available software and comparisons are made with results available in literature to bring out the utility of the methodology

  16. Temperature, Crystalline Phase and Influence of Substrate Properties in Intense Pulsed Light Sintering of Copper Sulfide Nanoparticle Thin Films.

    Science.gov (United States)

    Dexter, Michael; Gao, Zhongwei; Bansal, Shalu; Chang, Chih-Hung; Malhotra, Rajiv

    2018-02-02

    Intense Pulsed Light sintering (IPL) uses pulsed, visible light to sinter nanoparticles (NPs) into films used in functional devices. While IPL of chalcogenide NPs is demonstrated, there is limited work on prediction of crystalline phase of the film and the impact of optical properties of the substrate. Here we characterize and model the evolution of film temperature and crystalline phase during IPL of chalcogenide copper sulfide NP films on glass. Recrystallization of the film to crystalline covellite and digenite phases occurs at 126 °C and 155 °C respectively within 2-7 seconds. Post-IPL films exhibit p-type behavior, lower resistivity (~10 -3 -10 -4  Ω-cm), similar visible transmission and lower near-infrared transmission as compared to the as-deposited film. A thermal model is experimentally validated, and extended by combining it with a thermodynamic approach for crystal phase prediction and via incorporating the influence of film transmittivity and optical properties of the substrate on heating during IPL. The model is used to show the need to a-priori control IPL parameters to concurrently account for both the thermal and optical properties of the film and substrate in order to obtain a desired crystalline phase during IPL of such thin films on paper and polycarbonate substrates.

  17. Front Surface Tandem Filters using Sapphire (Al2O3) Substrates for Spectral Control in thermophotovoltaic Energy Conversion Systems

    International Nuclear Information System (INIS)

    T Rahmlow, Jr.; J Lazo-Wasem; E Gratrix; P Fourspring; D DePoy

    2005-01-01

    Front surface filters provide an effective means of improving thermophotovoltaic (TPV) system efficiency through spectral control of incident radiant energy. A front surface filter reflects the below band gap photons that can not be converted by the TPV cell back towards the high temperature radiator and allows convertible above band gap photons to pass through the filter into the TPV cell for conversion to electricity. The best spectral control efficiency to date has been demonstrated by front surface, tandem filters that combine an interference filter and an InPAs layer (plasma filter) in series. The InPAs material is a highly doped, epitaxially grown layer on an InP substrate. These tandem filter designs have been fabricated with energy and angle weighted spectral efficiencies of 76% for TPV cells with a 2.08(micro)m (0.6eV) band gap [1]. An alternative to the InPAs layer on an InP substrate is an Al 2 O 3 (sapphire) substrate. The use of Al 2 O 3 may increase transmission of above band gap photons, increase the mechanical strength of the tandem filter, and lower the cost of the tandem filter, all at the expense of lower spectral efficiency. This study presents design and fabrication results for front surface tandem filters that use an Al 2 O 3 substrate for 2.08(micro)m band gap TPV cells

  18. Neutron reflectivity study of substrate surface chemistry effects on supported phospholipid bilayer formation on (1120) sapphire.

    Energy Technology Data Exchange (ETDEWEB)

    Oleson, Timothy A. [University of Wisconsin, Madison; Sahai, Nita [University of Akron; Wesolowski, David J [ORNL; Dura, Joseph A [ORNL; Majkrzak, Charles F [ORNL; Giuffre, Anthony J. [University of Wisconsin, Madison

    2012-01-01

    Oxide-supported phospholipid bilayers (SPBs) used as biomimetric membranes are significant for a broad range of applications including improvement of biomedical devices and biosensors, and in understanding biomineralization processes and the possible role of mineral surfaces in the evolution of pre-biotic membranes. Continuous-coverage and/or stacjed SPBs retain properties (e.,g. fluidity) more similar to native biological membranes, which is desirable for most applications. Using neutron reflectivity, we examined face coverage and potential stacking of dipalmitoylphosphatidylcholine (DPPC) bilayers on the (1120) face of sapphire (a-Al2O3). Nearly full bilayers were formed at low to neutral pH, when the sapphire surface is positively charged, and at low ionic strength (l=15 mM NaCl). Coverage decreased at higher pH, close to the isoelectric point of sapphire, and also at high I>210mM, or with addition of 2mM Ca2+. The latter two effects are additive, suggesting that Ca2+ mitigates the effect of higher I. These trends agree with previous results for phospholipid adsorption on a-Al2O3 particles determined by adsorption isotherms and on single-crystal (1010) sapphire by atomic force microscopy, suggesting consistency of oxide surface chemistry-dependent effects across experimental techniques.

  19. Polar and Nonpolar Gallium Nitride and Zinc Oxide based thin film heterostructures Integrated with Sapphire and Silicon

    Science.gov (United States)

    Gupta, Pranav

    This dissertation work explores the understanding of the relaxation and integration of polar and non-polar of GaN and ZnO thin films with Sapphire and silicon substrates. Strain management and epitaxial analysis has been performed on wurtzitic GaN(0001) thin films grown on c-Sapphire and wurtzitic non-polar a-plane GaN(11-20) thin films grown on r-plane Sapphire (10-12) by remote plasma atomic nitrogen source assisted UHV Pulsed Laser Deposition process. It has been established that high-quality 2-dimensional c-axis GaN(0001) nucleation layers can be grown on c-Sapphire by PLD process at growth temperatures as low as ˜650°C. Whereas the c-axis GaN on c-sapphire has biaxially negative misfit, the crystalline anisotropy of the a-plane GaN films on r-Sapphire results in compressive and tensile misfits in the two major orthogonal directions. The measured strains have been analyzed in detail by X-ray, Raman spectroscopy and TEM. Strain relaxation in GaN(0001)/Sapphire thin film heterostructure has been explained by the principle of domain matched epitaxial growth in large planar misfit system and has been demonstrated by TEM study. An attempt has been made to qualitatively understand the minimization of free energy of the system from the strain perspective. Analysis has been presented to quantify the strain components responsible for the compressive strain observed in the GaN(0001) thin films on c-axis Sapphire substrates. It was also observed that gallium rich deposition conditions in PLD process lead to smoother nucleation layers because of higher ad-atom mobility of gallium. We demonstrate near strain relaxed epitaxial (0001) GaN thin films grown on (111) Si substrates using TiN as intermediate buffer layer by remote nitrogen plasma assisted UHV pulsed laser deposition (PLD). Because of large misfits between the TiN/GaN and TiN/Si systems the TIN buffer layer growth occurs via nucleation of interfacial dislocations under domain matching epitaxy paradigm. X-ray and

  20. High performance sapphire windows

    Science.gov (United States)

    Bates, Stephen C.; Liou, Larry

    1993-02-01

    High-quality, wide-aperture optical access is usually required for the advanced laser diagnostics that can now make a wide variety of non-intrusive measurements of combustion processes. Specially processed and mounted sapphire windows are proposed to provide this optical access to extreme environment. Through surface treatments and proper thermal stress design, single crystal sapphire can be a mechanically equivalent replacement for high strength steel. A prototype sapphire window and mounting system have been developed in a successful NASA SBIR Phase 1 project. A large and reliable increase in sapphire design strength (as much as 10x) has been achieved, and the initial specifications necessary for these gains have been defined. Failure testing of small windows has conclusively demonstrated the increased sapphire strength, indicating that a nearly flawless surface polish is the primary cause of strengthening, while an unusual mounting arrangement also significantly contributes to a larger effective strength. Phase 2 work will complete specification and demonstration of these windows, and will fabricate a set for use at NASA. The enhanced capabilities of these high performance sapphire windows will lead to many diagnostic capabilities not previously possible, as well as new applications for sapphire.

  1. Substrates effect on Zn1-xMnxO thin films grown by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Elanchezhiyan, J.; Bhuvana, K.P.; Gopalakrishnan, N.; Balasubramanian, T.

    2008-01-01

    In this paper, we have presented the surface effect of the substrates on Mn doped ZnO (Zn 1-x Mn x O) thin films grown on Si(1 0 0) and sapphire [i.e. Al 2 O 3 (0 0 0 1)] by RF magnetron sputtering. These grown films have been characterized by X-ray diffraction (XRD), photoluminescence (PL) and vibrating sample magnetometer (VSM) to know its structural, optical and magnetic properties. All these properties have been found to be strongly influenced by the substrate surface on which the films have been deposited. The XRD results show that the Mn doped ZnO films deposited on Si(1 0 0) exhibit a polycrystalline nature whereas the films on sapphire substrate have only (0 0 2) preferential orientations indicating that the films are single crystalline. The studies of room temperature PL spectra reveal that the Zn 1-x Mn x O/Si(1 0 0) system is under severe compressive strain while the strain is almost relaxed in Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system. It has been observed from VSM studies that Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system shows ferromagnetic nature while the paramagnetic behaviour observed in Zn 1-x Mn x O/Si(1 0 0) system

  2. P-type single-crystalline ZnO films obtained by (N,O) dual implantation through dynamic annealing process

    Science.gov (United States)

    Zhang, Zhiyuan; Huang, Jingyun; Chen, Shanshan; Pan, Xinhua; Chen, Lingxiang; Ye, Zhizhen

    2016-12-01

    Single-crystalline ZnO films were grown on a-plane sapphire substrates by plasma-assisted molecular beam epitaxy technique. The films have been implanted with fixed fluence of 120 keV N and 130 keV O ions at 460 °C. Hall measurements show that the dually-implanted single-crystalline ZnO films exhibit p-type characteristics with hole concentration in the range of 2.1 × 1018-1.1 × 1019 cm-3, hole mobilities between 1.6 and 1.9 cm2 V-1 s-1, and resistivities in the range of 0.353-1.555 Ω cm. The ZnO films exhibit (002) (c-plane) orientation as identified by the X-ray diffraction pattern. It is confirmed that N ions were effectively implanted by SIMS results. Raman spectra, polarized Raman spectra, and X-ray photoelectron spectroscopy results reflect that the concentration of oxygen vacancies is reduced, which is attributed to O ion implantation. It is concluded that N and O implantation and dynamic annealing play a critical role in forming p-type single-crystalline ZnO films.

  3. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    Science.gov (United States)

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  4. Ultrasensitive label-free detection of DNA hybridization by sapphire-based graphene field-effect transistor biosensor

    Science.gov (United States)

    Xu, Shicai; Jiang, Shouzhen; Zhang, Chao; Yue, Weiwei; Zou, Yan; Wang, Guiying; Liu, Huilan; Zhang, Xiumei; Li, Mingzhen; Zhu, Zhanshou; Wang, Jihua

    2018-01-01

    Graphene has attracted much attention in biosensing applications for its unique properties. Because of one-atom layer structure, every atom of graphene is exposed to the environment, making the electronic properties of graphene are very sensitive to charged analytes. Therefore, graphene is an ideal material for transistors in high-performance sensors. Chemical vapor deposition (CVD) method has been demonstrated the most successful method for fabricating large area graphene. However, the conventional CVD methods can only grow graphene on metallic substrate and the graphene has to be transferred to the insulating substrate for further device fabrication. The transfer process creates wrinkles, cracks, or tears on the graphene, which severely degrade electrical properties of graphene. These factors severely degrade the sensing performance of graphene. Here, we directly fabricated graphene on sapphire substrate by high temperature CVD without the use of metal catalysts. The sapphire-based graphene was patterned and make into a DNA biosensor in the configuration of field-effect transistor. The sensors show high performance and achieve the DNA detection sensitivity as low as 100 fM (10-13 M), which is at least 10 times lower than prior transferred CVD G-FET DNA sensors. The use of the sapphire-based G-FETs suggests a promising future for biosensing applications.

  5. Influence of substrate dc bias on crystallinity of silicon films grown at a high rate from inductively-coupled plasma CVD

    International Nuclear Information System (INIS)

    Kosku, N.; Murakami, H.; Higashi, S.; Miyazaki, S.

    2005-01-01

    We have investigated the effect of substrate bias on the microcrystalline film growth from inductively-coupled plasma (ICP) of H 2 -diluted SiH 4 at 250 deg. C to get an insight on the role of ion and electron incidence for the crystallization. By applying dc bias voltage to the substrate in the range of -20 ∼ 20 V during the film growth, the crystallinity is improved significantly with no significant change in the deposition rate, but in contrast the application of biases as high as ±50 V degrades the crystallinity. These results indicate that the incidence of ions or electrons with a moderate energy to the growing film surface promotes the nucleation and the growth of crystallites. Also, the optimum bias condition for the crystallization is changed with the antenna-substrate distance, which suggests the contribution of hydrogen radical flux to the crystalline film growth

  6. Effects of crystalline quality and electrode material on fatigue in Pb(Zr,Ti)O3 thin film capacitors

    Science.gov (United States)

    Lee, J.; Johnson, L.; Safari, A.; Ramesh, R.; Sands, T.; Gilchrist, H.; Keramidas, V. G.

    1993-07-01

    Pb(Zr(0.52)Ti(0.48))O3 (PZT)/Y1Ba2Cu3O(x) (YBCO) heterostructures were grown by pulsed laser deposition, in which PZT films were epitaxial, highly oriented, or polycrystalline. These PZT films were obtained by varying the deposition temperature from 550 to 760 C or by using various substrates such as SrTiO3 (100), MgO (100), and r-plane sapphire. PZT films with Pt top electrodes exhibited large fatigue with 35-50 percent loss of the remanent polarization after 10 exp 9 cycles, depending on the crystalline quality. Polycrystalline films showed better fatigue resistance than epitaxial or highly oriented films. However, PZT films with both top and bottom YBCO electrodes had significantly improved fatigue resistance for both epitaxial and polycrystalline films. Electrode material seems to be a more important parameter in fatigue than the crystalline quality of the PZT films.

  7. Effect of Top-Region Area of Flat-Top Pyramid Patterned Sapphire Substrate on the Optoelectronic Performance of GaN-Based Light-Emitting Diodes

    Directory of Open Access Journals (Sweden)

    Hsu-Hung Hsueh

    2016-01-01

    Full Text Available The flat-top pyramid patterned sapphire substrates (FTP-PSSs have been prepared for the growth of GaN epilayers and the fabrication of lateral-type light-emitting diodes (LEDs with an emission wavelength of approximately 470 nm. Three kinds of FTP-PSSs, which were denoted as FTP-PSS-A, FTP-PSS-B, and FTP-PSS-C, respectively, were formed through the sequential wet etching processes. The diameters of circle areas on the top regions of these three FTP-PSSs were 1, 2, and 3 μm, respectively. Based on the X-ray diffraction results, the full-width at half-maximum values of rocking curves at (002 plane for the GaN epilayers grown on conventional sapphire substrate (CSS, FTP-PSS-A, FTP-PSS-B, and FTP-PSS-C were 412, 238, 346, and 357 arcsec, while these values at (102 plane were 593, 327, 352, and 372 arcsec, respectively. The SpeCLED-Ratro simulation results reveal that the LED prepared on FTP-PSS-A has the highest light extraction efficiency than that of the other devices. At an injection current of 350 mA, the output powers of LEDs fabricated on CSS, FTP-PSS-A, FTP-PSS-B, and FTP-PSS-C were 157, 254, 241, and 233 mW, respectively. The results indicate that both the crystal quality of GaN epilayer and the light extraction of LED can be improved via the use of FTP-PSS, especially for the FTP-PSS-A.

  8. InN-based layers grown by modified HVPE

    International Nuclear Information System (INIS)

    Syrkin, A.; Usikov, A.; Soukhoveev, V.; Kovalenkov, O.; Ivantsov, V.; Dmitriev, V.; Collins, C.; Readinger, E.; Shmidt, N.; Davydov, V.; Nikishin, S.; Kuryatkov, V.; Song, D.; Rosenbladt, D.; Holtz, Mark

    2006-01-01

    This paper contains results on InN and InGaN growth by Hydride Vapor Phase Epitaxy (HVPE) on various substrates including sapphire and GaN/sapphire, AlGaN/sapphire, and AlN/sapphire templates. The growth processes are carried out at atmospheric pressure in a hot wall reactor in the temperature range from 500 to 750 and ordm;C. Continuous InN layers are grown on GaN/sapphire template substrates. Textured InN layers are deposited on AlN/sapphire and AlGaN/sapphire templates. Arrays of nano-crystalline InN rods with various shapes are grown directly on sapphire substrates. X-ray diffraction rocking curves for the (002)InN reflection have the full width at half maximum (FWHM) as narrow as 270 arcsec for the nano-rods and 460 arcsec for the continuous layers. In x Ga 1-x N layers with InN content up to 10 mol.% are grown on GaN/sapphire templates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Enhanced c-axis orientation of aluminum nitride thin films by plasma-based pre-conditioning of sapphire substrates for SAW applications

    Science.gov (United States)

    Gillinger, M.; Shaposhnikov, K.; Knobloch, T.; Stöger-Pollach, M.; Artner, W.; Hradil, K.; Schneider, M.; Kaltenbacher, M.; Schmid, U.

    2018-03-01

    Aluminum nitride (AlN) on sapphire has been investigated with two different pretreatments prior to sputter deposition of the AlN layer to improve the orientation and homogeneity of the thin film. An inverse sputter etching of the substrate in argon atmosphere results in an improvement of the uniformity of the alignment of the AlN grains and hence, in enhanced electro-mechanical AlN film properties. This effect is demonstrated in the raw measurements of SAW test devices. Additionally, the impulse response of several devices shows that a poor AlN thin film layer quality leads to a higher signal damping during the transduction of energy in the inter-digital transducers. As a result, the triple-transit signal cannot be detected at the receiver.

  10. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  11. Structural, transport and microwave properties of 123/sapphire films: Thickness effect

    Energy Technology Data Exchange (ETDEWEB)

    Predtechensky, MR.; Smal, A.N.; Varlamov, Y.D. [Institute of Thermophysics, Novosibirsk (Russian Federation)] [and others

    1994-12-31

    The effect of thickness and growth conditions on the structure and microwave properties has been investigated for the 123/sapphire films. It has been shown that in the conditions of epitaxial growth and Al atoms do not diffuse from substrate into the film and the films with thickness up to 100nm exhibit the excellent DC properties. The increase of thickness of GdBaCuO films causes the formation of extended line-mesh defects and the increase of the surface resistance (R{sub S}). The low value of surface resistance R{sub S}(75GHz,77K)=20 mOhm has been obtained for the two layer YBaCuO/CdBaCuO/sapphire films.

  12. Gate-Recessed AlGaN/GaN MOSHEMTs with the Maximum Oscillation Frequency Exceeding 120 GHz on Sapphire Substrates

    International Nuclear Information System (INIS)

    Kong Xin; Wei Ke; Liu Guo-Guo; Liu Xin-Yu

    2012-01-01

    Gate-recessed AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) on sapphire substrates are fabricated. The devices with a gate length of 160 nm and a gate periphery of 2 × 75 μm exhibit two orders of magnitude reduction in gate leakage current and enhanced off-state breakdown characteristics, compared with conventional HEMTs. Furthermore, the extrinsic transconductance of an MOSHEMT is 237.2 mS/mm, only 7% lower than that of Schottky-gate HEMT. An extrinsic current gain cutoff frequency f T of 65 GHz and a maximum oscillation frequency f max of 123 GHz are deduced from rf small signal measurements. The high f max demonstrates that gate-recessed MOSHEMTs are of great potential in millimeter wave frequencies. (cross-disciplinary physics and related areas of science and technology)

  13. P-type single-crystalline ZnO films obtained by (Na,N) dual implantation through dynamic annealing process

    Science.gov (United States)

    Zhang, Zhiyuan; Huang, Jingyun; Chen, Shanshan; Pan, Xinhua; Chen, Lingxiang; Ye, Zhizhen

    2018-02-01

    Single-crystalline ZnO films were grown by plasma-assisted molecular beam epitaxy technique on c-plane sapphire substrates. The films have been implanted with fixed fluence of 130 keV Na and 90 keV N ions at 460 °C. It is observed that dually-implanted single crystalline ZnO films exhibit p-type characteristics with hole concentration in the range of 1.24 × 1016-1.34 × 1017 cm-3, hole mobilities between 0.65 and 8.37 cm2 V-1 s-1, and resistivities in the range of 53.3-80.7 Ω cm by Hall-effect measurements. There are no other secondary phase appearing, with (0 0 2) (c-plane) orientation after ion implantation as identified by the X-ray diffraction pattern. It is obtained that Na and N ions were successfully implanted and activated as acceptors measured by XPS and SIMS results. Also compared to other similar studies, lower amount of Na and N ions make p-type characteristics excellent as others deposited by traditional techniques. It is concluded that Na and N ion implantation and dynamic annealing are essential in forming p-type single-crystalline ZnO films.

  14. Influence of TMAl preflow on AlN epitaxy on sapphire

    KAUST Repository

    Sun, Haiding; Wu, Feng; Park, Young Jae; Al tahtamouni, T. M.; Li, Kuang-Hui; Alfaraj, Nasir; Detchprohm, Theeradetch; Dupuis, Russell D.; Li, Xiaohang

    2017-01-01

    The trimethylaluminum (TMAl) preflow process has been widely applied on sapphire substrates prior to growing Al-polar AlN films by metalorganic chemical vapor deposition. However, it has been unclear how the TMAl preflow process really works. In this letter, we reported on carbon's significance in the polarity and growth mode of AlN films due to the TMAl preflow. Without the preflow, no trace of carbon was found at the AlN/sapphire interface and the films possessed mixed Al- and N-polarity. With the 5 s preflow, carbon started to precipitate due to the decomposition of TMAl, forming scattered carbon-rich clusters which were graphitic carbon. It was discovered that the carbon attracted surrounding oxygen impurity atoms and consequently suppressed the formation of AlxOyNz and thus N-polarity. With the 40 s preflow, the significant presence of carbon clusters at the AlN/sapphire interface was observed. While still attracting oxygen and preventing the N-polarity, the carbon clusters served as randomly distributed masks to further induce a 3D growth mode for the AlN growth. The corresponding epitaxial growth mode change is discussed.

  15. Influence of TMAl preflow on AlN epitaxy on sapphire

    KAUST Repository

    Sun, Haiding

    2017-05-12

    The trimethylaluminum (TMAl) preflow process has been widely applied on sapphire substrates prior to growing Al-polar AlN films by metalorganic chemical vapor deposition. However, it has been unclear how the TMAl preflow process really works. In this letter, we reported on carbon\\'s significance in the polarity and growth mode of AlN films due to the TMAl preflow. Without the preflow, no trace of carbon was found at the AlN/sapphire interface and the films possessed mixed Al- and N-polarity. With the 5 s preflow, carbon started to precipitate due to the decomposition of TMAl, forming scattered carbon-rich clusters which were graphitic carbon. It was discovered that the carbon attracted surrounding oxygen impurity atoms and consequently suppressed the formation of AlxOyNz and thus N-polarity. With the 40 s preflow, the significant presence of carbon clusters at the AlN/sapphire interface was observed. While still attracting oxygen and preventing the N-polarity, the carbon clusters served as randomly distributed masks to further induce a 3D growth mode for the AlN growth. The corresponding epitaxial growth mode change is discussed.

  16. Linearly polarized photoluminescence of anisotropically strained c-plane GaN layers on stripe-shaped cavity-engineered sapphire substrate

    Science.gov (United States)

    Kim, Jongmyeong; Moon, Daeyoung; Lee, Seungmin; Lee, Donghyun; Yang, Duyoung; Jang, Jeonghwan; Park, Yongjo; Yoon, Euijoon

    2018-05-01

    Anisotropic in-plane strain and resultant linearly polarized photoluminescence (PL) of c-plane GaN layers were realized by using a stripe-shaped cavity-engineered sapphire substrate (SCES). High resolution X-ray reciprocal space mapping measurements revealed that the GaN layers on the SCES were under significant anisotropic in-plane strain of -0.0140% and -0.1351% along the directions perpendicular and parallel to the stripe pattern, respectively. The anisotropic in-plane strain in the GaN layers was attributed to the anisotropic strain relaxation due to the anisotropic arrangement of cavity-incorporated membranes. Linearly polarized PL behavior such as the observed angle-dependent shift in PL peak position and intensity comparable with the calculated value based on k.p perturbation theory. It was found that the polarized PL behavior was attributed to the modification of valence band structures induced by anisotropic in-plane strain in the GaN layers on the SCES.

  17. Effects of the crystallographic orientation of the Al2O3 substrate on the structural and the optical properties of ZnO thin films

    International Nuclear Information System (INIS)

    Lee, Chongmu; Park, Yeonkyu; Kim, Kyungha

    2006-01-01

    The structure and the optical properties of ZnO thin films grown on (0002) C-plane, (1120) A-plane, and (1012) R-plane sapphire substrates by using atomic layer epitaxy (ALE) were investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), and photoluminescence analysis (PL) techniques. The ZnO film grown on the C-plane sapphire substrate has the smallest full width at half maximum (FWHM) values for both the X-ray (0002) diffraction peak and the photoluminescence peak for near-band-edge emission whereas that grown on the R-plane sapphire substrate has the largest FWHM values. On the other hand, the ZnO film grown on the C-plane sapphire substrate has the strong texture of the c-axis but the roughest surface while those grown on the R- and the C-plane sapphire substrates have smoother surfaces but do not have the texture of the c-axis.

  18. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, C/Dr. Moliner 50, 46100 Burjassot (Spain)

    2005-02-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including {theta}-2{theta} scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    International Nuclear Information System (INIS)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V.

    2005-01-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including θ-2θ scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  1. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  2. Photonics of 2D gold nanolayers on sapphire surface

    Energy Technology Data Exchange (ETDEWEB)

    Muslimov, A. E., E-mail: amuslimov@mail.ru; Butashin, A. V.; Nabatov, B. V. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Research Center “Crystallography and Photonics” (Russian Federation); Konovko, A. A.; Belov, I. V.; Gizetdinov, R. M.; Andreev, A. V. [Moscow State University (Russian Federation); Kanevsky, V. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Research Center “Crystallography and Photonics” (Russian Federation)

    2017-03-15

    Gold layers with thicknesses of up to several nanometers, including ordered and disordered 2D nanostructures of gold particles, have been formed on sapphire substrates; their morphology is described; and optical investigations are carried out. The possibility of increasing the accuracy of predicting the optical properties of gold layers and 2D nanostructures using quantum-mechanical models based on functional density theory calculation techniques is considered. The application potential of the obtained materials in photonics is estimated.

  3. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  4. Crystalline, Optical and Electrical Properties of NiZnO Thin Films Fabricated by MOCVD

    International Nuclear Information System (INIS)

    Wang Jin; Wang Hui; Zhao Wang; Ma Yan; Li Wan-Cheng; Shi Zhi-Feng; Zhao Long; Zhang Bao-Lin; Dong Xin; Du Guo-Tong; Xia Xiao-Chuan

    2011-01-01

    NiZnO thin films are grown on c-plane sapphire substrates by using a photo-assisted metal organic chemical vapor deposition (MOCVD) system. The effect of the Ni content on the crystalline, optical and electrical properties of the films are researched in detail. The NiZnO films could retain a basic wurtzite structure when the Ni content is less than 0.18. As Ni content increases, crystal quality degradation could be observed in the x-ray diffraction patterns and a clear red shift of the absorption edge can be observed in the transmittance spectrum. Furthermore, the donor defects in the NiZnO film can be compensated for effectively by increasing the Ni content. The change of Ni content has an important effect on the properties of NiZnO films. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Differences in structure and magnetic behavior of Mn-AlN films due to substrate material

    International Nuclear Information System (INIS)

    Sato, Takanobu; Nakatani, Ryoichi; Endo, Yasushi; Kirino, Fumiyoshi

    2009-01-01

    The structure and magnetic behavior of Mn-AlN (Al 1-x Mn x N, x = 0.03, 0.04) films deposited on thermally oxidized Si (001) substrates and sapphire (0001) substrates were studied. Mn-AlN films deposited on each substrate had a wuertzite-type AlN phase with a preferentially oriented c-axis. Mn-AlN films that were deposited on Si (001) substrate exhibited paramagnetic behavior. In addition to paramagnetic behavior, weak ferromagnetic behavior with curie temperatures higher than room temperature were observed for Mn-AlN films deposited on sapphire (0001) substrates.

  6. Barium diffusion in metallo-organic solution deposited barrier layers and Y1Ba2Cu3O7-x films

    International Nuclear Information System (INIS)

    Lipeles, R.A.; Leung, M.S.; Thiede, D.A.

    1990-01-01

    This paper reports on barium silicate and barium aluminate films that were studied for use as chemical reaction and diffusion barrier layers for Y 1 Ba 2 Cu 3 O 7-x (YBC) deposited on sapphire and fused silica substrates by the sol-gel technique. Depth profiling by secondary ion mass spectrometry (SIMS) was used to characterize the abruptness of the interfaces between the barrier layer and the YBC film as well as the barrier layer and the substrate. The authors found that barium aluminate films reacted with fused silica substrates forming a coarse-grained barium silicate phase. Barium silicate, BaSiO 3 , also reacted with silica substrates forming a broad, amorphous reaction zone containing some BaSi 2 O 5 . Although barium silicate and barium aluminate deposited on sapphire formed a BaAl 12 O 19 phase, they provided a barrier to barium diffusion from sol-gel deposited YBC. Crystalline barium aluminate grown on c-cut sapphire was the most effective barrier layer for the growth of YBC films; compositionally uniform YBC films were made similar to that grown on strontium titanate substrates. These data show that chemically stable, crystalline films are more effective barrier layers than amorphous films

  7. Wrinkle-Free Single-Crystal Graphene Wafer Grown on Strain-Engineered Substrates.

    Science.gov (United States)

    Deng, Bing; Pang, Zhenqian; Chen, Shulin; Li, Xin; Meng, Caixia; Li, Jiayu; Liu, Mengxi; Wu, Juanxia; Qi, Yue; Dang, Wenhui; Yang, Hao; Zhang, Yanfeng; Zhang, Jin; Kang, Ning; Xu, Hongqi; Fu, Qiang; Qiu, Xiaohui; Gao, Peng; Wei, Yujie; Liu, Zhongfan; Peng, Hailin

    2017-12-26

    Wrinkles are ubiquitous for graphene films grown on various substrates by chemical vapor deposition at high temperature due to the strain induced by thermal mismatch between the graphene and substrates, which greatly degrades the extraordinary properties of graphene. Here we show that the wrinkle formation of graphene grown on Cu substrates is strongly dependent on the crystallographic orientations. Wrinkle-free single-crystal graphene was grown on a wafer-scale twin-boundary-free single-crystal Cu(111) thin film fabricated on sapphire substrate through strain engineering. The wrinkle-free feature of graphene originated from the relatively small thermal expansion of the Cu(111) thin film substrate and the relatively strong interfacial coupling between Cu(111) and graphene, based on the strain analyses as well as molecular dynamics simulations. Moreover, we demonstrated the transfer of an ultraflat graphene film onto target substrates from the reusable single-crystal Cu(111)/sapphire growth substrate. The wrinkle-free graphene shows enhanced electrical mobility compared to graphene with wrinkles.

  8. Photoelectrochemical liftoff of LEDs grown on freestanding c-plane GaN substrates

    KAUST Repository

    Hwang, David

    2016-09-23

    We demonstrate a thin-film flip-chip (TFFC) process for LEDs grown on freestanding c-plane GaN substrates. LEDs are transferred from a bulk GaN substrate to a sapphire submount via a photoelectrochemical (PEC) undercut etch. This PEC liftoff method allows for substrate reuse and exposes the N-face of the LEDs for additional roughening. The LEDs emitted at a wavelength of 432 nm with a turn on voltage of ~3 V. Etching the LEDs in heated KOH after transferring them to a sapphire submount increased the peak external quantum efficiency (EQE) by 42.5% from 9.9% (unintentionally roughened) to 14.1% (intentionally roughened).

  9. Preparation of a Non-Polar ZnO Film on a Single-Crystal NdGaO3 Substrate by the RF Sputtering Method

    Science.gov (United States)

    Kashiwaba, Y.; Tanaka, Y.; Sakuma, M.; Abe, T.; Imai, Y.; Kawasaki, K.; Nakagawa, A.; Niikura, I.; Kashiwaba, Y.; Osada, H.

    2018-04-01

    Preparation of non-polar ZnO ( 11\\overline{2} 0 ) films on single-crystal NdGaO3 (NGO) (001) substrates was successfully achieved by the radio frequency (RF) sputtering method. Orientation, deposition rate, and surface roughness of ZnO films strongly depend on the working pressure. Characteristics of ZnO films deposited on single-crystal NGO (001) substrates were compared with those of ZnO films deposited on single-crystal sapphire ( 01\\overline{1} 2 ) substrates. An x-ray diffraction peak of the ZnO ( 11\\overline{2} 0 ) plane was observed on ZnO films deposited on single-crystal NGO (001) substrates under working pressure of less than 0.5 Pa. On the other hand, uniaxially oriented ZnO ( 11\\overline{2} 0 ) films on single-crystal sapphire ( 01\\overline{1} 2 ) substrates were observed under working pressure of 0.1 Pa. The mechanism by which the diffraction angle of the ZnO ( 11\\overline{2} 0 ) plane on single-crystal NGO (001) substrates was shifted is discussed on the basis of anisotropic stress of lattice mismatch. The deposition rate of ZnO films decreased with an increase in working pressure, and the deposition rate on single-crystal NGO (001) substrates was larger than that on single-crystal sapphire ( 01\\overline{1} 2 ) substrates. Root mean square (RMS) roughness of ZnO films increased with an increase in working pressure, and RMS roughness of ZnO films on single-crystal NGO (001) substrates was smaller than that of ZnO films on single-crystal sapphire ( 01\\overline{1} 2 ) substrates even though the film thickness on single-crystal NGO (001) substrates was greater than that on sapphire substrates. It is thought that a single-crystal NGO (001) substrate is useful for deposition of non-polar ZnO ( 11\\overline{2} 0 ) films.

  10. N-polar InGaN-based LEDs fabricated on sapphire via pulsed sputtering

    OpenAIRE

    Kohei Ueno; Eiji Kishikawa; Jitsuo Ohta; Hiroshi Fujioka

    2017-01-01

    High-quality N-polar GaN epitaxial films with an atomically flat surface were grown on sapphire (0001) via pulsed sputtering deposition, and their structural and electrical properties were investigated. The crystalline quality of N-polar GaN improves with increasing film thickness and the full width at half maximum values of the x-ray rocking curves for 0002 and 101¯2 diffraction were 313 and 394 arcsec, respectively, at the film thickness of 6μm. Repeatable p-type doping in N-polar GaN films...

  11. Analysis of current-driven oscillatory dynamics of single-layer homoepitaxial islands on crystalline conducting substrates

    Science.gov (United States)

    Dasgupta, Dwaipayan; Kumar, Ashish; Maroudas, Dimitrios

    2018-03-01

    We report results of a systematic study on the complex oscillatory current-driven dynamics of single-layer homoepitaxial islands on crystalline substrate surfaces and the dependence of this driven dynamical behavior on important physical parameters, including island size, substrate surface orientation, and direction of externally applied electric field. The analysis is based on a nonlinear model of driven island edge morphological evolution that accounts for curvature-driven edge diffusion, edge electromigration, and edge diffusional anisotropy. Using a linear theory of island edge morphological stability, we calculate a critical island size at which the island's equilibrium edge shape becomes unstable, which sets a lower bound for the onset of time-periodic oscillatory dynamical response. Using direct dynamical simulations, we study the edge morphological dynamics of current-driven single-layer islands at larger-than-critical size, and determine the actual island size at which the migrating islands undergo a transition from steady to time-periodic asymptotic states through a subcritical Hopf bifurcation. At the highest symmetry of diffusional anisotropy examined, on {111} surfaces of face-centered cubic crystalline substrates, we find that more complex stable oscillatory states can be reached through period-doubling bifurcation at island sizes larger than those at the Hopf points. We characterize in detail the island morphology and dynamical response at the stable time-periodic asymptotic states, determine the range of stability of these oscillatory states terminated by island breakup, and explain the morphological features of the stable oscillating islands on the basis of linear stability theory.

  12. Micromachining and dicing of sapphire, gallium nitride and micro LED devices with UV copper vapour laser

    International Nuclear Information System (INIS)

    Gu, E.; Jeon, C.W.; Choi, H.W.; Rice, G.; Dawson, M.D.; Illy, E.K.; Knowles, M.R.H.

    2004-01-01

    Gallium nitride (GaN) and sapphire are important materials for fabricating photonic devices such as high brightness light emitting diodes (LEDs). These materials are strongly resistant to wet chemical etching and also, low etch rates restrict the use of dry etching. Thus, to develop alternative high resolution processing and machining techniques for these materials is important in fabricating novel photonic devices. In this work, a repetitively pulsed UV copper vapour laser (255 nm) has been used to machine and dice sapphire, GaN and micro LED devices. Machining parameters were optimised so as to achieve controllable machining and high resolution. For sapphire, well-defined grooves 30 μm wide and 430 μm deep were machined. For GaN, precision features such as holes on a tens of micron length scale have been fabricated. By using this technique, compact micro LED chips with a die spacing 100 and a 430 μm thick sapphire substrate have been successfully diced. Measurements show that the performances of LED devices are not influenced by the UV laser machining. Our results demonstrate that the pulsed UV copper vapour laser is a powerful tool for micromachining and dicing of photonic materials and devices

  13. Recovery Act : Near-Single-Crystalline Photovoltaic Thin Films on Polycrystalline, Flexible Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Venkat Selvamanickam; Alex Freundlich

    2010-11-29

    III-V photovoltaics have exhibited efficiencies above 40%, but have found only a limited use because of the high cost of single crystal substrates. At the other end of the spectrum, polycrystalline and amorphous thin film solar cells offer the advantage of low-cost fabrication, but have not yielded high efficiencies. Our program is based on single-crystalline-like thin film photovoltaics on polycrystalline substrates using biaxially-textured templates made by Ion Beam-Assisted Deposition (IBAD). MgO templates made by IBAD on flexible metal substrate have been successfully used for epitaxial growth of germanium films. In spite of a 4.5% lattice mismatch, heteroepitaxial growth of Ge was achieved on CeO2 that was grown on IBAD MgO template. Room temperature optical bandgap of the Ge films was identified at 0.67 eV indicating minimal residual strain. Refraction index and extinction coefficient values of the Ge films were found to match well with that measured from a reference Ge single crystal. GaAs has been successfully grown epitaxially on Ge on metal substrate by molecular beam epitaxy. RHEED patterns indicate self annihilation of antiphase boundaries and the growth of a single domain GaAs. The GaAs is found to exhibit strong photoluminescence signal and, an existence of a relatively narrow (FWHM~20 meV) band-edge excitons measured in this film indicates a good optoelectronic quality of deposited GaAs. While excellent epitaxial growth has been achieved in GaAs on flexible metal substrates, the defect density of the films as measured by High Resolution X-ray Diffraction and etch pit experiments showed a high value of 5 * 10^8 per cm^2. Cross sectional transmission electron microscopy of the multilayer architecture showed concentration of threading dislocations near the germanium-ceria interface. The defect density was found decrease as the Ge films were made thicker. The defects appear to originate from the MgO layer presumably because of large lattice mismatches

  14. Research Progress and Development of Sapphire Fiber Sensor

    Directory of Open Access Journals (Sweden)

    Guochang ZHAO

    2014-07-01

    Full Text Available Sapphire fiber thermometers have become a new potential option in the field of high-temperature measurements. Recent research progress of sapphire fiber sensors is summarized; operational principles, advantages, disadvantages, and applications of sapphire fiber sensors are introduced. Research has shown that sapphire fiber sensors can be used to accurately measure very high temperatures in harsh environments and has been widely applied in fields such as aviation, metallurgy, the chemical industry, energy, and other high temperature measurement areas. Sapphire optical fiber temperature measurement technology will move toward miniaturization, intelligence following the advances in materials, micro-fabrication and communication technologies.

  15. Large scale metal-free synthesis of graphene on sapphire and transfer-free device fabrication.

    Science.gov (United States)

    Song, Hyun Jae; Son, Minhyeok; Park, Chibeom; Lim, Hyunseob; Levendorf, Mark P; Tsen, Adam W; Park, Jiwoong; Choi, Hee Cheul

    2012-05-21

    Metal catalyst-free growth of large scale single layer graphene film on a sapphire substrate by a chemical vapor deposition (CVD) process at 950 °C is demonstrated. A top-gated graphene field effect transistor (FET) device is successfully fabricated without any transfer process. The detailed growth process is investigated by the atomic force microscopy (AFM) studies.

  16. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  17. Formation of Au nanoparticles in sapphire by using Ar ion implantation and thermal annealing

    International Nuclear Information System (INIS)

    Zhou, L.H.; Zhang, C.H.; Yang, Y.T.; Li, B.S.; Zhang, L.Q.; Fu, Y.C.; Zhang, H.H.

    2009-01-01

    In this paper, we present results of the synthesis of gold nanoclusters in sapphire, using Ar ion implantation and annealing in air. Unlike the conventional method of Au implantation followed by thermal annealing, Au was deposited on the surface of m- and a- cut sapphire single crystal samples including those pre-implanted with Ar ions. Au atoms were brought into the substrate by subsequent implantation of Ar ions to form Au nanoparticles. Samples were finally annealed stepwisely in air at temperatures ranging from 400 to 800 deg. C and then studied using UV-vis absorption spectrometry, transmission electron microscopy and Rutherford backscattered spectrometry. Evidence of the formation Au nanoparticles in the sapphire can be obtained from the characteristic surface plasmon resonance (SPR) absorption band in the optical absorption spectra or directly from the transmission electron microscopy. The results of optical absorption spectra indicate that the specimen orientations and pre-implantation also influence the size and the volume fraction of Au nanoparticles formed. Theoretical calculations using Maxwell-Garnett effective medium theory supply a good interpretation of the optical absorption results.

  18. Natural substrate lift-off technique for vertical light-emitting diodes

    Science.gov (United States)

    Lee, Chia-Yu; Lan, Yu-Pin; Tu, Po-Min; Hsu, Shih-Chieh; Lin, Chien-Chung; Kuo, Hao-Chung; Chi, Gou-Chung; Chang, Chun-Yen

    2014-04-01

    Hexagonal inverted pyramid (HIP) structures and the natural substrate lift-off (NSLO) technique were demonstrated on a GaN-based vertical light-emitting diode (VLED). The HIP structures were formed at the interface between GaN and the sapphire substrate by molten KOH wet etching. The threading dislocation density (TDD) estimated by transmission electron microscopy (TEM) was reduced to 1 × 108 cm-2. Raman spectroscopy indicated that the compressive strain from the bottom GaN/sapphire was effectively released through the HIP structure. With the adoption of the HIP structure and NSLO, the light output power and yield performance of leakage current could be further improved.

  19. GaN-based light-emitting diodes on various substrates: a critical review.

    Science.gov (United States)

    Li, Guoqiang; Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Wang, Haiyan; Lin, Zhiting; Zhou, Shizhong

    2016-05-01

    GaN and related III-nitrides have attracted considerable attention as promising materials for application in optoelectronic devices, in particular, light-emitting diodes (LEDs). At present, sapphire is still the most popular commercial substrate for epitaxial growth of GaN-based LEDs. However, due to its relatively large lattice mismatch with GaN and low thermal conductivity, sapphire is not the most ideal substrate for GaN-based LEDs. Therefore, in order to obtain high-performance and high-power LEDs with relatively low cost, unconventional substrates, which are of low lattice mismatch with GaN, high thermal conductivity and low cost, have been tried as substitutes for sapphire. As a matter of fact, it is not easy to obtain high-quality III-nitride films on those substrates for various reasons. However, by developing a variety of techniques, distincts progress has been made during the past decade, with high-performance LEDs being successfully achieved on these unconventional substrates. This review focuses on state-of-the-art high-performance GaN-based LED materials and devices on unconventional substrates. The issues involved in the growth of GaN-based LED structures on each type of unconventional substrate are outlined, and the fundamental physics behind these issues is detailed. The corresponding solutions for III-nitride growth, defect control, and chip processing for each type of unconventional substrate are discussed in depth, together with a brief introduction to some newly developed techniques in order to realize LED structures on unconventional substrates. This is very useful for understanding the progress in this field of physics. In this review, we also speculate on the prospects for LEDs on unconventional substrates.

  20. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure

  1. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin; Min, Daehong; Kim, Jaehwan; Nam, Okhyun, E-mail: ohnam@kpu.ac.kr [Convergence Center for Advanced Nano Semiconductor (CANS), Department of Nano-Optical Engineering, Korea Polytechnic University, Siheung, 15073 (Korea, Republic of)

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclined angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.

  2. General Space-Confined On-Substrate Fabrication of Thickness-Adjustable Hybrid Perovskite Single-Crystalline Thin Films.

    Science.gov (United States)

    Chen, Yao-Xuan; Ge, Qian-Qing; Shi, Yang; Liu, Jie; Xue, Ding-Jiang; Ma, Jing-Yuan; Ding, Jie; Yan, Hui-Juan; Hu, Jin-Song; Wan, Li-Jun

    2016-12-21

    Organic-inorganic hybrid perovskite single-crystalline thin films (SCTFs) are promising for enhancing photoelectric device performance due to high carrier mobility, long diffusion length, and carrier lifetime. However, bulk perovskite single crystals available today are not suitable for practical device application due to the unfavorable thickness. Herein, we report a facile space-confined solution-processed strategy to on-substrate grow various hybrid perovskite SCTFs in a size of submillimeter with adjustable thicknesses from nano- to micrometers. These SCTFs exhibit photoelectric properties comparable to bulk single crystals with low defect density and good air stability. The clear thickness-dependent colors allow fast visual selection of SCTFs with a suitable thickness for specific device application. The present substrate-independent growth of perovskite SCTFs opens up opportunities for on-chip fabrication of diverse high-performance devices.

  3. Dispersion properties and low infrared optical losses in epitaxial AlN on sapphire substrate in the visible and infrared range

    International Nuclear Information System (INIS)

    Soltani, A.; Stolz, A.; Gerbedoen, J.-C.; Rousseau, M.; Bourzgui, N.; De Jaeger, J.-C.; Charrier, J.; Mattalah, M.; Barkad, H. A.; Mortet, V.; BenMoussa, A.

    2014-01-01

    Optical waveguiding properties of a thick wurtzite aluminum nitride highly [002]-textured hetero-epitaxial film on (001) basal plane of sapphire substrate are studied. The physical properties of the film are determined by X-ray diffraction, atomic force microscopy, microRaman, and photocurrent spectroscopy. The refractive index and the thermo-optic coefficients are determined by m-lines spectroscopy using the classical prism coupling technique. The optical losses of this planar waveguide are also measured in the spectral range of 450–1553 nm. The lower value of optical losses is equal to 0.7 dB/cm at 1553 nm. The optical losses due to the surface scattering are simulated showing that the contribution is the most significant at near infrared wavelength range, whereas the optical losses are due to volume scattering and material absorption in the visible range. The good physical properties and the low optical losses obtained from this planar waveguide are encouraging to achieve a wide bandgap optical guiding platform from these aluminum nitride thin films

  4. Dispersion properties and low infrared optical losses in epitaxial AlN on sapphire substrate in the visible and infrared range

    Energy Technology Data Exchange (ETDEWEB)

    Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr; Stolz, A.; Gerbedoen, J.-C.; Rousseau, M.; Bourzgui, N.; De Jaeger, J.-C. [Institut d' Électronique, Microélectronique et Nanotechnologie, UMR-CNRS 8520, PRES Université Lille Nord de France, Cité Scientifique, Avenue Poincaré, CS 60069, 59652 Villeneuve d' Ascq Cedex (France); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON, UMR-CNRS 6082, ENSSAT 6, rue de Kerampont, CS 80518, 22305 Lannion Cedex (France); Mattalah, M. [Laboratoire de Microélectronique, Université Djilali Liabes, 22000 Sidi Bel Abbes (Algeria); Barkad, H. A. [Institut Universitaire Technologique Industriel, Université de Djibouti, Avenue Georges Clémenceau, BP 1904 Djibouti (Djibouti); Mortet, V. [Institute of Physics of Academy of Sciences of Czech Republic, Fyzikální ústav AV CR, v.v.i., Na Slovance 1999/2 (Czech Republic); BenMoussa, A. [Solar Terrestrial Center of Excellence, Royal Observatory of Belgium, Circular 3, B-1180 Brussels (Belgium)

    2014-04-28

    Optical waveguiding properties of a thick wurtzite aluminum nitride highly [002]-textured hetero-epitaxial film on (001) basal plane of sapphire substrate are studied. The physical properties of the film are determined by X-ray diffraction, atomic force microscopy, microRaman, and photocurrent spectroscopy. The refractive index and the thermo-optic coefficients are determined by m-lines spectroscopy using the classical prism coupling technique. The optical losses of this planar waveguide are also measured in the spectral range of 450–1553 nm. The lower value of optical losses is equal to 0.7 dB/cm at 1553 nm. The optical losses due to the surface scattering are simulated showing that the contribution is the most significant at near infrared wavelength range, whereas the optical losses are due to volume scattering and material absorption in the visible range. The good physical properties and the low optical losses obtained from this planar waveguide are encouraging to achieve a wide bandgap optical guiding platform from these aluminum nitride thin films.

  5. Thermal degradation of ohmic contacts on semipolar (11-22) GaN films grown on m-plane (1-100) sapphire substrates

    International Nuclear Information System (INIS)

    Kim, Doo Soo; Kim, Deuk Young; Seo, Yong Gon; Kim, Ji Hoon; Hwang, Sung Min; Baik, Kwang Hyeon

    2012-01-01

    Semipolar (11-22) GaN films were grown on m-plane (1-100) sapphire substrates by using metalorganic chemical vapor deposition. The line widths of the omega rocking curves of the semipolar GaN films were 498 arcsec along the [11-23] GaN direction and 908 arcsec along the [10-10] GaN direction. The properties of the Ti/Al/Ni/Au metal contact were investigated using transmission-line-method patterns oriented in both the [11-23] GaN and the [10-10] GaN directions of semipolar (11-22) GaN. The minimum specific contact resistance of ∼3.6 x 10 -4 Ω·cm -2 was obtained on as-deposited metal contacts. The Ohmic contact properties of semipolar (11-22) GaN became degraded with increasing annealing temperature above 400 .deg. C. The thermal degradation of the metal contacts may be attributed to the surface property of N-polarity on the semipolar (11-22) GaN films. Also, the semipolar (11-22) GaN films did not show clear anisotropic behavior of the electrical properties for different azimuthal angles.

  6. Numerical simulation of terahertz-wave propagation in photonic crystal waveguide based on sapphire shaped crystal

    International Nuclear Information System (INIS)

    Zaytsev, Kirill I; Katyba, Gleb M; Mukhina, Elena E; Kudrin, Konstantin G; Karasik, Valeriy E; Yurchenko, Stanislav O; Kurlov, Vladimir N; Shikunova, Irina A; Reshetov, Igor V

    2016-01-01

    Terahertz (THz) waveguiding in sapphire shaped single crystal has been studied using the numerical simulations. The numerical finite-difference analysis has been implemented to characterize the dispersion and loss in the photonic crystalline waveguide containing hollow cylindrical channels, which form the hexagonal lattice. Observed results demonstrate the ability to guide the THz-waves in multi-mode regime in wide frequency range with the minimal power extinction coefficient of 0.02 dB/cm at 1.45 THz. This shows the prospectives of the shaped crystals for highly-efficient THz waveguiding. (paper)

  7. Phase formation and strain relaxation of Ga2O3 on c-plane and a-plane sapphire substrates as studied by synchrotron-based x-ray diffraction

    Science.gov (United States)

    Cheng, Zongzhe; Hanke, Michael; Vogt, Patrick; Bierwagen, Oliver; Trampert, Achim

    2017-10-01

    Heteroepitaxial Ga2O3 was deposited on c-plane and a-plane oriented sapphire by plasma-assisted molecular beam epitaxy and probed by ex-situ and in-situ synchrotron-based x-ray diffraction. The investigation on c-plane sapphire determined a critical thickness of around 33 Å, at which the monoclinic β-phase forms on top of the hexagonal α-phase. A 143 Å thick single phase α-Ga2O3 was observed on a-plane sapphire, much thicker than the α-Ga2O3 on c-plane sapphire. The α-Ga2O3 relaxed very fast in the first 30 Å in both out-of-plane and in-plane directions as measured by the in-situ study.

  8. Sapphire capillary interstitial irradiators for laser medicine

    Science.gov (United States)

    Shikunova, I. A.; Dolganova, I. N.; Dubyanskaya, E. N.; Mukhina, E. E.; Zaytsev, K. I.; Kurlov, V. N.

    2018-04-01

    In this paper, we demonstrate instruments for laser radiation delivery based on sapphire capillary needles. Such sapphire irradiators (introducers) can be used for various medical applications, such as photodynamic therapy, laser hyperthermia, laser interstitial thermal therapy, and ablation of tumors of various organs. Unique properties of sapphire allow for effective redistribution of the heat, generated in biological tissues during their exposure to laser radiation. This leads to homogeneous distribution of the laser irradiation around the needle, and lower possibility of formation of the overheating focuses, as well as the following non-transparent thrombi.

  9. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  10. Sapphire: A kinking nonlinear elastic solid

    Science.gov (United States)

    Basu, S.; Barsoum, M. W.; Kalidindi, S. R.

    2006-03-01

    Kinking nonlinear elastic (KNE) solids are a recently identified large class of solids that deform fully reversibly by the formation of dislocation-based kink bands [Barsoum et al. Phys. Rev. Lett. 92, 255508 (2004)]. We further conjectured that a high c/a ratio-that ensures that only basal slip is operative-is a sufficient condition for a solid to be KNE. The c/a ratio of sapphire is 2.73 and thus, if our conjecture is correct, it should be a KNE solid. Herein by repeatedly loading-up to 30 times-the same location of sapphire single crystals of two orientations-A and C-with a 1 μm radius spherical nanoindenter, followed by atomic force microscopy, we showed that sapphire is indeed a KNE solid. After pop-ins of the order of 100 nm, the repeated loadings give rise to fully reversible, reproducible hysteresis loops wherein the energy dissipated per unit volume per cycle Wd is of the order of 0.5 GJ/m3. Wd is due to the back and fro motion of the dislocations making up the incipient kink bands that are fully reversible. The results presented here strongly suggest that-like in graphite and mica-kink bands play a more critical role in the room temperature constrained deformation of sapphire than had hitherto been appreciated. Our interpretation is also in agreement with, and can explain most, recent nanoindentation results on sapphire.

  11. Substrate Lattice-Guided Seed Formation Controls the Orientation of 2D Transition Metal Dichalcogenides

    KAUST Repository

    Aljarb, Areej

    2017-08-07

    Two-dimensional (2D) transition metal dichalcogenide (TMDCs) semiconductors are important for next-generation electronics and optoelectronics. Given the difficulty in growing large single crystals of 2D TMDC materials, understanding the factors affecting the seed formation and orientation becomes an important issue for controlling the growth. Here, we systematically study the growth of molybdenum disulfide (MoS2) monolayer on c-plane sapphire with chemical vapor deposition (CVD) to discover the factors controlling their orientation. We show that the concentration of precursors, i.e., the ratio between sulfur and molybdenum oxide (MoO3), plays a key role in the size and orientation of seeds, subsequently controlling the orientation of MoS2 monolayers. High S/MoO3 ratio is needed in the early stage of growth to form small seeds that can align easily to the substrate lattice structures while the ratio should be decreased to enlarge the size of the monolayer at the next stage of the lateral growth. Moreover, we show that the seeds are actually crystalline MoS2 layers as revealed by high-resolution transmission electron microscopy. There exist two preferred orientations (0° or 60°) registered on sapphire, confirmed by our density functional theory (DFT) simulation. This report offers a facile technique to grow highly aligned 2D TMDCs and contributes to knowledge advancement in growth mechanism.

  12. Competitive concurrence of surface wrinkling and dewetting of liquid crystalline polymer films on non-wettable substrates.

    Science.gov (United States)

    Song, Sung E; Choi, Gwan H; Yi, Gi-Ra; Yoo, Pil J

    2017-11-01

    Polymeric thin films coated on non-wettable substrates undergo film-instabilities, which are usually manifested as surface deformation in the form of dewetting or wrinkling. The former takes place in fluidic films, whereas the latter occurs in solid films. Therefore, there have rarely been reports of systems involving simultaneous deformations of dewetting and wrinkling. In this study, we propose polymeric thin films of liquid crystalline (LC) mesogens prepared on a non-wettable Si substrate and apply a treatment of plasma irradiation to form a thin polymerized layer at the surface. The resulting compressive stress generated in the surface region drives the formation of wrinkles, while at the same time, dipolar attraction between LC molecules induces competitive cohesive dewetting. Intriguing surface structures were obtained whereby dewetting-like hole arrays are nested inside the randomly propagated wrinkles. The structural features are readily controlled by the degree of surface cross-linking, hydrophilicity of the substrates, and the LC film thickness. In particular, dewetting of LC mesogens is observed to be restricted to occur at the trough regions of wrinkles, exhibiting the typical behavior of geometrically confined dewetting. Finally, wrinkling-dewetting mixed structures are separated from the substrate in the form of free standing films to demonstrate the potential applicability as membranes.

  13. Anisotropy-based crystalline oxide-on-semiconductor material

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    2000-01-01

    A semiconductor structure and device for use in a semiconductor application utilizes a substrate of semiconductor-based material, such as silicon, and a thin film of a crystalline oxide whose unit cells are capable of exhibiting anisotropic behavior overlying the substrate surface. Within the structure, the unit cells of the crystalline oxide are exposed to an in-plane stain which influences the geometric shape of the unit cells and thereby arranges a directional-dependent quality of the unit cells in a predisposed orientation relative to the substrate. This predisposition of the directional-dependent quality of the unit cells enables the device to take beneficial advantage of characteristics of the structure during operation. For example, in the instance in which the crystalline oxide of the structure is a perovskite, a spinel or an oxide of similarly-related cubic structure, the structure can, within an appropriate semiconductor device, exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic, ferromagnetic, antiferromagnetic, magneto-optic or large dielectric properties that synergistically couple to the underlying semiconductor substrate.

  14. Oleophobic properties of the step-and-terrace sapphire surface

    Energy Technology Data Exchange (ETDEWEB)

    Muslimov, A. E., E-mail: amuslimov@mail.ru; Butashin, A. V.; Kanevsky, V. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Research Center “Crystallography and Photonics” (Russian Federation)

    2017-03-15

    Sapphire is widely used in production of optical windows for various devices due to its mechanical and optical properties. However, during operation the surface can be affected by fats, oils, and other organic contaminations. Therefore, it is important to improve the oleophobic properties of sapphire windows. In this study, we investigate the interaction of a supersmooth sapphire surface with oleic acid droplets, which imitate human finger printing. It is established that chemical–mechanical polishing with additional annealing in air, which leads to the formation of an atomically smooth sapphire surface, makes it possible to significantly improve the oleophobic properties of the surface. The results are analyzed using the Ventsel–Deryagin homogeneous wetting model.

  15. Surface study of irradiated sapphires from Phrae Province, Thailand using AFM

    Science.gov (United States)

    Monarumit, N.; Jivanantaka, P.; Mogmued, J.; Lhuaamporn, T.; Satitkune, S.

    2017-09-01

    The irradiation is one of the gemstone enhancements for improving the gem quality. Typically, there are many varieties of irradiated gemstones in the gem market such as diamond, topaz, and sapphire. However, it is hard to identify the gemstones before and after irradiation. The aim of this study is to analyze the surface morphology for classifying the pristine and irradiated sapphires using atomic force microscope (AFM). In this study, the sapphire samples were collected from Phrae Province, Thailand. The samples were irradiated by high energy electron beam for a dose of ionizing radiation at 40,000 kGy. As the results, the surface morphology of pristine sapphires shows regular atomic arrangement, whereas, the surface morphology of irradiated sapphires shows the nano-channel observed by the 2D and 3D AFM images. The atomic step height and root mean square roughness have changed after irradiation due to the micro-structural defect on the sapphire surface. Therefore, this study is a frontier application for sapphire identification before and after irradiation.

  16. Electrical response of electron selective atomic layer deposited TiO2‑x heterocontacts on crystalline silicon substrates

    Science.gov (United States)

    Ahiboz, Doğuşcan; Nasser, Hisham; Aygün, Ezgi; Bek, Alpan; Turan, Raşit

    2018-04-01

    Integration of oxygen deficient sub-stoichiometric titanium dioxide (TiO2‑x) thin films as the electron transporting-hole blocking layer in solar cell designs are expected to reduce fabrication costs by eliminating high temperature processes while maintaining high conversion efficiencies. In this paper, we conducted a study to reveal the electrical properties of TiO2‑x thin films grown on crystalline silicon (c-Si) substrates by atomic layer deposition (ALD) technique. Effect of ALD substrate temperature, post deposition annealing, and doping type of the c-Si substrate on the interface states and TiO2‑x bulk properties were extracted by performing admittance (C-V, G-V) and current-voltage (J-V) measurements. Moreover, the asymmetry in C-V and J-V measurements between the p-n type and n-n TiO2‑x-c-Si heterojunction types were examined and the electron transport selectivity of TiO2‑x was revealed.

  17. Single crystalline metal films as substrates for graphene growth

    Energy Technology Data Exchange (ETDEWEB)

    Zeller, Patrick; Henss, Ann-Kathrin; Wintterlin, Joost [Department Chemie, Ludwig-Maximilians-Universitaet Muenchen (Germany); Weinl, Michael; Schreck, Matthias [Institut fuer Physik, Universitaet Augsburg (Germany); Speck, Florian; Ostler, Markus [Lehrstuhl fuer Technische Physik, Universitaet Erlangen-Nuernberg, Erlangen (Germany); Institut fuer Physik, Technische Universitaet Chemnitz (Germany); Seyller, Thomas [Institut fuer Physik, Technische Universitaet Chemnitz (Germany)

    2017-11-15

    Single crystalline metal films deposited on YSZ-buffered Si(111) wafers were investigated with respect to their suitability as substrates for epitaxial graphene. Graphene was grown by CVD of ethylene on Ru(0001), Ir(111), and Ni(111) films in UHV. For analysis a variety of surface science methods were used. By an initial annealing step the surface quality of the films was strongly improved. The temperature treatments of the metal films caused a pattern of slip lines, formed by thermal stress in the films, which, however, did not affect the graphene quality and even prevented wrinkle formation. Graphene was successfully grown on all three types of metal films in a quality comparable to graphene grown on bulk single crystals of the same metals. In the case of the Ni(111) films the originally obtained domain structure of rotational graphene phases could be transformed into a single domain by annealing. This healing process is based on the control of the equilibrium between graphene and dissolved carbon in the film. For the system graphene/Ni(111) the metal, after graphene growth, could be removed from underneath the epitaxial graphene layer by a pure gas phase reaction, using the reaction of CO with Ni to give gaseous Ni(CO){sub 4}. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Leveraging Python Interoperability Tools to Improve Sapphire's Usability

    Energy Technology Data Exchange (ETDEWEB)

    Gezahegne, A; Love, N S

    2007-12-10

    The Sapphire project at the Center for Applied Scientific Computing (CASC) develops and applies an extensive set of data mining algorithms for the analysis of large data sets. Sapphire's algorithms are currently available as a set of C++ libraries. However many users prefer higher level scripting languages such as Python for their ease of use and flexibility. In this report, we evaluate four interoperability tools for the purpose of wrapping Sapphire's core functionality with Python. Exposing Sapphire's functionality through a Python interface would increase its usability and connect its algorithms to existing Python tools.

  19. Sapphire: Canada's Answer to Space-Based Surveillance of Orbital Objects

    Science.gov (United States)

    Maskell, P.; Oram, L.

    The Canadian Department of National Defence is in the process of developing the Canadian Space Surveillance System (CSSS) as the main focus of the Surveillance of Space (SofS) Project. The CSSS consists of two major elements: the Sapphire System and the Sensor System Operations Centre (SSOC). The space segment of the Sapphire System is comprised of the Sapphire Satellite - an autonomous spacecraft with an electro-optical payload which will act as a contributing sensor to the United States (US) Space Surveillance Network (SSN). It will operate in a circular, sunsynchronous orbit at an altitude of approximately 750 kilometers and image a minimum of 360 space objects daily in orbits ranging from 6,000 to 40,000 kilometers in altitude. The ground segment of the Sapphire System is composed of a Spacecraft Control Center (SCC), a Satellite Processing and Scheduling Facility (SPSF), and the Sapphire Simulator. The SPSF will be responsible for data transmission, reception, and processing while the SCC will serve to control and monitor the Sapphire Satellite. Surveillance data will be received from Sapphire through two ground stations. Following processing by the SPSF, the surveillance data will then be forwarded to the SSOC. The SSOC will function as the interface between the Sapphire System and the US Joint Space Operations Center (JSpOC). The JSpOC coordinates input from various sensors around the world, all of which are a part of the SSN. The SSOC will task the Sapphire System daily and provide surveillance data to the JSpOC for correlation with data from other SSN sensors. This will include orbital parameters required to predict future positions of objects to be tracked. The SSOC receives daily tasking instructions from the JSpOC to determine which objects the Sapphire spacecraft is required to observe. The advantage of this space-based sensor over ground-based telescopes is that weather and time of day are not factors affecting observation. Thus, space-based optical

  20. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    Science.gov (United States)

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Direct writing of large-area micro/nano-structural arrays on single crystalline germanium substrates using femtosecond lasers

    Science.gov (United States)

    Li, Lin; Wang, Jun

    2017-06-01

    A direct writing technique for fabricating micro/nano-structural arrays without using a multi-scanning process, multi-beam interference, or any assisted microlens arrays is reported. Various sub-wavelength micro/nano-structural arrays have been directly written on single crystalline germanium substrate surfaces using femtosecond laser pulses. The evolution of the multiscale surface morphology from periodic micro/nano-structures to V-shaped microgrooves has been achieved, and the relationship between array characteristics and laser polarization directions has been discussed. The self-organization model agrees well with the experimental results in this study.

  2. GaN-Ready Aluminum Nitride Substrates for Cost-Effective, Very Low Dislocation Density III-Nitride LEDs

    International Nuclear Information System (INIS)

    Schujman, Sandra; Schowalter, Leo

    2011-01-01

    The objective of this project was to develop and then demonstrate the efficacy of a cost effective approach for a low defect density substrate on which AlInGaN LEDs can be fabricated. The efficacy of this 'GaN-ready' substrate would then be tested by growing high efficiency, long lifetime InxGa1-xN blue LEDs. The approach used to meet the project objectives was to start with low dislocation density AlN single-crystal substrates and grow graded Al x Ga 1-x N layers on top. Pseudomorphic Al x Ga 1-x N epitaxial layers grown on bulk AlN substrates were used to fabricate light emitting diodes and demonstrate better device performance as a result of the low defect density in these layers when benched marked against state-of-the-art LEDs fabricated on sapphire substrates. The pseudomorphic LEDs showed excellent output powers compared to similar wavelength devices grown on sapphire substrates, with lifetimes exceeding 10,000 hours (which was the longest time that could reliably be estimated). In addition, high internal quantum efficiencies were demonstrated at high driving current densities even though the external quantum efficiencies were low due to poor photon extraction. Unfortunately, these pseudomorphic LEDs require high Al content so they emit in the ultraviolet. Sapphire based LEDs typically have threading dislocation densities (TDD) > 10 8 cm -2 while the pseudomorphic LEDs have TDD (le) 10 5 cm -2 . The resulting TDD, when grading the Al x Ga 1-x N layer all the way to pure GaN to produce a 'GaN-ready' substrate, has varied between the mid 10 8 down to the 10 6 cm -2 . These inconsistencies are not well understood. Finally, an approach to improve the LED structures on AlN substrates for light extraction efficiency was developed by thinning and roughening the substrate.

  3. Crystal Structure and Ferroelectric Properties of ε-Ga2O3 Films Grown on (0001)-Sapphire.

    Science.gov (United States)

    Mezzadri, Francesco; Calestani, Gianluca; Boschi, Francesco; Delmonte, Davide; Bosi, Matteo; Fornari, Roberto

    2016-11-21

    The crystal structure and ferroelectric properties of ε-Ga 2 O 3 deposited by low-temperature MOCVD on (0001)-sapphire were investigated by single-crystal X-ray diffraction and the dynamic hysteresis measurement technique. A thorough investigation of this relatively unknown polymorph of Ga 2 O 3 showed that it is composed of layers of both octahedrally and tetrahedrally coordinated Ga 3+ sites, which appear to be occupied with a 66% probability. The refinement of the crystal structure in the noncentrosymmetric space group P6 3 mc pointed out the presence of uncompensated electrical dipoles suggesting ferroelectric properties, which were finally demonstrated by independent measurements of the ferroelectric hysteresis. A clear epitaxial relation is observed with respect to the c-oriented sapphire substrate, with the Ga 2 O 3 [10-10] direction being parallel to the Al 2 O 3 direction [11-20], yielding a lattice mismatch of about 4.1%.

  4. Spatial chirp in Ti:sapphire multipass amplifier

    International Nuclear Information System (INIS)

    Li Wenkai; Lu Jun; Li Yanyan; Guo Xiaoyang; Wu Fenxiang; Yu Linpeng; Wang Pengfei; Xu Yi; Leng Yuxin

    2017-01-01

    The spatial chirp generated in the Ti:sapphire multipass amplifier is numerically investigated based on the one-dimensional (1D) and two-dimensional (2D) Frantz–Nodvik equations. The simulation indicates that the spatial chirp is induced by the spatially inhomogeneous gain, and it can be almost eliminated by utilization of proper beam profiles and spot sizes of the signal and pump pulses, for example, the pump pulse has a top-hatted beam profile and the signal pulse has a super-Gaussian beam profile with a relatively larger spot size. In this way, a clear understanding of spatial chirp mechanisms in the Ti:sapphire multipass amplifier is proposed, therefore we can effectively almost eliminate the spatial chirp and improve the beam quality of a high-power Ti:sapphire chirped pulse amplifier system. (paper)

  5. A peek into the history of sapphire crystal growth

    Science.gov (United States)

    Harris, Daniel C.

    2003-09-01

    After the chemical compositions of sapphire and ruby were unraveled in the middle of the 19th century, chemists set out to grow artificial crystals of these valuable gemstones. In 1885 a dealer in Geneva began to sell ruby that is now believed to have been created by flame fusion. Gemnologists rapidly concluded that the stones were artificial, but the Geneva ruby stimulated A. V. L. Verneuil in Paris to develop a flame fusion process to produce higher quality ruby and sapphire. By 1900 there was brisk demand for ruby manufactured by Verneuil's method, even though Verneuil did not publicly announce his work until 1902 and did not publish details until 1904. The Verneuil process was used with little alteration for the next 50 years. From 1932-1953, S. K. Popov in the Soviet Union established a capability for manufacturing high quality sapphire by the Verneuil process. In the U.S., under government contract, Linde Air Products Co. implemented the Verneuil process for ruby and sapphire when European sources were cut off during World War II. These materials were essential to the war effort for jewel bearings in precision instruments. In the 1960s and 1970s, the Czochralski process was implemented by Linde and its successor, Union Carbide, to make higher crystal quality material for ruby lasers. Stimulated by a government contract for structural fibers in 1966, H. LaBelle invented edge-defined film-fed growth (EFG). The Saphikon company, which is currently owned by Saint-Gobain, evolved from this effort. Independently and simultaneously, Stepanov developed edge-defined film-fed growth in the Soviet Union. In 1967 F. Schmid and D. Viechnicki at the Army Materials Research Lab grew sapphire by the heat exchanger method (HEM). Schmid went on to establish Crystal Systems, Inc. around this technology. Rotem Industries, founded in Israel in 1969, perfected the growth of sapphire hemispheres and near-net-shape domes by gradient solidification. In the U.S., growth of near

  6. Characterization of single crystal uranium-oxide thin films grown via reactive-gas magnetron sputtering on yttria-stabilized zirconia and sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Strehle, Melissa M.; Heuser, Brent J., E-mail: bheuser@illinois.edu; Elbakhshwan, Mohamed S.; Han Xiaochun; Gennardo, David J.; Pappas, Harrison K.; Ju, Hyunsu

    2012-06-30

    The microstructure and valence states of three single crystal thin film systems, UO{sub 2} on (11{sup Macron }02) r-plane sapphire, UO{sub 2} on (001) yttria-stabilized zirconia, and U{sub 3}O{sub 8} on (11{sup Macron }02) r-plane sapphire, grown via reactive-gas magnetron sputtering are analyzed primarily with X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and ultraviolet photoelectron spectroscopy (UPS). XRD analysis indicates the growth of single crystal domains with varying degrees of mosaicity. XPS and UPS analyses yield U-4f, U-5f, O-1s, and O-2p electron binding energies consistent with reported bulk values. A change from p-type to n-type semiconductor behavior induced by preferential sputtering of oxygen during depth profile analysis was observed with both XPS and UPS. Trivalent cation impurities (Nd and Al) in UO{sub 2} lower the Fermi level, shifting the XPS spectral weight. This observation is consistent with hole-doping of a Mott-Hubbard insulator. The uranium oxide-(11{sup Macron }02) sapphire system is unstable with respect to Al interdiffusion across the film-substrate interface at elevated temperature. - Highlights: Black-Right-Pointing-Pointer Single crystal uranium-oxides grown on sapphire and yttria-stabilized zirconia. Black-Right-Pointing-Pointer Anion and cation valence states studied by photoelectron emission spectroscopy. Black-Right-Pointing-Pointer Trivalent Nd and Al impurities lower the Fermi level. Black-Right-Pointing-Pointer Uranium-oxide films on sapphire found to be unstable with respect to Al interdiffusion.

  7. Nanostructured sapphire optical fiber for sensing in harsh environments

    Science.gov (United States)

    Chen, Hui; Liu, Kai; Ma, Yiwei; Tian, Fei; Du, Henry

    2017-05-01

    We describe an innovative and scalable strategy of transforming a commercial unclad sapphire optical fiber to an allalumina nanostructured sapphire optical fiber (NSOF) that overcomes decades-long challenges faced in the field of sapphire fiber optics. The strategy entails fiber coating with metal Al followed by subsequent anodization to form anodized alumina oxide (AAO) cladding of highly organized pore channel structure. We show that Ag nanoparticles entrapped in AAO show excellent structural and morphological stability and less susceptibility to oxidation for potential high-temperature surface-enhanced Raman Scattering (SERS). We reveal, with aid of numerical simulations, that the AAO cladding greatly increases the evanescent-field overlap both in power and extent and that lower porosity of AAO results in higher evanescent-field overlap. This work has opened the door to new sapphire fiber-based sensor design and sensor architecture.

  8. High performance multilayered nano-crystalline silicon/silicon-oxide light-emitting diodes on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Darbari, S; Shahmohammadi, M; Mortazavi, M; Mohajerzadeh, S [Thin Film and Nano-Electronic Laboratory, School of ECE, University of Tehran, Tehran (Iran, Islamic Republic of); Abdi, Y [Nano-Physics Research Laboratory, Department of Physics, University of Tehran, Tehran (Iran, Islamic Republic of); Robertson, M; Morrison, T, E-mail: mohajer@ut.ac.ir [Department of Physics, Acadia University, Wolfville, NS (Canada)

    2011-09-16

    A low-temperature hydrogenation-assisted sequential deposition and crystallization technique is reported for the preparation of nano-scale silicon quantum dots suitable for light-emitting applications. Radio-frequency plasma-enhanced deposition was used to realize multiple layers of nano-crystalline silicon while reactive ion etching was employed to create nano-scale features. The physical characteristics of the films prepared using different plasma conditions were investigated using scanning electron microscopy, transmission electron microscopy, room temperature photoluminescence and infrared spectroscopy. The formation of multilayered structures improved the photon-emission properties as observed by photoluminescence and a thin layer of silicon oxy-nitride was then used for electrical isolation between adjacent silicon layers. The preparation of light-emitting diodes directly on glass substrates has been demonstrated and the electroluminescence spectrum has been measured.

  9. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  10. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  11. Origin for the shape of Au small crystals formed inside sapphire by ion implantation

    International Nuclear Information System (INIS)

    Ohkubo, M.; Hioki, T.

    1989-01-01

    In ion-implanted oxides, precipitation is usually formed except the case of forming solid solution. The precipitation comprises the metallic particles of implanted atoms, the oxide of implanted atoms, the metal of matrix elements, the compound of implanted atoms and matrix and so on. In particular, the metallic particles of implanted atoms are frequently faceted. From the facets, the equilibrium shape of crystals can be imagined. The equilibrium shape is determined so that the surface free energy is to be minimized. However, the shape of the metallic particles precipitated inside oxides should not be such equilibrium shape because they come in contact with foreign crystals. As the result, in the precipitation phenomena induced by ion implantation, the crystal structures of precipitated particles and substrates, the crystallographic relation between two crystals, interfacial energy and so on must be taken in consideration. In this paper, the report is made on the shape of the metallic gold particles formed inside sapphires by ion implantation that it was caused by only the crystal habit of sapphires regardless of the above-mentioned complexity. (K.I.)

  12. Single-Crystal Sapphire Optical Fiber Sensor Instrumentation

    Energy Technology Data Exchange (ETDEWEB)

    Pickrell, Gary [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States); Scott, Brian [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States); Wang, Anbo [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States); Yu, Zhihao [Virginia Polytechnic Inst. & State Univ., Blacksburg, VA (United States)

    2013-12-31

    This report summarizes technical progress on the program “Single-Crystal Sapphire Optical Fiber Sensor Instrumentation,” funded by the National Energy Technology Laboratory of the U.S. Department of Energy, and performed by the Center for Photonics Technology of the Bradley Department of Electrical and Computer Engineering at Virginia Tech. This project was completed in three phases, each with a separate focus. Phase I of the program, from October 1999 to April 2002, was devoted to development of sensing schema for use in high temperature, harsh environments. Different sensing designs were proposed and tested in the laboratory. Phase II of the program, from April 2002 to April 2009, focused on bringing the sensor technologies, which had already been successfully demonstrated in the laboratory, to a level where the sensors could be deployed in harsh industrial environments and eventually become commercially viable through a series of field tests. Also, a new sensing scheme was developed and tested with numerous advantages over all previous ones in Phase II. Phase III of the program, September 2009 to December 2013, focused on development of the new sensing scheme for field testing in conjunction with materials engineering of the improved sensor packaging lifetimes. In Phase I, three different sensing principles were studied: sapphire air-gap extrinsic Fabry-Perot sensors; intensity-based polarimetric sensors; and broadband polarimetric sensors. Black body radiation tests and corrosion tests were also performed in this phase. The outcome of the first phase of this program was the selection of broadband polarimetric differential interferometry (BPDI) for further prototype instrumentation development. This approach is based on the measurement of the optical path difference (OPD) between two orthogonally polarized light beams in a single-crystal sapphire disk. At the beginning of Phase II, in June 2004, the BPDI sensor was tested at the Wabash River coal gasifier

  13. Noise measurements on NbN thin films with a negative temperature resistance coefficient deposited on sapphire and on SiO2

    NARCIS (Netherlands)

    Leroy, G.; Gest, J.; Vandamme, L.K.J.; Bourgeois, O.

    2007-01-01

    We characterize granular NbNx thin cermet films deposited on either sapphire substrate or on SiO2 and compare the 1/f noise at 300 K and 80 K. The films were characterized with an impedance analyzer from 20 Hz to 1 MHz and analyzed as a resistor R in parallel with a capacitor C. The calculated noise

  14. Advancements in n-type base crystalline silicon solar cells and their emergence in the photovoltaic industry.

    Science.gov (United States)

    ur Rehman, Atteq; Lee, Soo Hong

    2013-01-01

    The p-type crystalline silicon wafers have occupied most of the solar cell market today. However, modules made with n-type crystalline silicon wafers are actually the most efficient modules up to date. This is because the material properties offered by n-type crystalline silicon substrates are suitable for higher efficiencies. Properties such as the absence of boron-oxygen related defects and a greater tolerance to key metal impurities by n-type crystalline silicon substrates are major factors that underline the efficiency of n-type crystalline silicon wafer modules. The bi-facial design of n-type cells with good rear-side electronic and optical properties on an industrial scale can be shaped as well. Furthermore, the development in the industrialization of solar cell designs based on n-type crystalline silicon substrates also highlights its boost in the contributions to the photovoltaic industry. In this paper, a review of various solar cell structures that can be realized on n-type crystalline silicon substrates will be given. Moreover, the current standing of solar cell technology based on n-type substrates and its contribution in photovoltaic industry will also be discussed.

  15. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  16. CVD synthesis of large-area, highly crystalline MoSe2 atomic layers on diverse substrates and application to photodetectors.

    Science.gov (United States)

    Xia, Jing; Huang, Xing; Liu, Ling-Zhi; Wang, Meng; Wang, Lei; Huang, Ben; Zhu, Dan-Dan; Li, Jun-Jie; Gu, Chang-Zhi; Meng, Xiang-Min

    2014-08-07

    Synthesis of large-area, atomically thin transition metal dichalcogenides (TMDs) on diverse substrates is of central importance for the large-scale fabrication of flexible devices and heterojunction-based devices. In this work, we successfully synthesized a large area of highly-crystalline MoSe2 atomic layers on SiO2/Si, mica and Si substrates using a simple chemical vapour deposition (CVD) method at atmospheric pressure. Atomic force microscopy (AFM) and Raman spectroscopy reveal that the as-grown ultrathin MoSe2 layers change from a single layer to a few layers. Photoluminescence (PL) spectroscopy demonstrates that while the multi-layer MoSe2 shows weak emission peaks, the monolayer has a much stronger emission peak at ∼ 1.56 eV, indicating the transition from an indirect to a direct bandgap. Transmission electron microscopy (TEM) analysis confirms the single-crystallinity of MoSe2 layers with a hexagonal structure. In addition, the photoresponse performance of photodetectors based on MoSe2 monolayer was studied for the first time. The devices exhibit a rapid response of ∼ 60 ms and a good photoresponsivity of ∼ 13 mA/W (using a 532 nm laser at an intensity of 1 mW mm(-2) and a bias of 10 V), suggesting that MoSe2 monolayer is a promising material for photodetection applications.

  17. Formation of metal nanoparticles of various sizes in plasma plumes produced by Ti:sapphire laser pulses

    International Nuclear Information System (INIS)

    Chakravarty, U.; Naik, P. A.; Mukherjee, C.; Kumbhare, S. R.; Gupta, P. D.

    2010-01-01

    In this paper, an experimental study on generation of nanoparticle various sizes using Ti:sapphire laser pulses, is reported. Nanoparticle formation in plasma plumes of metals like silver and copper, expanding in vacuum, has been studied using stretched pulses of 300 ps duration [subnanoseconds (sub-ns)] from a Ti:sapphire laser. It has been compared with the nanoparticle formation (of the same materials) when compressed pulses of 45 fs duration were used under similar focusing conditions. Nanoparticle formation is observed at intensities as high as 2x10 16 W/cm 2 . The structural analysis of the nanoparticle deposition on a silicon substrate showed that, using 45 fs pulses, smaller nanoparticles of average size ∼20 nm were generated, whereas on using the sub-ns pulses, larger particles were produced. Also, the visible light transmission and reflection from the nanoparticle film of Ag on glass substrate showed surface plasmon resonance (SPR). The SPR curves of the films of nanoparticles deposited by femtosecond pulses were always broader and reflection/transmission was always smaller when compared with the films formed using the sub-ns pulses, indicating smaller size particle formation by ultrashort pulses. Thus, it has been demonstrated that variation in the laser pulse duration of laser offers a simple tool for varying the size of the nanoparticles generated in plasma plumes.

  18. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    International Nuclear Information System (INIS)

    Bootkul, D.; Chaiwai, C.; Tippawan, U.; Wanthanachaisaeng, B.; Intarasiri, S.

    2015-01-01

    Highlights: • Ion beam analysis is an effective method for detecting trace elements. • Ion beam treatment is able to improve optical and color appearances of the blue sapphire from Rwanda. • These alternative methods can be extended to jewelry industry for large scale application. - Abstract: Blue sapphire is categorised in a corundum (Al_2O_3) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV–Vis–NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  19. Origin of the 2.45 eV luminescence band observed in ZnO epitaxial layers grown on c-plane sapphire by chemical vapour deposition

    International Nuclear Information System (INIS)

    Saroj, R K; Dhar, S

    2014-01-01

    Zinc oxide epitaxial layers have been grown on c-plane sapphire substrates by the chemical vapour deposition (CVD) technique. A structural study shows (0001)-oriented films with good crystalline quality. The temperature and excitation power dependence of the photoluminescence (PL) characteristics of these layers is studied as a function of various growth parameters, such as the growth temperature, oxygen flow rate and Zn flux, which suggest that the origin of the broad visible luminescence (VL), which peaks at 2.45 eV, is the transition between the conduction band and the Zn vacancy acceptor states. A bound excitonic transition observed at 3.32 eV in low temperature PL has been identified as an exciton bound to the neutral Zn vacancy. Our study also reveals the involvement of two activation processes in the dynamics of VL, which has been explained in terms of the fluctuation of the capture barrier height for the holes trapped in Zn vacancy acceptors. The fluctuation, which might be a result of the inhomogeneous distribution of Zn vacancies, is found to be associated with an average height of 7 and 90 meV, respectively, for the local and global maxima. (paper)

  20. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    Science.gov (United States)

    Bootkul, D.; Chaiwai, C.; Tippawan, U.; Wanthanachaisaeng, B.; Intarasiri, S.

    2015-12-01

    Blue sapphire is categorised in a corundum (Al2O3) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV-Vis-NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  1. Spectroscopic properties for identifying sapphire samples from Ban Bo Kaew, Phrae Province, Thailand

    Science.gov (United States)

    Mogmued, J.; Monarumit, N.; Won-in, K.; Satitkune, S.

    2017-09-01

    Gemstone commercial is a high revenue for Thailand especially ruby and sapphire. Moreover, Phrae is a potential gem field located in the northern part of Thailand. The studies of spectroscopic properties are mainly to identify gemstone using advanced techniques (e.g. UV-Vis-NIR spectrophotometry, FTIR spectrometry and Raman spectroscopy). Typically, UV-Vis-NIR spectrophotometry is a technique to study the cause of color in gemstones. FTIR spectrometry is a technique to study the functional groups in gem-materials. Raman pattern can be applied to identify the mineral inclusions in gemstones. In this study, the natural sapphires from Ban Bo Kaew were divided into two groups based on colors including blue and green. The samples were analyzed by UV-Vis-NIR spectrophotometer, FTIR spectrometer and Raman spectroscope for studying spectroscopic properties. According to UV-Vis-NIR spectra, the blue sapphires show higher Fe3+/Ti4+ and Fe2+/Fe3+ absorption peaks than those of green sapphires. Otherwise, green sapphires display higher Fe3+/Fe3+ absorption peaks than blue sapphires. The FTIR spectra of both blue and green sapphire samples show the absorption peaks of -OH,-CH and CO2. The mineral inclusions such as ferrocolumbite and rutile in sapphires from this area were observed by Raman spectroscope. The spectroscopic properties of sapphire samples from Ban Bo Kaew, Phrae Province, Thailand are applied to be the specific evidence for gemstone identification.

  2. Fabrication and examination of epitaxial HTSC/isolator thin films on sapphire substrates for application in high frequency devices; Herstellung und Untersuchung von epitaktischen HTSL/Isolator-Schichten auf Saphirsubstraten zur Anwendung in HF-Bauelementen

    Energy Technology Data Exchange (ETDEWEB)

    Kittel, H.

    1995-10-01

    The use of high temperature superconductors (HTSC) like YBCO with distinct lower surface resistance compared to normal conductors allows miniaturisation of high frequency (HF) circuits. The object of this work was the fabrication of YBCO thin films on low loss sapphire substrates applicable for stripline devices. To induce epitaxial growth and to avoid chemical reaction at the film-substrate boundary buffer layers were investigated. The examination of the growth properties and especially of the surface impedance has been allotted particular importance. In contrast to CaTiO{sub 3} it was possible to deposit CeO{sub 2}-buffer layers in direct growth up to a thickness of about 30 nm without cracks. The films show all growth properties required and even Laue-oscillations being a feature of high quality growth enabling the determination of film thickness distribution without destruction. The YBCO growth-, transport- and HF-properties meet the ones of YBCO films on standard substrates. A remarkable result is that the mosaic distribution of the CEO film, itself strongly dependend on film thickness, does not influence that of the YBCO film considerably. Rather it changes its shape subsequently due to YBCO deposition. A further particularity in contrast to deposition on standard substrates is the need to adjust the substrate heater tempeature for deposition of YBCO films with thicknesses {>=}300 nm needed for HF application. To demonstrate their usefullness some stripline devices like planar coils and side coupled filters have been fabricated and characterised. (orig.)

  3. Interfacial reactions between sapphire and Ag–Cu–Ti-based active braze alloys

    International Nuclear Information System (INIS)

    Ali, Majed; Knowles, Kevin M.; Mallinson, Phillip M.; Fernie, John A.

    2016-01-01

    The interfacial reactions between two commercially available Ag–Cu–Ti-based active braze alloys and sapphire have been studied. In separate experiments, Ag–35.3Cu–1.8Ti wt.% and Ag–26.7Cu–4.5Ti wt.% alloys have been sandwiched between pieces of R-plane orientated sapphire and heated in argon to temperatures between 750 and 900 °C for 1 min. The phases at the Ag–Cu–Ti/sapphire interfaces have been studied using selected area electron diffraction, energy dispersive X-ray spectroscopy and electron energy loss spectroscopy. Gradual and subtle changes at the Ag–Cu–Ti/sapphire interfaces were observed as a function of temperature, along with the formation of a transient phase that permitted wetting of the sapphire. Unequivocal evidence is shown that when the active braze alloys melt, titanium first migrates to the sapphire and reacts to dissolve up to ∼33 at.% oxygen, forming a nanometre-size polycrystalline layer with a chemical composition of Ti 2 O 1–x (x ≪ 1). Ti 3 Cu 3 O particles subsequently nucleate behind the Ti 2 O 1–x layer and grow to become a continuous micrometre-size layer, replacing the Ti 2 O 1–x layer. Finally at 845 °C, a nanometre-size γ-TiO layer forms on the sapphire to leave a typical interfacial structure of Ag–Cu/Ti 3 Cu 3 O/γ-TiO/sapphire consistent with that seen in samples of polycrystalline alumina joined to itself with these active braze alloys. These experimental observations have been used to establish a definitive bonding mechanism for the joining of sapphire with Ag–Cu alloys activated by small amounts of titanium.

  4. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    Energy Technology Data Exchange (ETDEWEB)

    Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science - Gems & Jewelry, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Chaiwai, C.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Wanthanachaisaeng, B. [Gems Enhancement Research Unit, Faculty of Gems, Burapha University, Chanthaburi Campus, Chanthaburi 22170 (Thailand); Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2015-12-15

    Highlights: • Ion beam analysis is an effective method for detecting trace elements. • Ion beam treatment is able to improve optical and color appearances of the blue sapphire from Rwanda. • These alternative methods can be extended to jewelry industry for large scale application. - Abstract: Blue sapphire is categorised in a corundum (Al{sub 2}O{sub 3}) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV–Vis–NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  5. Enhancing the piezoelectric properties of flexible hybrid AlN materials using semi-crystalline parylene

    Science.gov (United States)

    Jackson, Nathan; Mathewson, Alan

    2017-04-01

    Flexible piezoelectric materials are desired for numerous applications including biomedical, wearable, and flexible electronics. However, most flexible piezoelectric materials are not compatible with CMOS fabrication technology, which is desired for most MEMS applications. This paper reports on the development of a hybrid flexible piezoelectric material consisting of aluminium nitride (AlN) and a semi-crystalline polymer substrate. Various types of semi-crystalline parylene and polyimide materials were investigated as the polymer substrate. The crystallinity and surfaces of the polymer substrates were modified by micro-roughening and annealing in order to determine the effects on the AlN quality. The AlN crystallinity and piezoelectric properties decreased when the polymer surfaces were treated with O2 plasma. However, increasing the crystallinity of the parylene substrate prior to deposition of AlN caused enhanced c-axis (002) AlN crystallinity and piezoelectric response of the AlN. Piezoelectric properties of 200 °C annealed parylene-N substrate resulted in an AlN d 33 value of 4.87 pm V-1 compared to 2.17 pm V-1 for AlN on polyimide and 4.0 pm V-1 for unannealed AlN/parylene-N. The electrical response measurements to an applied force demonstrated that the parylene/AlN hybrid material had higher V pp (0.918 V) than commercial flexible piezoelectric material (PVDF) (V pp 0.36 V). The results in this paper demonstrate that the piezoelectric properties of a flexible AlN hybrid material can be enhanced by increasing the crystallinity of the polymer substrate, and the enhanced properties can function better than previous flexible piezoelectrics.

  6. Ti:Sapphire waveguide lasers

    NARCIS (Netherlands)

    Pollnau, Markus; Pashinin, P.P.; Grivas, C.; Laversenne, L.; Wilkinson, J.S.; Eason, R.W.; Shepherd, D.P.

    2007-01-01

    Titanium-doped sapphire is one of the most prominent laser materials and is appreciated for its excellent heat conductivity and broadband gain spectrum, allowing for a wide wavelength tunability and generation of ultrashort pulses. As one of the hardest materials, it can also serve as a model system

  7. Reliability improvement methods for sapphire fiber temperature sensors

    Science.gov (United States)

    Schietinger, C.; Adams, B.

    1991-08-01

    Mechanical, optical, electrical, and software design improvements can be brought to bear in the enhancement of fiber-optic sapphire-fiber temperature measurement tool reliability in harsh environments. The optical fiber thermometry (OFT) equipment discussed is used in numerous process industries and generally involves a sapphire sensor, an optical transmission cable, and a microprocessor-based signal analyzer. OFT technology incorporating sensors for corrosive environments, hybrid sensors, and two-wavelength measurements, are discussed.

  8. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  9. Multilayered structures of (RE = rare earth)Ba2Cu3Ox films: an approach for the growth of superior quality large-area superconducting films on sapphire substrates

    International Nuclear Information System (INIS)

    Develos-Bagarinao, K; Yamasaki, H; Ohki, K; Nakagawa, Y

    2007-01-01

    Relatively thick REBa 2 Cu 3 O 7-δ (RE = rare earth) films (thickness ∼400-600 nm) with significantly improved surface morphology and critical current properties using a multilayered structure which alternates main layers of YBa 2 Cu 3 O 7-δ (YBCO) with intermediate DyBa 2 Cu 3 O 7-δ (DyBCO) layers on CeO 2 -buffered sapphire substrates were investigated. The DyBCO layer, which has a close lattice matching with YBCO, functions as a good starting template for the growth of high-quality YBCO layers. Critical current density (J c ) drastically increased up to a factor of 2 for YBCO/DyBCO multilayer films, compared to YBCO monolayer films in both the self-field and applied magnetic field. The significant improvement in J c is attributed to the improvement of surface smoothness and enhanced flux pinning properties as revealed by the magnetic-field angular dependence of J c . (rapid communication)

  10. Hydrogen-Induced Buckling of Pd Films Deposited on Various Substrates

    KAUST Repository

    Vlček, Marián

    2015-07-01

    A Pd-H system is a model system suitable for studying interactions of hydrogen with metals. In the present work, we studied hydrogen-induced buckling of thin Pd films deposited on various substrates with different bonding strengths (sapphire, glimmer) and also the effect of deposition temperature. Lattice expansion and phase transitions were investigated by X-ray diffraction of synchrotron radiation. The influence of the substrate and microstructure of the film on the buckling process and phase transformation to palladium hydride are discussed.

  11. Hydrogen-Induced Buckling of Pd Films Deposited on Various Substrates

    KAUST Repository

    Vlček, Mariá n; Luká č, František; Vlach, Martin; Prochá zka, Ivan; Wagner, Stefan; Uchida, Helmut; Pundt, Astrid; Gemma, Ryota; Čí žek, Jakub

    2015-01-01

    A Pd-H system is a model system suitable for studying interactions of hydrogen with metals. In the present work, we studied hydrogen-induced buckling of thin Pd films deposited on various substrates with different bonding strengths (sapphire, glimmer) and also the effect of deposition temperature. Lattice expansion and phase transitions were investigated by X-ray diffraction of synchrotron radiation. The influence of the substrate and microstructure of the film on the buckling process and phase transformation to palladium hydride are discussed.

  12. Controlling the morphology of side chain liquid crystalline block copolymer thin films through variations in liquid crystalline content.

    Science.gov (United States)

    Verploegen, Eric; Zhang, Tejia; Jung, Yeon Sik; Ross, Caroline; Hammond, Paula T

    2008-10-01

    In this paper, we describe methods for manipulating the morphology of side-chain liquid crystalline block copolymers through variations in the liquid crystalline content. By systematically controlling the covalent attachment of side chain liquid crystals to a block copolymer (BCP) backbone, the morphology of both the liquid crystalline (LC) mesophase and the phase-segregated BCP microstructures can be precisely manipulated. Increases in LC functionalization lead to stronger preferences for the anchoring of the LC mesophase relative to the substrate and the intermaterial dividing surface. By manipulating the strength of these interactions, the arrangement and ordering of the ultrathin film block copolymer nanostructures can be controlled, yielding a range of morphologies that includes perpendicular and parallel cylinders, as well as both perpendicular and parallel lamellae. Additionally, we demonstrate the utilization of selective etching to create a nanoporous liquid crystalline polymer thin film. The unique control over the orientation and order of the self-assembled morphologies with respect to the substrate will allow for the custom design of thin films for specific nanopatterning applications without manipulation of the surface chemistry or the application of external fields.

  13. Effect of substrate mis-orientation on GaN thin films grown by MOCVD under different carrier gas condition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2005-05-01

    We have studied the effect of a slight mis-orientation angle on surface and crystal quality of GaN thin films grown under different carrier gas conditions. Two types of carrier gas conditions were applied to the growth. One was pure H{sub 2} and the other was mixed N{sub 2}/H{sub 2}. As the result, we found dependence of surface and crystal quality of GaN thin films on the substrate mis-orientation angle, and they indicated almost the same tendency under both growth conditions. Therefore, it was confirmed that mis-orientation angle of sapphire substrate was one of the most critical factors for GaN thin films. Then, the effect of the additional N{sub 2} into the conventional H{sub 2} carrier gas was studied, and we found that the conversion of carrier gas from the conventional H{sub 2} to N{sub 2}/H{sub 2} mixture was effective against degradation of GaN crystallinity at any mis-orientation angle. Considering that the crystal quality of GaN thin films became insensitive to mis-orientation angle as the condition became more suitable for GaN growth, the optimal substrate mis-orientation angle was consequently decided to be approximately 0.15 from the morphological aspect. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Nonlinearity Mechanism and Correction of Sapphire Fiber Temperature Sensor on Blackbody Cavity

    Directory of Open Access Journals (Sweden)

    Tiejun Cao

    2014-06-01

    Full Text Available Based on the principle of blackbody radiation, sapphire optic fiber temperature sensor has been more widely used in recent years, and its temperature range is between 800 ~ 2000 oC, and the response time is in 10-2 magnitude, and transient temperature measurement can be high precision in harsh environments. Nonlinear constraints on sapphire fiber temperature sensor affect the accuracy and stability of the sensor. In order to solve the nonlinear problems which exist in the measurement, at first, the sapphire fiber optic temperature sensor temperature measurement principle and nonlinear generation mechanism are studied; secondly piecewise linear interpolation and spline interpolation linearization algorithm is designed with combining the nonlinear characteristics of sapphire optical fiber temperature sensor, and the program is designed on its linear and associated signal processing. Experimental results show that a good linearization of sapphire fiber optic temperature sensor can been achieved in this method.

  15. 'Sapphire' project. Objectives and outcomes

    International Nuclear Information System (INIS)

    Shkolnik, V.S.

    1997-01-01

    'Sapphire' Project contains the US assistance in purchasing/exporting 600 kg of highly enriched uranium from the State Holding Association 'Ulba' Uranium Plant, and compensatory equipment and service deliveries under the mutually concerted list. The compensatory payments were as separate projects in conformity with Kazakhstan enterprises needs, participation quota of which was determined by the Kazakhstan Government. Realization Milestones. Activity on Separate Projects: - basic 'Sapphire' part includes medical projects; - Kazakhstan Services were equipped with computers by the American International Development Agency for Taxation Services of Kazakhstan and by US Department of Energy for Monitoring preparation of Kazakhstan Atomic energy Agency. - 7 Research projects are being realized via the International Science and Technological Center; - export control. It has been realized as the equipment delivery under the concerted list; - equipping of nuclear materials accounting and control system at 'Ulba' Association enterprises

  16. A transparent conductive oxide electrode with highly enhanced flexibility achieved by controlled crystallinity by incorporating Ag nanoparticles on substrates

    Energy Technology Data Exchange (ETDEWEB)

    Triambulo, Ross E.; Cheong, Hahn-Gil [Department of Materials Science and Engineering, Yonsei University, Seoul (Korea, Republic of); Lee, Gun-Hwan [Advanced Thin Film Research Group, Korea Institute of Materials Science (KIMS), Changwon (Korea, Republic of); Yi, In-Sook [R and D Center, InkTec Co., Ltd., Ansan (Korea, Republic of); Park, Jin-Woo, E-mail: jwpark09@yonsei.ac.kr [Department of Materials Science and Engineering, Yonsei University, Seoul (Korea, Republic of)

    2015-01-25

    Highlights: • We developed a composite transparent electrode with Ag nanoparticles and indium-tin-oxide. • Transmittance of AgNPs was improved by formation of oxide layers by O{sub 2} plasma treatment. • Ag nanoparticles became crystalline seeds to grow strong ITO with a uniform growth orientation. • The hybrid electrode is highly more conductive and stable under bending than ITO. - Abstract: We report the synthesis of highly flexible indium tin oxide (ITO) on a polymer substrate whose surface was engineered by oxide-coated Ag nanoparticles (AgNPs) smaller than 20 nm in diameter. Polyimide (PI) substrates were spin coated with Ag ion ink and were subsequently heat treated to form AgNP coatings. The Ag oxide was formed by O{sub 2} plasma treatment to reduce the light absorbance by AgNPs. ITO was dc magnetron sputter-deposited atop the AgNPs. The ITO on the AgNPs was crystalline grown primarily with (2 2 2) growth orientation. This contrasts to the typical microstructure of ITO grown on the polymer, which is that growing c-ITO nucleates are embedded in an amorphous ITO (a-ITO) matrix like a particulate composite. The surface roughness of ITO on AgNPs was as small as the ITO on PI without AgNPs. The crystalline nature of the ITO on the AgNP-coated polymer resulted in the decrease of electric resistivity (ρ) by 65% compared to that of ITO on the bare PI. Furthermore, an electric resistivity change (Δρ) of the ITO on the AgNPs was only 8% at a bending radius (r{sub b}) down to 4 mm, whereas the ITO on the non-coated polymer became almost insulating at an r{sub b} of 10 mm, owing to a drastic increase in the number of cracks. To validate the potential application in the displays, flexible organic light emitting diodes (f-OLEDs) were fabricated on the ITO on AgNPs and the performances was compared with the f-OLED on ITO on the bare PI.

  17. A transparent conductive oxide electrode with highly enhanced flexibility achieved by controlled crystallinity by incorporating Ag nanoparticles on substrates

    International Nuclear Information System (INIS)

    Triambulo, Ross E.; Cheong, Hahn-Gil; Lee, Gun-Hwan; Yi, In-Sook; Park, Jin-Woo

    2015-01-01

    Highlights: • We developed a composite transparent electrode with Ag nanoparticles and indium-tin-oxide. • Transmittance of AgNPs was improved by formation of oxide layers by O 2 plasma treatment. • Ag nanoparticles became crystalline seeds to grow strong ITO with a uniform growth orientation. • The hybrid electrode is highly more conductive and stable under bending than ITO. - Abstract: We report the synthesis of highly flexible indium tin oxide (ITO) on a polymer substrate whose surface was engineered by oxide-coated Ag nanoparticles (AgNPs) smaller than 20 nm in diameter. Polyimide (PI) substrates were spin coated with Ag ion ink and were subsequently heat treated to form AgNP coatings. The Ag oxide was formed by O 2 plasma treatment to reduce the light absorbance by AgNPs. ITO was dc magnetron sputter-deposited atop the AgNPs. The ITO on the AgNPs was crystalline grown primarily with (2 2 2) growth orientation. This contrasts to the typical microstructure of ITO grown on the polymer, which is that growing c-ITO nucleates are embedded in an amorphous ITO (a-ITO) matrix like a particulate composite. The surface roughness of ITO on AgNPs was as small as the ITO on PI without AgNPs. The crystalline nature of the ITO on the AgNP-coated polymer resulted in the decrease of electric resistivity (ρ) by 65% compared to that of ITO on the bare PI. Furthermore, an electric resistivity change (Δρ) of the ITO on the AgNPs was only 8% at a bending radius (r b ) down to 4 mm, whereas the ITO on the non-coated polymer became almost insulating at an r b of 10 mm, owing to a drastic increase in the number of cracks. To validate the potential application in the displays, flexible organic light emitting diodes (f-OLEDs) were fabricated on the ITO on AgNPs and the performances was compared with the f-OLED on ITO on the bare PI

  18. Investigation of iron film-substrate interfaces using Rutherford backscattering and channeling techniques

    International Nuclear Information System (INIS)

    Maheswaran, S.; Thevuthasan, S.

    1999-01-01

    Thin films of α-Fe 2 O 3 (0001) (hematite) were epitaxially grown on Al 2 O 3 (0001) substrates using the new molecular beam epitaxy (MBE) system at the Environmental Molecular Sciences Laboratory (EMSL). We have investigated the interface between the hematite films and sapphire substrates using Rutherford Backscattering (RBS) and channeling experiments. Theoretical simulations were performed using VEGAS code to investigate the surface and interface structural properties of the films

  19. Frequency-doubled diode laser for direct pumping of Ti:sapphire lasers

    DEFF Research Database (Denmark)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika

    2012-01-01

    . However, the superior electro-optical efficiency of the diode laser improves the overall efficiency of the Ti:sapphire laser by a factor > 2. The optical spectrum emitted by the Ti:sapphire laser shows a spectral width of 112 nm (FWHM). Based on autocorrelation measurements, pulse widths of less than 20...... fs are measured. These results open the opportunity of establishing diode laser pumped Ti:sapphire lasers for e.g. biophotonic applications like retinal optical coherence tomography or pumping of photonic crystal fibers for CARS microscopy.......A single-pass frequency doubled high-power tapered diode laser emitting nearly 1.3 W of green light suitable for direct pumping of Ti:sapphire lasers generating ultrashort pulses is demonstrated. The pump efficiencies reached 75 % of the values achieved with a commercial solid-state pump laser...

  20. Complementary analyses on the local polarity in lateral polarity-inverted GaN heterostructure on sapphire (0001) substrate

    International Nuclear Information System (INIS)

    Katayama, Ryuji; Kuge, Yoshihiro; Onabe, Kentaro; Matsushita, Tomonori; Kondo, Takashi

    2006-01-01

    The fabrication of the lateral polarity-inverted GaN heterostructure on sapphire (0001) using a radio-frequency-plasma-enhanced molecular beam epitaxy is demonstrated. Its microscopic properties such as surface potentials, piezoelectric polarizations, and residual carrier densities were investigated by Kelvin force microscopy and micro-Raman scattering. The inversion from Ga polarity to N polarity in a specific domain and its higher crystal perfection had been unambiguously confirmed by these complementary analyses. The results were also fairly consistent with that of KOH etching, which suggests the applicability of these processes to the fabrication of photonic nanostructures

  1. Optimizing Ti:Sapphire laser for quantitative biomedical imaging

    Science.gov (United States)

    James, Jeemol; Thomsen, Hanna; Hanstorp, Dag; Alemán Hérnandez, Felipe Ademir; Rothe, Sebastian; Enger, Jonas; Ericson, Marica B.

    2018-02-01

    Ti:Sapphire lasers are powerful tools in the field of scientific research and industry for a wide range of applications such as spectroscopic studies and microscopic imaging where tunable near-infrared light is required. To push the limits of the applicability of Ti:Sapphire lasers, fundamental understanding of the construction and operation is required. This paper presents two projects, (i) dealing with the building and characterization of custom built tunable narrow linewidth Ti:Sapphire laser for fundamental spectroscopy studies; and the second project (ii) the implementation of a fs-pulsed commercial Ti:Sapphire laser in an experimental multiphoton microscopy platform. For the narrow linewidth laser, a gold-plated diffraction grating with a Littrow geometry was implemented for highresolution wavelength selection. We demonstrate that the laser is tunable between 700 to 950 nm, operating in a pulsed mode with a repetition rate of 1 kHz and maximum average output power around 350 mW. The output linewidth was reduced from 6 GHz to 1.5 GHz by inserting an additional 6 mm thick etalon. The bandwidth was measured by means of a scanning Fabry Perot interferometer. Future work will focus on using a fs-pulsed commercial Ti:Sapphire laser (Tsunami, Spectra physics), operating at 80 MHz and maximum average output power around 1 W, for implementation in an experimental multiphoton microscopy set up dedicated for biomedical applications. Special focus will be on controlling pulse duration and dispersion in the optical components and biological tissue using pulse compression. Furthermore, time correlated analysis of the biological samples will be performed with the help of time correlated single photon counting module (SPCM, Becker&Hickl) which will give a novel dimension in quantitative biomedical imaging.

  2. Oxidation states of Fe and Ti in blue sapphire

    International Nuclear Information System (INIS)

    Wongrawang, P; Wongkokua, W; Monarumit, N; Thammajak, N; Wathanakul, P

    2016-01-01

    X-ray absorption near-edge spectroscopy (XANES) can be used to study the oxidation state of a dilute system such as transition metal defects in solid-state samples. In blue sapphire, Fe and Ti are defects that cause the blue color. Inter-valence charge transfer (IVCT) between Fe 2+ and Ti 4+ has been proposed to describe the optical color’s origin. However, the existence of divalent iron cations has not been thoroughly investigated. Fluorescent XANES is therefore employed to study K-edge absorptions of Fe and Ti cations in various blue sapphire samples including natural, synthetic, diffused and heat-treated sapphires. All the samples showed an Fe absorption edge at 7124 eV, corresponding to the Fe 3+ state; and Ti at 4984 eV, corresponding to Ti 4+ . From these results, we propose Fe 3+ -Ti 4+ mixed acceptor states located at 1.75 eV and 2.14 eV above the valence band of corundum, that correspond to 710 nm and 580 nm bands of UV–vis absorption spectra, to describe the cause of the color of blue sapphire. (paper)

  3. Structural properties of Pt/TiO{sub 2}/Pt heterostructure grown on sapphire substrate—Influence of annealing processes

    Energy Technology Data Exchange (ETDEWEB)

    Roch, Tomas, E-mail: roch@fmph.uniba.sk; Durina, Pavol; Grancic, Branislav; Gregor, Maros; Plecenik, Tomas; Truchly, Martin; Mikula, Marian; Satrapinskyy, Leonid; Kus, Peter; Plecenik, Andrej

    2014-09-01

    Highlights: • Pt/TiO{sub 2}/Pt, Pt/TiO{sub 2} and TiO{sub 2}/Pt stacks were grown on (c-cut) Al{sub 2}O{sub 3} and annealed at 600 °C. • Pt/TiO{sub 2}/Pt contains both TiO{sub 2}-anatase (27%) and rutile (73%) phases after annealing. • Pt/TiO{sub 2} contains both anatase and rutile, TiO{sub 2}/Pt anatase phase only. • Epitaxial relationship of bottom platinum: Pt(1 1 1)[1–10]||sub(0 0 0 1)[11{sup ¯}00]. • Platinum top layer is uniaxially oriented: Pt(1 1 1)||sub(0 0 0 1). - Abstract: Simple gas sensors based on resistivity change of TiO{sub 2} thin films using combined top and bottom metallic contacts are very promising. In this work influence of ex situ annealing in ambient air on structure of TiO{sub 2} thin film stacked between two platinum contact layers has been studied. The layers were deposited using DC magnetron sputtering on unheated c-cut sapphire substrates. For lowering of the Schottky barrier at the Pt–TiO{sub 2} interfaces and for improved crystalline stability, ex situ annealing at 600 °C in air was carried out. In order to study separately influence of top and bottom platinum layers on crystal structure, also reference samples Pt/TiO{sub 2}/Al{sub 2}O{sub 3} and TiO{sub 2}/Pt/Al{sub 2}O{sub 3} have been prepared. Non-ambient X-ray diffraction measurement during annealing process and X-ray pole figures after annealing has been measured. Near epitaxial relationship was observed for bottom Pt layer grown on c-cut sapphire substrate: Pt(1 1 1)[11{sup ¯}0]||Al{sub 2}O{sub 3}(0 0 0 1)[11{sup ¯}00]. Inner titania layer shows randomly oriented both TiO{sub 2}-rutile (R) and anatase (A) phases with the volumetric ratio of R/A ∼ 2.7. If prepared without top Pt contact layer, the TiO{sub 2} transforms during annealing to random single anatase phase. The TiO{sub 2} layer overgrown with only single Pt top contact layer shows randomly oriented both rutile and anatase phases with volumetric ratio R/A ∼ 2.3. The top Pt layer on TiO{sub 2

  4. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    Science.gov (United States)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  5. Low-temperature sputtering of crystalline TiO2 films

    International Nuclear Information System (INIS)

    Musil, J.; Herman, D.; Sicha, J.

    2006-01-01

    This article reports on the investigation of reactive magnetron sputtering of transparent, crystalline titanium dioxide films. The aim of this investigation is to determine a minimum substrate surface temperature T surf necessary to form crystalline TiO 2 films with anatase structure. Films were prepared by dc pulsed reactive magnetron sputtering using a dual magnetron operating in bipolar mode and equipped with Ti(99.5) and ceramic Ti 5 O 9 targets. The films were deposited on unheated glass substrates and their structure was characterized by x-ray diffraction and surface morphology by atomic force microscopy. Special attention is devoted to the measurement of T surf using thermostrips pasted to the glass substrate. It was found that (1) T surf is considerably higher (approximately by 100 deg. C or more) than the substrate temperature T s measured by the thermocouple incorporated into the substrate holder and (2) T surf strongly depends on the substrate-to-target distance d s-t , the magnetron target power loading, and the thermal conductivity of the target and its cooling. The main result of this study is the finding that (1) the crystallization of sputtered TiO 2 films depends not only on T surf but also on the total pressure p T of sputtering gas (Ar+O 2 ), partial pressure of oxygen p O 2 , the film deposition rate a D , and the film thickness h (2) crystalline TiO 2 films with well developed anatase structure can be formed at T surf =160 deg. C and low values of a D ≅5 nm/min (3) the crystalline structure of TiO 2 film gradually changes from (i) anatase through (ii) anatase+rutile mixture, and (iii) pure rutile to x-ray amorphous structure at T surf =160 deg. C and p T =0.75 Pa when p O 2 decreases and a D increases above 5 nm/min, and (4) crystallinity of the TiO 2 films decreases with decreasing h and T surf . Interrelationships between the structure of TiO 2 film, its roughness, T surf , and a D are discussed in detail. Trends of next development are

  6. Review and perspective: Sapphire optical fiber cladding development for harsh environment sensing

    Science.gov (United States)

    Chen, Hui; Buric, Michael; Ohodnicki, Paul R.; Nakano, Jinichiro; Liu, Bo; Chorpening, Benjamin T.

    2018-03-01

    The potential to use single-crystal sapphire optical fiber as an alternative to silica optical fibers for sensing in high-temperature, high-pressure, and chemically aggressive harsh environments has been recognized for several decades. A key technological barrier to the widespread deployment of harsh environment sensors constructed with sapphire optical fibers has been the lack of an optical cladding that is durable under these conditions. However, researchers have not yet succeeded in incorporating a high-temperature cladding process into the typical fabrication process for single-crystal sapphire fibers, which generally involves seed-initiated fiber growth from the molten oxide state. While a number of advances in fabrication of a cladding after fiber-growth have been made over the last four decades, none have successfully transitioned to a commercial manufacturing process. This paper reviews the various strategies and techniques for fabricating an optically clad sapphire fiber which have been proposed and explored in published research. The limitations of current approaches and future prospects for sapphire fiber cladding are discussed, including fabrication methods and materials. The aim is to provide an understanding of the past research into optical cladding of sapphire fibers and to assess possible material systems for future research on this challenging problem for harsh environment sensors.

  7. Evolution of optical properties and band structure from amorphous to crystalline Ga2O3 films

    Science.gov (United States)

    Zhang, Fabi; Li, Haiou; Cui, Yi-Tao; Li, Guo-Ling; Guo, Qixin

    2018-04-01

    The optical properties and band structure evolution from amorphous to crystalline Ga2O3 films was investigated in this work. Amorphous and crystalline Ga2O3 films were obtained by changing the growth substrate temperatures of pulsed laser deposition and the crystallinity increase with the rising of substrate temperature. The bandgap value and ultraviolet emission intensity of the films increase with the rising of crystallinity as observed by means of spectrophotometer and cathodoluminescence spectroscopy. Abrupt bandgap value and CL emission variations were observed when amorphous to crystalline transition took place. X-ray photoelectron spectroscopy core level spectra reveal that more oxygen vacancies and disorders exist in amorphous Ga2O3 film grown at lower substrate temperature. The valence band spectra of hard X-ray photoelectron spectroscopy present the main contribution from Ga 4sp for crystalline film deposited at substrate temperature of 500 oC, while extra subgap states has been observed in amorphous film deposited at 300 oC. The oxygen vacancy and the extra subgap density of states are suggested to be the parts of origin of bandgap and CL spectra variations. The experimental data above yields a realistic picture of optical properties and band structure variation for the amorphous to crystalline transition of Ga2O3 films.

  8. Use of sapphire as a neutron damage monitor for pressure vessel steels

    International Nuclear Information System (INIS)

    Pells, G.P.; Fudge, A.J.; Murphy, M.J.; Watt, S.

    1989-01-01

    Single crystal α-Al 2 O 3 (sapphire) has been neutron irradiated over a range of dose, dose rate and neutron energy spectra at temperatures from 60 to 310 0 C. Values of optical absorption at 400 nm, the peak of the aluminum vacancy absorption band, were plotted against damage dose expressed in terms of dpa of Al in sapphire obtained from measurements of induced radio-activity in activation foils irradiated with the sapphires and from calculation of the neutron energy spectrum at the irradiation position. The neutron energy spectrum was calculated using modern neutron transport computer codes and adjusted in the light of measurements obtained from multiple foil activation experiments. A simple response curve was obtained for all sapphires irradiated at temperatures between 220 to 310 0 C and for sapphires irradiated below 200 0 C which had been annealed at 290 0 C irrespective of dose rate or neutron beam energy spectrum. The single response curve for irradiations performed in a variety of neutron energy spectra validate the neutron energy spectrum computational procedures

  9. Synthesis and properties of crystalline thin film of antimony trioxide on the Si(1 0 0) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Yasir, M. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Kuzmin, M. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Ioffe Physical-Technical Institute, Russian Academy of Sciences, St. Petersburg 194021 (Russian Federation); Punkkinen, M.P.J.; Mäkelä, J.; Tuominen, M.; Dahl, J. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Laukkanen, P., E-mail: pekka.laukkanen@utu.fi [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland); Kokko, K. [Department of Physics and Astronomy, University of Turku, FI-20014 Turku (Finland)

    2015-09-15

    Highlights: • Formation of crystalline phase of Sb{sub 2}O{sub 3} on Si(1 0 0) is demonstrated. • STM and STS characterizations of the grown Sb{sub 2}O{sub 3} film are presented. • STS results elucidate the band gap of Sb{sub 2}O{sub 3}. • Ab initio calculations reveal energetically favored Sb{sub 2}O{sub 3} surface structures. - Abstract: Atomic-scale understanding and processing of the surface and interface properties of antimony trioxide (Sb{sub 2}O{sub 3}) are essential to the development of nanoscale Sb{sub 2}O{sub 3} materials for various applications, such as photocatalysts, transparent conducting oxides, optical coatings, dielectric films, and fire retardants. Lack of atomically well-defined, crystalline Sb{sub 2}O{sub 3} templates has however hindered atomic resolution characterization of the Sb{sub 2}O{sub 3} properties. We report the preparation of crystalline Sb{sub 2}O{sub 3} thin films on the Si(1 0 0) substrate with a simple process by oxidizing Sb-covered Si(1 0 0) in proper conditions. Physical properties of the synthesized films have been elucidated by low-energy electron diffraction, scanning tunneling microscopy and spectroscopy, and ab initio calculations. The spectroscopic results show that the band gap of Sb{sub 2}O{sub 3} is 3.6 eV around the gamma point (i.e. Γ). Calculations reveal energetically favored Sb{sub 2}O{sub 3}(1 0 0) surface structures. The findings open a new path for the atomic-scale research of Sb{sub 2}O{sub 3}.

  10. Neutron Transmission through Sapphire Crystals

    DEFF Research Database (Denmark)

    of simulations, in order to reproduce the transmission of cold neutrons through sapphire crystals. Those simulations were part of the effort of validating and improving the newly developed interface between the Monte-Carlo neutron transport code MCNP and the Monte Carlo ray-tracing code McStas....

  11. Broadband dielectric characterization of sapphire/TiOx/Ba₀.₃Sr₀.₇TiO₃ (111)-oriented thin films for the realization of a tunable interdigitated capacitor.

    Science.gov (United States)

    Ghalem, Areski; Ponchel, Freddy; Remiens, Denis; Legier, Jean-Francois; Lasri, Tuami

    2013-05-01

    A complete microwave characterization up to 67 GHz using specific coplanar waveguides was performed to determine the dielectric properties (permittivity, losses, and tunability) of sapphire/TiOx/Ba0.3Sr0.7TiO3 (BST) (111)-oriented thin films. To that end, BaxSr1-xTiO3 thin films were deposited by RF magnetron sputtering on sapphire (0001) substrate. To control the preferred (111) orientation, a TiOx buffer layer was deposited on sapphire. According to the detailed knowledge of the material properties, it has been possible to conceive, fabricate, and test interdigitated capacitors, the basic element for future microwave tunable applications. Retention of capacitive behavior up to 67 GHz and a tunability of 32% at 67 GHz at an applied voltage of 30 V (150 kV/cm) were observed. The Q-factor remains greater than 30 over the entire frequency band. The possibility of a complete characterization of the material for the realization of high-performance interdigitated capacitors opens the door to microwave device fabrication.

  12. Investigation of the photoluminescence properties of Au/ZnO/sapphire and ZnO/Au/sapphire films by experimental study and electromagnetic simulation

    International Nuclear Information System (INIS)

    Zeng, Yong; Zhao, Yan; Jiang, Yijian

    2015-01-01

    Highlights: • Photoluminescent properties from Au/ZnO/sapphire and ZnO/Au/sapphire structures have been investigated. • The enhancement of UV intensity is a result of the enhanced electric field intensity of the 325 nm excitation light. • Electron transfer which induced by the local surface may be also account for the enhancement of UV emissions. • The suppression of the visible emissions might be due to the flowing of electrons in the defect states to the Au. - Abstract: Photoluminescent properties from Au/ZnO/sapphire and ZnO/Au/sapphire structures have been investigated. It is found that due to the co-interaction between the incident light and local surface plasmons, the ultraviolet (UV) emissions from the two structures were both enhanced and the visible emissions related to the defects were suppressed. By the means of electromagnetic simulation, it indicates that the enhancement of UV intensity is a result of the enhanced electric field intensity of the 325 nm excitation light, which is induced by localized surface plasmons resonance (LSPR). On the other hand, electron transfer which is induced by the local surface also account for the enhancement of UV emissions. The suppression of the visible emissions might be due to the flowing of electrons in the defect states to the Au, which caused the reduction of the electrons in the defect states

  13. Photosensitive N channel MOSFET device on silicon on sapphire substrate

    International Nuclear Information System (INIS)

    Le Goascoz, V.; Borel, J.

    1975-01-01

    An anomalous behavior of the N channel output current characteristic in a SOS MOSFET with a floating bulk is described. Such a phenomenon can be used in a photosensitive device with internal gain. Such devices can be used on SOS substrates to achieve integrated circuits with high insulating voltages and data transmission by optical means [fr

  14. Complex Pattern Formation from Current-Driven Dynamics of Single-Layer Epitaxial Islands on Crystalline Conducting Substrates

    Science.gov (United States)

    Kumar, Ashish; Dasgupta, Dwaipayan; Maroudas, Dimitrios

    We report a systematic study of complex pattern formation resulting from the driven dynamics of single-layer homoepitaxial islands on face-centered cubic (FCC) crystalline conducting substrate surfaces under the action of an externally applied electric field. The analysis is based on an experimentally validated nonlinear model of mass transport via island edge atomic diffusion, which also accounts for edge diffusional anisotropy. We analyze the morphological stability and simulate the field-driven evolution of rounded islands for an electric field oriented along the fast diffusion direction. For larger than critical island sizes on {110} and {100} FCC substrates, we show that multiple necking instabilities generate complex island patterns, including void-containing islands, mediated by sequences of breakup and coalescence events and distributed symmetrically with respect to the electric field direction. We analyze the dependence of the formed patterns on the original island size and on the duration of application of the external field. Starting from a single large rounded island, we characterize the evolution of the number of daughter islands and their average size and uniformity. The analysis reveals that the pattern formation kinetics follows a universal scaling relation. Division of Materials Sciences & Engineering, Office of Basic Energy Sciences, U.S. Department of Energy (Award No.: DE-FG02-07ER46407).

  15. Self-limiting growth of ZnO films on (0 0 0 1) sapphire substrates by atomic layer deposition at low temperatures using diethyl-zinc and nitrous oxide

    International Nuclear Information System (INIS)

    Lin, Yen-Ting; Chung, Ping-Han; Lai, Hung-Wei; Su, Hsin-Lun; Lyu, Dong-Yuan; Yen, Kuo-Yi; Lin, Tai-Yuan; Kung, Chung-Yuan; Gong, Jyh-Rong

    2009-01-01

    Atomic layer deposition (ALD) of zinc oxide (ZnO) films on (0 0 0 1) sapphire substrates was conducted at low temperatures by using diethyl-zinc (DEZn) and nitrous oxide (N 2 O) as precursors. It was found that a monolayer-by-monolayer growth regime occurred at 300 deg. C in a range of DEZn flow rates from 5.7 to 8.7 μmol/min. Furthermore, the temperature self-limiting process window for the ALD-grown ZnO films was also observed ranging from 290 to 310 deg. C. A deposition mechanism is proposed to explain how saturated growth of ZnO is achieved by using DEZn and N 2 O. Transmission spectroscopic studies of the ZnO films prepared in the self-limiting regime show that the transmittances of ZnO films are as high as 80% in visible and near infrared spectra. Experimental results indicate that ZnO films with high optical quality can be achieved by ALD at low temperatures using DEZn and N 2 O precursors.

  16. Analysis of the AlGaN/GaN vertical bulk current on Si, sapphire, and free-standing GaN substrates

    International Nuclear Information System (INIS)

    Pérez-Tomás, A.; Fontserè, A.; Llobet, J.; Placidi, M.; Rennesson, S.; Chenot, S.; Moreno, J. C.; Cordier, Y.; Baron, N.

    2013-01-01

    The vertical bulk (drain-bulk) current (I db ) properties of analogous AlGaN/GaN hetero-structures molecular beam epitaxially grown on silicon, sapphire, and free-standing GaN (FS-GaN) have been evaluated in this paper. The experimental I db (25–300 °C) have been well reproduced with physical models based on a combination of Poole-Frenkel (trap assisted) and hopping (resistive) conduction mechanisms. The thermal activation energies (E a ), the (soft or destructive) vertical breakdown voltage (V B ), and the effect of inverting the drain-bulk polarity have also been comparatively investigated. GaN-on-FS-GaN appears to adhere to the resistive mechanism (E a = 0.35 eV at T = 25–300 °C; V B = 840 V), GaN-on-sapphire follows the trap assisted mechanism (E a = 2.5 eV at T > 265 °C; V B > 1100 V), and the GaN-on-Si is well reproduced with a combination of the two mechanisms (E a = 0.35 eV at T > 150 °C; V B = 420 V). Finally, the relationship between the vertical bulk current and the lateral AlGaN/GaN transistor leakage current is explored.

  17. Evolution of optical properties and band structure from amorphous to crystalline Ga2O3 films

    Directory of Open Access Journals (Sweden)

    Fabi Zhang

    2018-04-01

    Full Text Available The optical properties and band structure evolution from amorphous to crystalline Ga2O3 films was investigated in this work. Amorphous and crystalline Ga2O3 films were obtained by changing the growth substrate temperatures of pulsed laser deposition and the crystallinity increase with the rising of substrate temperature. The bandgap value and ultraviolet emission intensity of the films increase with the rising of crystallinity as observed by means of spectrophotometer and cathodoluminescence spectroscopy. Abrupt bandgap value and CL emission variations were observed when amorphous to crystalline transition took place. X-ray photoelectron spectroscopy core level spectra reveal that more oxygen vacancies and disorders exist in amorphous Ga2O3 film grown at lower substrate temperature. The valence band spectra of hard X-ray photoelectron spectroscopy present the main contribution from Ga 4sp for crystalline film deposited at substrate temperature of 500 oC, while extra subgap states has been observed in amorphous film deposited at 300 oC. The oxygen vacancy and the extra subgap density of states are suggested to be the parts of origin of bandgap and CL spectra variations. The experimental data above yields a realistic picture of optical properties and band structure variation for the amorphous to crystalline transition of Ga2O3 films.

  18. Cracking of GaN on sapphire from etch-process-induced nonuniformity in residual thermal stress

    International Nuclear Information System (INIS)

    Lacroix, Yves; Chung, Sung-Hoon; Sakai, Shiro

    2001-01-01

    An experiment was performed to explain the appearance of cracks along mesa structures during the processing of GaN device layers grown on sapphire substrates. Micro-Raman spectroscopy was used to measure the position-dependent stress in the GaN layer. We show evidence that the stress at the interface with the substrate may be larger along the mesa structures than that of the as-grown layer, and that this increase in stress can be enough to induce cracks along mesa structures during processing. We report on the formation of cracks that propagate guided by the nonuniformity of the stress induced by the formation of mesa structures in the GaN layer, independent of crystal direction. The understanding of cracking mechanisms has implications in GaN-based device structures that require heteroepitaxial growth of layers with different lattice size and thermal expansion coefficients. [copyright] 2001 American Institute of Physics

  19. High Temperature Testing with Sapphire Fiber White-Light Michelson Interferometers

    Science.gov (United States)

    Barnes, A.; Pedrazzani, J.; May, R.; Murphy, K.; Tran, T.; Coate, J.

    1996-01-01

    In the design of new aerospace materials, developmental testing is conducted to characterize the behavior of the material under severe environmental conditions of high stress, temperature, and vibration. But to test these materials under extreme conditions requires sensors that can perform in harsh environments. Current sensors can only monitor high temperature test samples using long throw instrumentation, but this is inherently less accurate than a surface mounted sensor, and provides no means for fabrication process monitoring. A promising alternative is the use of sapphire optical fiber sensors. Sapphire is an incredibly rugged material, being extremely hard (9 mhos), chemically inert, and having a melting temperature (over 2000 C). Additionally, there is a extensive background of optical fiber sensors upon which to draw for sapphire sensor configurations.

  20. Thermal healing of the sub-surface damage layer in sapphire

    International Nuclear Information System (INIS)

    Pinkas, Malki; Lotem, Haim; Golan, Yuval; Einav, Yeheskel; Golan, Roxana; Chakotay, Elad; Haim, Avivit; Sinai, Ela; Vaknin, Moshe; Hershkovitz, Yasmin; Horowitz, Atara

    2010-01-01

    The sub-surface damage layer formed by mechanical polishing of sapphire is known to reduce the mechanical strength of the processed sapphire and to degrade the performance of sapphire based components. Thermal annealing is one of the methods to eliminate the sub-surface damage layer. This study focuses on the mechanism of thermal healing by studying its effect on surface topography of a- and c-plane surfaces, on the residual stresses in surface layers and on the thickness of the sub-surface damage layer. An atomically flat surface was developed on thermally annealed c-plane surfaces while a faceted roof-top topography was formed on a-plane surfaces. The annealing resulted in an improved crystallographic perfection close to the sample surface as was indicated by a noticeable decrease in X-ray rocking curve peak width. Etching experiments and surface roughness measurements using white light interferometry with sub-nanometer resolution on specimens annealed to different extents indicate that the sub-surface damage layer of the optically polished sapphire is less than 3 μm thick and it is totally healed after thermal treatment at 1450 deg. C for 72 h.

  1. Flashlamp pumped Ti-sapphire laser for ytterbium glass chirped pulse amplification

    Energy Technology Data Exchange (ETDEWEB)

    Nishimura, Akihiko; Ohzu, Akira; Sugiyama, Akira [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment; and others

    1998-03-01

    A flashlamp pumped Ti:sapphire laser is designed for ytterbium glass chirped pulse amplification. A high quality Ti:sapphire rod and a high energy long pulse discharging power supply are key components. The primary step is to produce the output power of 10 J per pulse at 920 nm. (author)

  2. Single phase semipolar (11 anti 22) GaN on (10 anti 10) sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Stellmach, J.; Schwaner, T.; Frentrup, M.; Wernicke, T.; Pristovsek, M.; Kneissl, M. [Institute of Solid States Physics, (Germany); Park, J.B.; Niermann, T.; Lehmann, M. [Institute of Optics and Atomic Physics, TU Berlin, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    InGaN quantum well based light emitters grown on (0001) GaN suffer from poor quantum efficiencies with increasing indium mole fraction due to strong polarization fields along the polar crystal orientation. This effect can be greatly reduced by growing on semi- and non-polar GaN orientations. Semipolar (11 anti 22) GaN layers were deposited by metalorganic vapour phase epitaxy on (10 anti 10) sapphire. After sapphire substrate nitridation at 1000 C, a GaN nucleation layer was deposited at high temperature, followed by the deposition of 1.5 nm thick GaN buffer layers. The samples show predominantly (11 anti 22) orientation with a small fraction of (10 anti 13) oriented domains. With increasing nitridation layer thickness the (10 anti 13) phase is suppressed leading to a very smooth surface morphology (rms roughness < 4nm). PL measurements show dominant basel plane stacking fault (BSF) I{sub 1} luminescence without any other defects. Transmission electron microscopy measurements reveal a high BSF density. The FWHM of the X-ray diffraction rocking curve measurements of the (1122) reflection decreases to 1193 arcsec and 739 arcsec along [1 anti 100] and [11 anti 23] respectively with increasing nucleation temperature. Using high temperature nucleation smooth and homogeneous (11 anti 22) phase GaN layers have been obtained.

  3. Large-area WSe2 electric double layer transistors on a plastic substrate

    KAUST Repository

    Funahashi, Kazuma; Pu, Jiang; Li, Ming Yang; Li, Lain-Jong; Iwasa, Yoshihiro; Takenobu, Taishi

    2015-01-01

    Due to the requirements for large-area, uniform films, currently transition metal dichalcogenides (TMDC) cannot be used in flexible transistor industrial applications. In this study, we first transferred chemically grown large-area WSe2 monolayer films from the as-grown sapphire substrates to the flexible plastic substrates. We also fabricated electric double layer transistors using the WSe2 films on the plastic substrates. These transistors exhibited ambipolar operation and an ON/OFF current ratio of ∼104, demonstrating chemically grown WSe2 transistors on plastic substrates for the first time. This achievement can be an important first step for the next-generation TMDC based flexible devices. © 2015 The Japan Society of Applied Physics.

  4. Large-area WSe2 electric double layer transistors on a plastic substrate

    KAUST Repository

    Funahashi, Kazuma

    2015-04-27

    Due to the requirements for large-area, uniform films, currently transition metal dichalcogenides (TMDC) cannot be used in flexible transistor industrial applications. In this study, we first transferred chemically grown large-area WSe2 monolayer films from the as-grown sapphire substrates to the flexible plastic substrates. We also fabricated electric double layer transistors using the WSe2 films on the plastic substrates. These transistors exhibited ambipolar operation and an ON/OFF current ratio of ∼104, demonstrating chemically grown WSe2 transistors on plastic substrates for the first time. This achievement can be an important first step for the next-generation TMDC based flexible devices. © 2015 The Japan Society of Applied Physics.

  5. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  6. Sapphire-fiber-based distributed high-temperature sensing system.

    Science.gov (United States)

    Liu, Bo; Yu, Zhihao; Hill, Cary; Cheng, Yujie; Homa, Daniel; Pickrell, Gary; Wang, Anbo

    2016-09-15

    We present, for the first time to our knowledge, a sapphire-fiber-based distributed high-temperature sensing system based on a Raman distributed sensing technique. High peak power laser pulses at 532 nm were coupled into the sapphire fiber to generate the Raman signal. The returned Raman Stokes and anti-Stokes signals were measured in the time domain to determine the temperature distribution along the fiber. The sensor was demonstrated from room temperature up to 1200°C in which the average standard deviation is about 3.7°C and a spatial resolution of about 14 cm was achieved.

  7. Growth and characterization of AlxGa1-xN LEO substrates

    International Nuclear Information System (INIS)

    Paek, H.S.; Sakong, T.; Lee, S.N.; Son, J.K.; Ryu, H.Y.; Nam, O.H.; Park, Y.

    2006-01-01

    We have studied the effect of Al composition on the properties of Al x Ga 1-x N LEO substrates. Al x Ga 1-x N LEO substrates were prepared on stripe-patterned 2μm-thick undoped GaN/sapphire substrates by metalorganic chemical vapor deposition. To investigate the dislocation and crack density, and the surface morphology of Al x Ga 1-x N LEO substrates with different Al compositions, photoluminescence and optical microscope were used. At a 1% of Al composition, we obtained crack-free and mirror-like substrates having a low dislocation density of ∼1E6cm -2 . We expect considerable reduction in threshold current density to be achieved from blue-violet laser diodes grown on Al x Ga 1-x N LEO substrates because of the increased optical gain, as compared to the laser diodes grown on Al-free LEO substrates

  8. Meniscus-force-mediated layer transfer technique using single-crystalline silicon films with midair cavity: Application to fabrication of CMOS transistors on plastic substrates

    Science.gov (United States)

    Sakaike, Kohei; Akazawa, Muneki; Nakagawa, Akitoshi; Higashi, Seiichiro

    2015-04-01

    A novel low-temperature technique for transferring a silicon-on-insulator (SOI) layer with a midair cavity (supported by narrow SiO2 columns) by meniscus force has been proposed, and a single-crystalline Si (c-Si) film with a midair cavity formed in dog-bone shape was successfully transferred to a poly(ethylene terephthalate) (PET) substrate at its heatproof temperature or lower. By applying this proposed transfer technique, high-performance c-Si-based complementary metal-oxide-semiconductor (CMOS) transistors were successfully fabricated on the PET substrate. The key processes are the thermal oxidation and subsequent hydrogen annealing of the SOI layer on the midair cavity. These processes ensure a good MOS interface, and the SiO2 layer works as a “blocking” layer that blocks contamination from PET. The fabricated n- and p-channel c-Si thin-film transistors (TFTs) on the PET substrate showed field-effect mobilities of 568 and 103 cm2 V-1 s-1, respectively.

  9. Shock-Assisted Superficial Hexagonal-to-Cubic Phase Transition in GaN/Sapphire Interface Induced by Using Ultra-violet Laser Lift-Of Techniques

    International Nuclear Information System (INIS)

    Wei-Hua, Chen; Xiao-Dong, Hu; Xiang-Ning, Kang; Xu-Rong, Zhou; Xiao-Min, Zhang; Tong-Jun, Yu; Zhi-Jian, Yang; Ke, Xu; Guo-Yi, Zhang; Xu-Dong, Shan; Li-Ping, You

    2009-01-01

    Ultra-violet (KrF excimer laser, λ = 248 nm) laser lift-of (LLO) techniques have been operated to the GaN/sapphire structure to separate GaN from the sapphire substrate. Hexagonal to cubic phase transformation induced by the ultra-violet laser lift-of (UV-LLO) has been characterized by micro-Raman spectroscopy, micro-photoluminescence, along with high-resolution transmission electron microscopy (HRTEM). HRTEM indicates that UV-LLO induced phase transition takes place above the LLO interface, without phase transition under the LLO interface. The formed cubic GaN often exists as nanocrystal grains attaching on the bulk hexagonal GaN. The half-loop-cluster-like UV-LLO interface indicates that the LLO-induced shock waves has generated and played an assistant role in the decomposition of the hexagonal GaN and in the formation of cubic GaN grains at the LLO surface

  10. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  11. Impact of the AlN seeding layer thickness on GaN orientation on high index Si-substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Veit, Peter; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg (Germany). FNW/IEP/AHE

    2010-07-01

    Silicon is considered to be a reasonable alternative to substrates such as sapphire and SiC, because of its low price and availability in large diameters. Because of spontaneous and strain induced piezoelectric polarization field along the c-axis, leading to the separation of electrons and holes in quantum wells reducing the recombination efficiency, c-axis oriented GaN-based light emitters have a low efficiency, especially in the longer wavelength region. In order to reduce or eliminate these polarization effects, semi-polar or non-polar GaN-heterostructure is favored. In this work we investigated the growth of GaN applying a low temperature AlN seeding layer with various thicknesses. The impact of the AlN seeding layer on GaN orientation using different Si substrate orientations (e. g. (211), (711), (410), (100)+4.5 off) were investigated by x-ray diffraction measurements in Bragg-Brentano geometry and X-ray pole figure measurements. We found that the thickness of the AlN seeding layer plays a significant role in obtaining different GaN textures. Applying a about 4 nm AlN seeding layer we achieved a single crystalline GaN epilayer on Si (211) with a 18 tilted c-axis orientation. Some of the samples were characterized by scanning electron microscopy and transmission electron microscopy.

  12. Method of forming an oxide superconducting thin film having an R1A2C3 crystalline phase over an R2A1C1 crystalline phase

    International Nuclear Information System (INIS)

    Lelental, M.; Romanofsky, H.J.

    1992-01-01

    This patent describes a process which comprises forming a mixed rare earth alkaline earth copper oxide layer on a substrate and converting the mixed rare earth alkaline earth copper oxide layer to an electrically conductive layer. It comprises crystalline R 1 A 2 C 3 oxide phase by heating in the presence of oxygen, wherein rare earth and R is in each instance chosen from among yttrium, lanthanum, samarium, europium, gadolinium, dysprosium, holmium, erbium, thulium, ytterbium, and lutetium and alkaline earth and A is in each instance chosen from among calcium, strontium and barium, characterized in that a crystalline R 2 A 1 C 1 oxide phase is first formed as a layer on the substrate and the crystalline R 1 A 2 C 3 oxide phase is formed over the crystalline R 2 A 1 C 1 oxide phase by coating a mixed rare earth alkaline earth copper oxide on the crystalline R 2 A 1 C 1 oxide phase and heating the mixed rare earth alkaline earth copper oxide to a temperature of at least 1000 degrees C

  13. SERS Raman Sensor Based on Diameter-Modulated Sapphire Fiber

    Energy Technology Data Exchange (ETDEWEB)

    Shimoji, Yutaka

    2010-08-09

    Surface enhanced Raman scattering (SERS) has been observed using a sapphire fiber coated with gold nano-islands for the first time. The effect was found to be much weaker than what was observed with a similar fiber coated with silver nanoparticles. Diameter-modulated sapphire fibers have been successfully fabricated on a laser heated pedestal growth system. Such fibers have been found to give a modest increase in the collection efficiency of induced emission. However, the slow response of the SERS effect makes it unsuitable for process control applications.

  14. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  15. Magnetron-sputter deposition of high-indium-content n-AlInN thin film on p-Si(001) substrate for photovoltaic applications

    International Nuclear Information System (INIS)

    Liu, H. F.; Tan, C. C.; Dalapati, G. K.; Chi, D. Z.

    2012-01-01

    Al 0.278 In 0.722 N thin films have been grown on p-type Si(001) and c-plane sapphire substrates by employing radio-frequency magnetron-sputter deposition at elevated temperatures. High-resolution x-ray diffraction, as well as pole-figure measurements, reveals no phase separation of the thin films. The Al 0.278 In 0.722 N film grown on p-Si(001) substrate is a typical fiber-texture with AlInN(0001)//Si(001) while that on the c-sapphire exhibits the onset of epitaxy. Microscopic studies reveal that the growth is dominated by a columnar mechanism and the average columnar grain diameter is about 31.5 and 50.8 nm on p-Si(001) and c-sapphire substrates, respectively. Photoluminescence at room-temperature exhibits a strong emission peak at 1.875 eV, smaller than the optical absorption edge (2.102 eV) but larger than the theoretical bandgap energy (1.70 eV), which is attributable to the band-filling effect, as is supported by the high electron density of 4.5 × 10 20 cm −3 . The n-Al 0.278 In 0.722 N/p-Si(001) heterostructure is tested for solar cells and the results are discussed based on the I-V characteristics and their fittings.

  16. Effect of growth interruption on the crystalline quality and electrical properties of Ga-doped ZnO thin film deposited on quartz substrate by magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Geun-Hyoung

    2013-01-01

    Ga-doped ZnO(GZO) thin films were deposited on the quartz substrate by magnetron sputtering system with growth interruption technique. As the number of interruptions and interruption time increased, the carrier concentration and Hall mobility in GZO films significantly increased. As a result, the resistivity of GZO films decreased. The optical transmittance of GZO films also increased with the number of interruption and interruption time. The transmittance showed over 90% in visual region. Atomic force microscopy measurement showed that the film surface became smoother with an increase of the number of interruption. In addition, the crystalline quality and electrical properties of GZO films were more improved when the growth interruption was employed with a temperature gradient. - Highlights: • Ga-doped ZnO thin films were deposited with growth interruption technique. • The crystallinity of the films was improved with the number of interruptions. • The crystallinity of the films was improved as the interruption time increased. • The growth interruption with a temperature gradient more improved the film quality

  17. Efficient continuous-wave and passively Q-switched pulse laser operations in a diffusion-bonded sapphire/Er:Yb:YAl3(BO3)4/sapphire composite crystal around 1.55 μm.

    Science.gov (United States)

    Chen, Yujin; Lin, Yanfu; Huang, Jianhua; Gong, Xinghong; Luo, Zundu; Huang, Yidong

    2018-01-08

    A composite crystal consisting of a 1.5-mm-thick Er:Yb:YAl 3 (BO 3 ) 4 crystal between two 1.2-mm-thick sapphire crystals was fabricated by the thermal diffusion bonding technique. Compared with a lone Er:Yb:YAl 3 (BO 3 ) 4 crystal measured under the identical experimental conditions, higher laser performances were demonstrated in the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal due to the reduction of the thermal effects. End-pumped by a 976 nm laser diode in a hemispherical cavity, a 1.55 μm continuous-wave laser with a maximum output power of 1.75 W and a slope efficiency of 36% was obtained in the composite crystal when the incident pump power was 6.54 W. Passively Q-switched by a Co 2+ :MgAl 2 O 4 crystal, a 1.52 μm pulse laser with energy of 10 μJ and repetition frequency of 105 kHz was also realized in the composite crystal. Pulse width was 315 ns. The results show that the sapphire/Er:Yb:YAl 3 (BO 3 ) 4 /sapphire composite crystal is an excellent active element for 1.55 μm laser.

  18. Synthesis of few-layer, large area hexagonal-boron nitride by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Glavin, Nicholas R. [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States); School of Mechanical Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States); Jespersen, Michael L. [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States); University of Dayton Research Institute, 300 College Park, Dayton, OH 45469 (United States); Check, Michael H. [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States); Hu, Jianjun [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States); University of Dayton Research Institute, 300 College Park, Dayton, OH 45469 (United States); Hilton, Al M. [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States); Wyle Laboratories, Dayton, OH 45433 (United States); Fisher, Timothy S. [School of Mechanical Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, Andrey A. [Nanoelectronic Materials Branch, Air Force Research Laboratory, Wright-Patterson AFB, Dayton, OH 45433 (United States)

    2014-12-01

    Pulsed laser deposition (PLD) has been investigated as a technique for synthesis of ultra-thin, few-layer hexagonal boron nitride (h-BN) thin films on crystalline highly ordered pyrolytic graphite (HOPG) and sapphire (0001) substrates. The plasma-based processing technique allows for increased excitations of deposited atoms due to background nitrogen gas collisional ionizations and extended resonance time of the energetic species presence at the condensation surface. These processes permit growth of thin, polycrystalline h-BN at 700 °C, a much lower temperature than that required by traditional growth methods. Analysis of the as-deposited films reveals epitaxial-like growth on the nearly lattice matched HOPG substrate, resulting in a polycrystalline h-BN film, and amorphous BN (a-BN) on the sapphire substrates, both with thicknesses of 1.5–2 nm. Stoichiometric films with boron-to-nitrogen ratios of unity were achieved by adjusting the background pressure within the deposition chamber and distance between the target and substrate. The reduction in deposition temperature and formation of stoichiometric, large-area h-BN films by PLD provide a process that is easily scaled-up for two-dimensional dielectric material synthesis and also present a possibility to produce very thin and uniform a-BN. - Highlights: • PLD was used to synthesize boron nitride thin films on HOPG and sapphire substrates. • Lattice matched substrate allowed for formation of polycrystalline h-BN. • Nitrogen gas pressure directly controlled film chemistry and structure. • Technique allows for ultrathin, uniform films at reduced processing temperatures.

  19. Effect of the laser sputtering parameters on the orientation of a cerium oxide buffer layer on sapphire and the properties of a YBa2Cu3Ox superconducting film

    DEFF Research Database (Denmark)

    Mozhaev, P. B.; Ovsyannikov, G. A.; Skov, Johannes

    1999-01-01

    The effect of the laser sputtering parameters on the crystal properties of CeO2 buffer layers grown on a (1 (1) under bar 02) sapphire substrate and on the properties of superconducting YBa2Cu3Ox thin films are investigated. It is shown that (100) and (111) CeO2 growth is observed, depending on t...

  20. a-Si:H crystallization from isothermal annealing and its dependence on the substrate used

    Energy Technology Data Exchange (ETDEWEB)

    Rojas-Lopez, M., E-mail: marlonrl@yahoo.com.mx [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Orduna-Diaz, A.; Delgado-Macuil, R.; Gayou, V.L.; Bibbins-Martinez, M. [CIBA-Tlaxcala, Instituto Politecnico Nacional, Tepetitla, Tlax. 90700 (Mexico); Torres-Jacome, A.; Trevino-Palacios, C.G. [INAOE, Tonantzintla, Puebla, Pue. 72000 (Mexico)

    2010-10-25

    We present hydrogenated amorphous silicon (a-Si:H) films which were deposited on two different substrates (glass and mono-crystalline silicon) after an isothermal annealing treatment at 250 deg. C for up to 14 h. The annealed amorphous films were analyzed using atomic force microscopy, Raman and FTIR spectroscopy. Films deposited on glass substrate experienced an amorphous-crystalline phase transition after annealing because of the metal-induced crystallization effect, reaching approximately 70% conversion after 14 h of annealing. An absorption frequency of the TO-phonon mode that varies systematically with the substoichiometry of the silicon oxide in the 1046-1170 cm{sup -1} region was observed, revealing the reactivity of the film with the annealing time. For similar annealing time, films deposited on mono-crystalline silicon substrate remained mainly amorphous with minimal Si-crystalline formation. Therefore, the crystalline formations and the shape of the films surfaces depends on the annealing time as well as on the substrate employed during the deposition process of the a-Si:H film.

  1. Nanoscale abnormal grain growth in (001) epitaxial ceria

    International Nuclear Information System (INIS)

    Solovyov, Vyacheslav F.; Develos-Bagarinao, Katherine; Nykypanchuk, Dmytro

    2009-01-01

    X-ray reciprocal-space mapping and atomic force microscopy (AFM) are used to study kinetics and mechanisms of lateral grain growth in epitaxial (001) ceria (CeO 2 ) deposited by pulsed laser deposition on (001) yttria-stabilized zirconia (YSZ) and (12 lowbar 10) (r-cut) sapphire. Rate and character of the grain growth during postannealing at 1050 deg. C are found to be strongly dependent on the type of the epitaxial substrate. Films deposited on YSZ exhibit signatures of normal grain growth, which stagnated after the lateral grain size reaches 40 nm, consistent with the grain-boundary pinning by the thermal grooving. In contrast, when r-cut sapphire substrate was used, abnormal (secondary) grain growth is observed. A small population of grains grow to well over 100 nm consuming smaller, 100 nm large (001) terminations and rendering the sample single-crystalline quality. The grain growth is accompanied by reduction in lateral rms strain, resulting in a universal grain size--rms strain dependence. Analysis of the AFM and x-ray diffraction data leads to the conclusion that bimodal initial grain population consisting of grains with very different sizes is responsible for initiation of the abnormal growth in (001) CeO 2 films on r-cut sapphire. Due to different surface chemistry, when a YSZ substrate is used, the initial grain distribution is monomodal, therefore only normal growth is active. We demonstrate that a 2.2 deg. miscut of the sapphire substrate eliminates the large-grain population, thus suppressing abnormal grain growth. It is concluded that utilization of abnormal grain growth is a promising way for synthesis of large (001) ceria terminations.

  2. Sea level characterization of a 1100 g sapphire bolometer

    CERN Document Server

    Pécourt, S; Bobin, C; Coron, N; Jesus, M D; Hadjout, J P; Leblanc, J W; Marcillac, P D

    1999-01-01

    A first characterization of a 1100 g sapphire bolometer, performed at sea level and at a working temperature of 40 mK, is presented. Despite perturbations coming from the high-radioactive background and cosmic rays, calibration spectra could be achieved with an internal alpha source and a sup 5 sup 7 Co gamma-ray source: the experimental threshold is 25 keV, while the FWHM resolution is 17.4 keV for the 122 keV peak. Possible heat release effects are discussed, and a new limit of 9x10 sup - sup 1 sup 4 W/g is obtained for sapphire.

  3. Magneto-responsive liquid crystalline elastomer nanocomposites as potential candidates for dynamic cell culture substrates

    Energy Technology Data Exchange (ETDEWEB)

    Herrera-Posada, Stephany; Mora-Navarro, Camilo; Ortiz-Bermudez, Patricia; Torres-Lugo, Madeline [Department of Chemical Engineering, Call Box 9000, University of Puerto Rico, Mayagüez PR 00681 (Puerto Rico); McElhinny, Kyle M.; Evans, Paul G. [Department of Materials Science and Engineering, 1509 University Avenue, University of Wisconsin-Madison, WI 53706 (United States); Calcagno, Barbara O. [Department of General Engineering, Call Box 9000, University of Puerto Rico, Mayagüez PR 00681 (Puerto Rico); Acevedo, Aldo, E-mail: aldo.acevedo@upr.edu [Department of Chemical Engineering, Call Box 9000, University of Puerto Rico, Mayagüez PR 00681 (Puerto Rico)

    2016-08-01

    Recently, liquid crystalline elastomers (LCEs) have been proposed as active substrates for cell culture due to their potential to attach and orient cells, and impose dynamic mechanical signals through the application of external stimuli. In this report, the preparation of anisotropic and oriented nematic magnetic-sensitized LCEs with iron oxide nanoparticles, and the evaluation of the effect of particle addition at low concentrations on the resultant structural, thermal, thermo-mechanical, and mechanical properties is presented. Phase transformations produced by heating in alternating magnetic fields were investigated in LCEs in contact with air, water, and a common liquid cell culture medium was also evaluated. The inclusion of nanoparticles into the elastomers displaced the nematic-to-isotropic phase transition, without affecting the nematic structure as evidenced by similar values of the order parameter, while reducing the maximum thermomechanical deformations. Remote and reversible deformations of the magnetic LCEs were achieved through the application of alternating magnetic fields, which induces the nematic–isotropic phase transition through nanoparticle heat generation. Formulation parameters can be modified to allow for remote actuation at values closer to the human physiological temperature range and within the range of deformations that can affect the cellular behavior of fibroblasts. Finally, a collagen surface treatment was performed to improve compatibility with NIH-3T3 fibroblast cultures, which enabled the attachment and proliferation of fibroblasts on substrates with and without magnetic particles under quiescent conditions. The LCEs developed in this work, which are able to deform and experience stress changes by remote contact-less magnetic stimulation, may allow for further studies on the effect of substrate morphology changes and dynamic mechanical properties during in vitro cell culture. - Highlights: • Magnetic LCE nanocomposites were

  4. Magneto-responsive liquid crystalline elastomer nanocomposites as potential candidates for dynamic cell culture substrates

    International Nuclear Information System (INIS)

    Herrera-Posada, Stephany; Mora-Navarro, Camilo; Ortiz-Bermudez, Patricia; Torres-Lugo, Madeline; McElhinny, Kyle M.; Evans, Paul G.; Calcagno, Barbara O.; Acevedo, Aldo

    2016-01-01

    Recently, liquid crystalline elastomers (LCEs) have been proposed as active substrates for cell culture due to their potential to attach and orient cells, and impose dynamic mechanical signals through the application of external stimuli. In this report, the preparation of anisotropic and oriented nematic magnetic-sensitized LCEs with iron oxide nanoparticles, and the evaluation of the effect of particle addition at low concentrations on the resultant structural, thermal, thermo-mechanical, and mechanical properties is presented. Phase transformations produced by heating in alternating magnetic fields were investigated in LCEs in contact with air, water, and a common liquid cell culture medium was also evaluated. The inclusion of nanoparticles into the elastomers displaced the nematic-to-isotropic phase transition, without affecting the nematic structure as evidenced by similar values of the order parameter, while reducing the maximum thermomechanical deformations. Remote and reversible deformations of the magnetic LCEs were achieved through the application of alternating magnetic fields, which induces the nematic–isotropic phase transition through nanoparticle heat generation. Formulation parameters can be modified to allow for remote actuation at values closer to the human physiological temperature range and within the range of deformations that can affect the cellular behavior of fibroblasts. Finally, a collagen surface treatment was performed to improve compatibility with NIH-3T3 fibroblast cultures, which enabled the attachment and proliferation of fibroblasts on substrates with and without magnetic particles under quiescent conditions. The LCEs developed in this work, which are able to deform and experience stress changes by remote contact-less magnetic stimulation, may allow for further studies on the effect of substrate morphology changes and dynamic mechanical properties during in vitro cell culture. - Highlights: • Magnetic LCE nanocomposites were

  5. Complex Pattern Formation from Current-Driven Dynamics of Single-Layer Homoepitaxial Islands on Crystalline Conducting Substrates

    Science.gov (United States)

    Kumar, Ashish; Dasgupta, Dwaipayan; Maroudas, Dimitrios

    2017-07-01

    We report a systematic study of complex pattern formation resulting from the driven dynamics of single-layer homoepitaxial islands on surfaces of face-centered-cubic (fcc) crystalline conducting substrates under the action of an externally applied electric field. The analysis is based on an experimentally validated nonlinear model of mass transport via island edge atomic diffusion, which also accounts for edge diffusional anisotropy. We analyze the morphological stability and simulate the field-driven evolution of rounded islands for an electric field oriented along the fast edge diffusion direction. For larger-than-critical island sizes on {110 } and {100 } fcc substrates, we show that multiple necking instabilities generate complex island patterns, including not-simply-connected void-containing islands mediated by sequences of breakup and coalescence events and distributed symmetrically with respect to the electric field direction. We analyze the dependence of the formed patterns on the original island size and on the duration of application of the external field. Starting from a single large rounded island, we characterize the evolution of the number of daughter islands and their average size and uniformity. The evolution of the average island size follows a universal power-law scaling relation, and the evolution of the total edge length of the islands in the complex pattern follows Kolmogorov-Johnson-Mehl-Avrami kinetics. Our study makes a strong case for the use of electric fields, as precisely controlled macroscopic forcing, toward surface patterning involving complex nanoscale features.

  6. Sapphire scintillation tests for cryogenic detectors in the Edelweiss dark matter search

    Energy Technology Data Exchange (ETDEWEB)

    Luca, M

    2007-07-15

    Identifying the matter in the universe is one of the main challenges of modern cosmology and astrophysics. An important part of this matter seems to be made of non-baryonic particles. Edelweiss is a direct dark matter search using cryogenic germanium bolometers in order to look for particles that interact very weakly with the ordinary matter, generically known as WIMPs (weakly interacting massive particles). An important challenge for Edelweiss is the radioactive background and one of the ways to identify it is to use a larger variety of target crystals. Sapphire is a light target which can be complementary to the germanium crystals already in use. Spectroscopic characterization studies have been performed using different sapphire samples in order to find the optimum doping concentration for good low temperature scintillation. Ti doped crystals with weak Ti concentrations have been used for systematic X ray excitation tests both at room temperature and down to 30 K. The tests have shown that the best Ti concentration for optimum room temperature scintillation is 100 ppm and 50 ppm at T = 45 K. All concentrations have been checked by optical absorption and fluorescence. After having shown that sapphire had interesting characteristics for building heat-scintillation detectors, we have tested if using a sapphire detector was feasible within a dark matter search. During the first commissioning tests of Edelweiss-II, we have proved the compatibility between a sapphire heat scintillation detector and the experimental setup. (author)

  7. Study of the structural evolutions of crystalline tungsten oxide films prepared using hot-filament CVD

    International Nuclear Information System (INIS)

    Feng, P X; Wang, X P; Zhang, H X; Yang, B Q; Wang, Z B; Gonzalez-BerrIos, A; Morell, G; Weiner, B

    2007-01-01

    Structural evolutions of tungsten oxide(WO 3 ) samples on different substrates are studied using Raman spectroscopy, scanning electron microscopy, energy dispersive spectroscopy, x-ray diffraction and x-ray photoelectron spectroscopy. The WO 3 samples are prepared using hot-filament CVD techniques. The focus of the study is on the evolutions of nano structures at different stages following deposition time. The experimental measurements reveal evolutions of the surface structures from uniform film to fractal-like structures, and eventually to nano particles, and crystalline structures from mono (0 1 0) crystalline thin film to polycrystalline thick film developments. The effect of high temperature on the nanostructured WO 3 is also investigated. Well-aligned nanoscale WO 3 rod arrays are obtained at a substrate temperature of up to 1400 deg. C. Further increasing the substrate temperature yields microscale crystalline WO 3 particles

  8. Influence of the substrate on the morphological evolution of gold thin films during solid-state dewetting

    International Nuclear Information System (INIS)

    Nsimama, Patrick D.; Herz, Andreas; Wang, Dong; Schaaf, Peter

    2016-01-01

    Highlights: • Dewetting of thin gold films is faster on TiO_2 than on SiO_2. • Dewetting of thin gold films is faster on amorphous TiO_2 than on crystalline TiO_2. • The kinetics is attributed to the energy of adhesion. • The morphology of thin Au films deposited on TiO_2 substrates is different to those deposited on SiO_2 substrates. • The dewetting activation energy of Au films deposited on crystalline substrates was higher than the activation energy of Au nanofilms deposited on amorphous TiO_2 substrates. - Abstract: The evolution of electron-beam evaporated Au thin films deposited on crystalline TiO_2 (c-TiO_2) and amorphous TiO_2 (a-TiO_2) as well as amorphous SiO_2 substrates are investigated. The kinetic of dewetting is clearly dependent on the type of substrate and is faster on TiO_2 substrates than on SiO_2 substrates. This difference can result from the difference in adhesion energy. Furthermore, the kinetic of dewetting is faster on a-TiO_2 than on c-TiO_2, possibly due to the crystallization of TiO_2 during annealing induced dewetting process. The morphologies of dewetted Au films deposited on crystalline TiO_2 are characterized by branched holes. The XRD patterns of the Au films deposited on TiO_2 substrates constituted peaks from both metallic Au and anatase TiO_2. The activation energy of Au films deposited on crystalline TiO_2 substrates was higher than that that of the films deposited on amorphous TiO_2 substrates.

  9. Fe-N{sub x}/C assisted chemical–mechanical polishing for improving the removal rate of sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Li, E-mail: xl0522@126.com [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zou, Chunli; Shi, Xiaolei [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Luo, Guihai; Zhou, Yan [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-07-15

    Highlights: • A novel non-noble metal catalyst (Fe-N{sub x}/C) was prepared. • Fe-N{sub x}/C shows remarkable catalytic activity for improving the removal rate of sapphire in alkaline solution. • The optimum CMP removal by Fe-N{sub x}/C yielded a superior surface finish of 0.078 nm the average roughness. • Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group possibly serving as the catalytic sites. • A soft hydration layer (boehmite, AlO(OH)) was generated on the surface of sapphire during CMP process. - Abstract: In this paper, a novel non-noble metal catalyst (Fe-N{sub x}/C) is used to improve the removal mass of sapphire as well as obtain atomically smooth sapphire wafer surfaces. The results indicate that Fe-N{sub x}/C shows good catalytic activity towards sapphire removal rate. And the material removal rates (MRRs) are found to vary with the catalyst content in the polishing fluid. Especially that when the polishing slurry mixes with 16 ppm Fe-N{sub x}/C shows the maximum MRR and its removal mass of sapphire is 38.43 nm/min, more than 15.44% larger than traditional CMP using the colloidal silicon dioxide (SiO{sub 2}) without Fe-N{sub x}/C. Catalyst-assisted chemical–mechanical polishing of sapphire is studied with X-ray photoelectron spectroscopy (XPS). It is found that the formation of a soft hydration layer (boehmite, γ-AlOOH or γ-AlO(OH)) on sapphire surface facilitates the material removal and achieving fine surface finish on basal plane. Abrasives (colloid silica together with magnetite, ingredient of Fe-N{sub x}/C) with a hardness between boehmite and sapphire polish the c-plane of sapphire with good surface finish and efficient removal. Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group would be the catalytical active sites and accelerate this process. Surface quality is characterized with atomic force microscopy (AFM). The optimum CMP removal by Fe-N{sub x}/C also yields a superior

  10. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    Science.gov (United States)

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  11. Stress release during cyclic loading of 20 nm palladium films

    International Nuclear Information System (INIS)

    Lukáč, František; Vlček, Marián; Vlach, Martin; Wagner, Stefan; Uchida, Helmut; Pundt, Astrid; Bell, Anthony; Čížek, Jakub

    2015-01-01

    Highlights: • Repeated hydrogenation of 20 nm Pd films was investigated by in situ X-ray diffraction. • Hydride precipitates form coherent interfaces with matrix in nanocrystalline and epitaxial thin films. • Grain boundaries affect precipitation of the hydride phase in the nanocrystalline film. • Stress in epitaxial film is tensile due to different thermal expansion of Pd and sapphire. • After hydrogen absorption/desorption cycle the stress in both films becomes tensile. - Abstract: Gas phase loading of nanocrystalline and epitaxial 20 nm Pd films deposited on single crystalline sapphire substrates was studied in this work. The nanocrystalline film was deposited at room temperature and the epitaxial film deposited at 800 °C. The nanocrystalline film suffers from in-plane compressive stress imposed by atomic peening processes. The epitaxial film exhibits tensile stress caused by the different thermal expansion coefficients of Pd and sapphire substrate. Coherent phase transition into the hydride phase was observed both for the nanocrystalline and for the epitaxial film. For both films, the lattice parameters continuously increase during the phase transition to the hydride phase. Both films exhibit enhanced hydride formation pressure compared to bulk Pd. Misfit dislocations are formed at interface between Pd film and substrate during hydrogenation. This leads to irreversible change of stress state of the films subjected to sorption and desorption cycle with hydrogen

  12. Defect studies of ZnO films prepared by pulsed laser deposition on various substrates

    International Nuclear Information System (INIS)

    Melikhova, O; Čížek, J; Procházka, I; Kužel, R; Novotný, M; Bulír, J; Lancok, J; Anwand, W; Brauer, G; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P

    2013-01-01

    ZnO thin films deposited on various substrates were characterized by slow positron implantation spectroscopy (SPIS) combined with X-ray diffraction (XRD). All films studied exhibit wurtzite structure and crystallite size 20–100 nm. The mosaic spread of crystallites is relatively small for the films grown on single crystalline substrates while it is substantial for the film grown on amorphous substrate. SPIS investigations revealed that ZnO films deposited on single crystalline substrates exhibit significantly higher density of defects than the film deposited on amorphous substrate. This is most probably due to a higher density of misfit dislocations, which compensate for the lattice mismatch between the film and the substrate.

  13. A Fully Integrated Materials Framework for Enabling the Wireless Detection of Micro-defects in Aging and Battle-worn Structures (Year 1)

    Science.gov (United States)

    2011-04-01

    sputtered PZT films on both sapphire and Si substrates were textured along the [110] direction. The degree of preference for the [110] direction was... PZT . Since these films are approximately 0.5 μm thick and breakdown occurs at relatively high fields, surface-related ( ceramic metal contact band... ceramics created donor sites, which are n-type. From the crystallographic data, it is seen that the degree of crystallinity and PZT crystal quality

  14. Thermal neutron scattering kernels for sapphire and silicon single crystals

    International Nuclear Information System (INIS)

    Cantargi, F.; Granada, J.R.; Mayer, R.E.

    2015-01-01

    Highlights: • Thermal cross section libraries for sapphire and silicon single crystals were generated. • Debye model was used to represent the vibrational frequency spectra to feed the NJOY code. • Sapphire total cross section was measured at Centro Atómico Bariloche. • Cross section libraries were validated with experimental data available. - Abstract: Sapphire and silicon are materials usually employed as filters in facilities with thermal neutron beams. Due to the lack of the corresponding thermal cross section libraries for those materials, necessary in calculations performed in order to optimize beams for specific applications, here we present the generation of new thermal neutron scattering kernels for those materials. The Debye model was used in both cases to represent the vibrational frequency spectra required to feed the NJOY nuclear data processing system in order to produce the corresponding libraries in ENDF and ACE format. These libraries were validated with available experimental data, some from the literature and others obtained at the pulsed neutron source at Centro Atómico Bariloche

  15. Neutron method for NDA in the Sapphire Project

    International Nuclear Information System (INIS)

    Lewis, K.D.

    1995-01-01

    The implementation of Project Sapphire, the top-secret mission to the Republic of Kazakhstan to recover weapons-grade nuclear materials, consisted of four major elements: (1) repacking of fissile material from Kazakh containers into suitable U.S. containers; (2) nondestructive analyses (NDA) to quantify the 235 U content of each container for nuclear criticality safety and compliance purposes; (3) packaging of the fissile material containers into 6M/2R drums, which are internationally approved for shipping fissile material; and (4) shipping or transport of the recovered fissile material to the United States. This paper discusses the development and application of a passive neutron counting technique used in the NDA phase of the Sapphire operations to analyze uranium/beryllium (U/Be) alloys and compounds for 235 U content

  16. Van der Waals epitaxy of GaN-based light-emitting diodes on wet-transferred multilayer graphene film

    Science.gov (United States)

    Li, Yang; Zhao, Yun; Wei, Tongbo; Liu, Zhiqiang; Duan, Ruifei; Wang, Yunyu; Zhang, Xiang; Wu, QingQing; Yan, Jianchang; Yi, Xiaoyao; Yuan, Guodong; Wang, Junxi; Li, Jimin

    2017-08-01

    We experimentally investigated the possibility of using multilayer graphene to solve large mismatch problems between sapphire and nitride and further studied the effects of a multilayer graphene interlayer on the optical and electrical properties of LEDs. For the subsequent growth of 3-µm-thick GaN on AlN, multilayer graphene helps release stress and effectively removes cracks. In addition, multilayer graphene increases the diffraction of the substrate surface as determined from the increase in optical transmittance spectra in the wavelength range of 400-900 nm. Although the crystalline quality of GaN with multilayer graphene is slightly decreased, LEDs grown on multilayer graphene still show a higher output power than those grown on conventional sapphire. The present findings showed that the multilayer graphene layer is attractive as a potential substrate for the epitaxial growth of III-nitride to reduce stress and it could improve back light extraction as a rough layer to increase external quantum efficiency.

  17. The potential for the fabrication of wires embedded in the crystalline silicon substrate using the solid phase segregation of gold in crystallising amorphous volumes

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    2004-01-01

    The refinement of gold in crystallising amorphous silicon volumes was tested as a means of creating a conducting element embedded in the crystalline matrix. Amorphous silicon volumes were created by self-ion-implantation through a mask. Five hundred kiloelectronvolt Au + was then implanted into the volumes. The amorphous volumes were crystallised on a hot stage in air, and the crystallisation was characterised using cross sectional transmission electron microscopy. It was found that the amorphous silicon volumes crystallised via solid phase epitaxy at all the lateral and vertical interfaces. The interplay of the effects of the gold and also the hydrogen that infilitrated from the surface oxide resulted in a plug of amorphous material at the surface. Further annealing at this temperature demonstrated that the gold, once it had reached a certain critical concentration nucleated poly-crystalline growth instead of solid phase epitaxy. Time resolved reflectivity and Rutherford backscattering and channeling measurements were performed on large area samples that had been subject to the same implantation regime to investigate this system further. It was discovered that the crystallisation dynamics and zone refinement of the gold were complicated functions of both gold concentration and temperature. These findings do not encourage the use of this method to obtain conducting elements embedded in the crystalline silicon substrate

  18. Influence of the substrate on the morphological evolution of gold thin films during solid-state dewetting

    Energy Technology Data Exchange (ETDEWEB)

    Nsimama, Patrick D. [TU Ilmenau, Institute of Materials Engineering and Institute of Micro- and Nanotechnologies MacroNano, Chair Materials for Electrical Engineering and Electronics, 98693 Ilmenau (Germany); Dar Es Salaam Institute of Technology, P.O. Box 2958, Dar Es Salaam (Tanzania, United Republic of); Herz, Andreas; Wang, Dong [TU Ilmenau, Institute of Materials Engineering and Institute of Micro- and Nanotechnologies MacroNano, Chair Materials for Electrical Engineering and Electronics, 98693 Ilmenau (Germany); Schaaf, Peter, E-mail: peter.schaaf@tu-ilmenau.de [TU Ilmenau, Institute of Materials Engineering and Institute of Micro- and Nanotechnologies MacroNano, Chair Materials for Electrical Engineering and Electronics, 98693 Ilmenau (Germany)

    2016-12-01

    Highlights: • Dewetting of thin gold films is faster on TiO{sub 2} than on SiO{sub 2}. • Dewetting of thin gold films is faster on amorphous TiO{sub 2} than on crystalline TiO{sub 2}. • The kinetics is attributed to the energy of adhesion. • The morphology of thin Au films deposited on TiO{sub 2} substrates is different to those deposited on SiO{sub 2} substrates. • The dewetting activation energy of Au films deposited on crystalline substrates was higher than the activation energy of Au nanofilms deposited on amorphous TiO{sub 2} substrates. - Abstract: The evolution of electron-beam evaporated Au thin films deposited on crystalline TiO{sub 2} (c-TiO{sub 2}) and amorphous TiO{sub 2} (a-TiO{sub 2}) as well as amorphous SiO{sub 2} substrates are investigated. The kinetic of dewetting is clearly dependent on the type of substrate and is faster on TiO{sub 2} substrates than on SiO{sub 2} substrates. This difference can result from the difference in adhesion energy. Furthermore, the kinetic of dewetting is faster on a-TiO{sub 2} than on c-TiO{sub 2}, possibly due to the crystallization of TiO{sub 2} during annealing induced dewetting process. The morphologies of dewetted Au films deposited on crystalline TiO{sub 2} are characterized by branched holes. The XRD patterns of the Au films deposited on TiO{sub 2} substrates constituted peaks from both metallic Au and anatase TiO{sub 2}. The activation energy of Au films deposited on crystalline TiO{sub 2} substrates was higher than that that of the films deposited on amorphous TiO{sub 2} substrates.

  19. Optical properties tailoring by high fluence implantation of Ag ions on sapphire

    International Nuclear Information System (INIS)

    Marques, C.; Silva, R.C. da; Wemans, A.; Maneira, M.J.P.; Kozanecki, A.; Alves, E.

    2006-01-01

    Optical and structural properties of single crystalline α-Al 2 O 3 were changed by the implantation of high fluences of Ag ions. Colourless transparent (101-bar 0) sapphire samples were implanted at room temperature with 160keV silver ions and fluences up to 1x10 17 Agcm -2 . Surface amorphization is observed at the fluence of 6x10 16 Agcm -2 . Except for the lower fluences (below 6x10 16 Agcm -2 ) the optical absorption spectra reveal the presence of a band peaking in the region 450-500nm, depending on the retained fluence. This band has been attributed to the presence of silver colloids, being thus 1x10 16 Agcm -2 below the threshold for colloid formation during the implantation. Annealing in oxidizing atmosphere promotes the recrystallization along with segregation of Ag followed by loss through evaporation. Recrystallization is retarded for annealing in reducing atmosphere and the Ag profile displays now a double peak structure after evaporation. Playing with the implantation fluence, temperature and annealing atmosphere controllable shifts of the position and intensity of the optical bands in the visible were achieved

  20. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  1. Solution processed nanogap organic diodes based on liquid crystalline materials

    Science.gov (United States)

    Wang, Yi-Fei; Iino, Hiroaki; Hanna, Jun-ichi

    2017-09-01

    Co-planar nanogap organic diodes were fabricated with smectic liquid crystalline materials of the benzothienobenzothiophene (BTBT) derivative by a spin-coating technique. A high rectification ratio of the order of 106 at ±3 V was achieved when a liquid crystalline material of 2,7-didecyl benzothieno[3,2-b][1]benzothiophene (10-BTBT-10) was used in a device configuration of Al/10-BTBT-10/pentafluorobenzenethiol-treated Au on a glass substrate, which was 4 orders higher than that of the device based on non-liquid crystalline materials of 2,7-dibutyl benzothieno[3,2-b][1]benzothiophene (4-BTBT-4) and BTBT. Similar results were also observed when another liquid crystalline material of ω, ω'-dioctylterthiophene (8-TTP-8) and a non-liquid crystalline material of terthiophene (TTP) were used. These improved rectifications can be ascribed to the self-assembly properties and controllable molecular orientation of liquid crystalline materials, which made uniform perpendicular oriented polycrystalline films favorable for superior charge transport in nano-channels.

  2. An injection modelocked Ti-sapphire laser for synchronous photoinjection

    International Nuclear Information System (INIS)

    Hovater, C.; Poelker, M.

    1997-01-01

    The CEBAF 4 GeV accelerator has recently begun delivering spin-polarized electrons for nuclear physics experiments. Spin-polarized electrons are emitted from a GaAs photocathode that is illuminated with pulsed laser light from a diode laser system synchronized to the injector chopping frequency (499 MHz). The present diode laser system is compact, reliable and relatively maintenance-free; however, output power is limited to less than 500 mW. In an effort to obtain higher average power and thereby prolong the effective operating lifetime of the source, they have constructed an injection modelocked Ti-sapphire laser with picosecond pulsewidths and gigahertz repetition rates. Modelocked operation is obtained through gain modulation within the Ti-sapphire crystal as a result of injection seeding with a gain-switched diode laser. Unlike conventional modelocked lasers, the pulse repetition rate of this laser can be discretely varied by setting the seed laser repetition rate equal to multiples of the Ti-sapphire laser cavity fundamental frequency. They observe pulse repetition rates from 223 MHz (fundamental) to 1,560 MHz (seventh harmonic) with average output power of 700 mW for all repetition rates. Pulsewidths ranged from 21 to 39 ps (FWHM) under various pump laser conditions

  3. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  4. Microwave flexible transistors on cellulose nanofibrillated fiber substrates

    Science.gov (United States)

    Jung-Hun Seo; Tzu-Hsuan Chang; Jaeseong Lee; Ronald Sabo; Weidong Zhou; Zhiyong Cai; Shaoqin Gong; Zhenqiang Ma

    2015-01-01

    In this paper, we demonstrate microwave flexible thin-film transistors (TFTs) on biodegradable substrates towards potential green portable devices. The combination of cellulose nanofibrillated fiber (CNF) substrate, which is a biobased and biodegradable platform, with transferrable single crystalline Si nanomembrane (Si NM), enables the realization of truly...

  5. Multiphoton imaging with a novel compact diode-pumped Ti:sapphire oscillator

    DEFF Research Database (Denmark)

    König, Karsten; Andersen, Peter E.; Le, Tuan

    2015-01-01

    Multiphoton laser scanning microscopy commonly relies on bulky and expensive femtosecond lasers. We integrated a novel minimal-footprint Ti:sapphire oscillator, pumped by a frequency-doubled distributed Bragg reflector tapered diode laser, into a clinical multiphoton tomograph and evaluated its...... imaging capability using different biological samples, i.e. cell monolayers, corneal tissue, and human skin. With the novel laser, the realization of very compact Ti:sapphire-based systems for high-quality multiphoton imaging at a significantly size and weight compared to current systems will become...

  6. Preparation of MgO Films as Buffer Layers by Laser-ablation at Various Substrate Temperatures

    Institute of Scientific and Technical Information of China (English)

    LI Ling; WANG Chuanbin; WANG Fang; SHEN Qiang; ZHANG Lianmeng

    2011-01-01

    MgO thin films were deposited on Si(100) substrates by laser ablation under various substrate temperatures (Tsub),expecting to provide a candidate buffer layer for the textured growth of functional perovskite oxide films on Si substrates.The effect of Tsub on the preferred orientation,crystallinity and surface morphology of the films was investigated.MgO films in single-phase were obtained at 473-973 K.With increasing Tsub,the preferred orientation of the films changed from (200) to (111).The crystallinity and surface morphology was different too,depending on Tsub·At Tsub=673 K,the MgO film became uniform and smooth,exhibiting high crystallinity and a dense texture.

  7. Substrate dependent hierarchical structures of RF sputtered ZnS films

    Science.gov (United States)

    Chalana, S. R.; Mahadevan Pillai, V. P.

    2018-05-01

    RF magnetron sputtering technique was employed to fabricate ZnS nanostructures with special emphasis given to study the effect of substrates (quartz, glass and quartz substrate pre-coated with Au, Ag, Cu and Pt) on the structure, surface evolution and optical properties. Type of substrate has a significant influence on the crystalline phase, film morphology, thickness and surface roughness. The present study elucidates the suitability of quartz substrate for the deposition of stable and highly crystalline ZnS films. We found that the role of metal layer on quartz substrate is substantial in the preparation of hierarchical ZnS structures and these structures are of great importance due to its high specific area and potential applications in various fields. A mechanism for morphological evolution of ZnS structures is also presented based on the roughness of substrates and primary nonlocal effects in sputtering. Furthermore, the findings suggest that a controlled growth of hierarchical ZnS structures may be achieved with an ordinary RF sputtering technique by changing the substrate type.

  8. Properties of nickel films growth by radio frequency magnetron sputtering at elevated substrate temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Muslim, Noormariah, E-mail: 14h8702@ubd.edu.bn [Centre for Advanced Material and Energy Sciences, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam); Soon, Ying Woan [Centre for Advanced Material and Energy Sciences, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam); Physical and Geological Sciences, Faculty of Science, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam); Lim, Chee Ming; Voo, Nyuk Yoong [Centre for Advanced Material and Energy Sciences, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam)

    2016-08-01

    Pure nickel (Ni) thin films of thicknesses of 100 nm were deposited on glass substrates by radio frequency magnetron sputtering at a power of 100 W and at various substrate temperatures i.e., room temperature, 100, 200, and 300 °C. The crystalline structure, surface topography, surface morphology, electrical resistivity, and optical properties of the deposited films were studied. The properties of the Ni films could be controlled by altering the substrate temperature. Specifically, the films featured a face-centered cubic crystalline structure with predominant (111) crystallite orientation at all the substrate temperatures employed, as observed from the X-ray diffraction analysis. Films deposited at substrate temperatures greater than 200 °C additionally displayed crystalline (200) and (220) diffraction peaks. The surface morphology analysis revealed that the grain size of the Ni thin films increased with increasing substrate temperatures employed. This increase was accompanied with a decrease in the resistivity of the Ni films. The surface roughness of the films increased with increasing substrate temperatures employed, as observed from the atomic force microscopy analysis. - Highlights: • RF magnetron sputtering is a good alternative method to deposit Ni films. • Properties of Ni films could be controlled simply by tuning substrate temperatures. • Crystallite size and surface roughness increased with substrate temperatures. • Electrical resistivity reduced with increasing substrate temperatures. • Optical properties also changed with substrate temperatures.

  9. Investigation of GaN-based light emitting diodes with nano-hole patterned sapphire substrate (NHPSS) by nano-imprint lithography

    International Nuclear Information System (INIS)

    Huang, H.W.; Lin, C.H.; Huang, J.K.; Lee, K.Y.; Lin, C.F.; Yu, C.C.; Tsai, J.Y.; Hsueh, R.; Kuo, H.C.; Wang, S.C.

    2009-01-01

    In this paper, gallium-nitride (GaN)-based light-emitting diodes (LEDs) with nano-hole patterned sapphire (NHPSS) by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with NHPSS increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.33, and the wall-plug efficiency is 30% higher at 20 mA indicating that the LED with NHPSS had larger light extraction efficiency. In addition, by examining the radiation patterns, the LED with NHPSS shows stronger light extraction with a wider view angle. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography.

  10. Analysis and evaluation for practical application of photovoltaic power generation system. Analysis and evaluation for thin substrate polycrystalline solar cells (compound semiconductors and their fabrication technologies); Taiyoko hatsuden system jitsuyoka no tame no kaiseki hyoka. Usumaku taiyo denchi jitsuyoka no tame no kaiseki hyoka (kagobutsu taiyo denchi zairyo oyobi seisaku gijutsu no kaiseki hyoka)

    Energy Technology Data Exchange (ETDEWEB)

    Oyagi, H; Okada, Y; Yamaguchi, H; Shiota, T; Kuroda, S; Igarashi, O; Tanino, H; Makita, Y; Yamada, A; Kimura, S; Ohara, A; Niki, S; Shibata, H; Fons, P [Electrotechnical Laboratory, Tsukuba (Japan)

    1994-12-01

    Described herein are the results of the FY1994 research program for analysis and evaluation for thin-film compound semiconductor solar cells. The study on epitaxial growth and optical properties of the thin films of CuInSe2 and CuGaSe2 evaluates the thin epitaxial films grown under various conditions, showing morphology of the defects at the interface of heteroepitaxial growth. These results are used to set the growth conditions under which a thin film of high luminescence by exciter recombination is produced. The study also gives information of luminescence transition in the vicinity of the band ends and of energy level between the bands. The study on structural analysis of the epitaxially grown thin films of CuInSe2 investigates dependence of lattice constants of the MBE-grown CIS layer on film thickness by the X-ray diffractometry based on the bond method. The study on epitaxial growth by the Se(CH3)2-halogen transfer method tests epitaxial growth of the single-crystalline Mo on a substrate of single-crystalline sapphire. 5 figs.

  11. Room-temperature deposition of crystalline patterned ZnO films by confined dewetting lithography

    International Nuclear Information System (INIS)

    Sepulveda-Guzman, S.; Reeja-Jayan, B.; De la Rosa, E.; Ortiz-Mendez, U.; Reyes-Betanzo, C.; Cruz-Silva, R.; Jose-Yacaman, M.

    2010-01-01

    In this work patterned ZnO films were prepared at room-temperature by deposition of ∼5 nm size ZnO nanoparticles using confined dewetting lithography, a process which induces their assembly, by drying a drop of ZnO colloidal dispersion between a floating template and the substrate. Crystalline ZnO nanoparticles exhibit a strong visible (525 nm) light emission upon UV excitation (λ = 350 nm). The resulting films were characterized by scanning electron microscopy (SEM) and atomic force microscope (AFM). The method described herein presents a simple and low cost method to prepare crystalline ZnO films with geometric patterns without additional annealing. Such transparent conducting films are attractive for applications like light emitting diodes (LEDs). As the process is carried out at room temperature, the patterned crystalline ZnO films can even be deposited on flexible substrates.

  12. Room-temperature deposition of crystalline patterned ZnO films by confined dewetting lithography

    Energy Technology Data Exchange (ETDEWEB)

    Sepulveda-Guzman, S., E-mail: selene.sepulvedagz@uanl.edu.mx [Centro de Innovacion, Investigacion y Desarrollo en Ingenieria y Tecnologia. UANL, PIIT Monterrey, CP 66629, Apodaca NL (Mexico); Reeja-Jayan, B. [Texas Materials Institute, University of Texas at Austin, Austin, TX 78712 (United States); De la Rosa, E. [Centro de Investigacion en Optica, Loma del Bosque 115 Col. Lomas del Campestre C.P. 37150 Leon, Gto. Mexico (Mexico); Ortiz-Mendez, U. [Centro de Innovacion, Investigacion y Desarrollo en Ingenieria y Tecnologia. UANL, PIIT Monterrey, CP 66629, Apodaca NL (Mexico); Reyes-Betanzo, C. [Instituto Nacional de Astrofisica Optica y Electronica, Calle Luis Enrique Erro No. 1, Santa Maria Tonanzintla, Puebla. Apdo. Postal 51 y 216, C.P. 72000 Puebla (Mexico); Cruz-Silva, R. [Centro de Investigacion en Ingenieria y Ciencias Aplicadas, UAEM. Av. Universidad 1001, Col. Chamilpa, CP 62210 Cuernavaca, Mor. (Mexico); Jose-Yacaman, M. [Physics and Astronomy Department University of Texas at San Antonio 1604 campus San Antonio, TX 78249 (United States)

    2010-03-15

    In this work patterned ZnO films were prepared at room-temperature by deposition of {approx}5 nm size ZnO nanoparticles using confined dewetting lithography, a process which induces their assembly, by drying a drop of ZnO colloidal dispersion between a floating template and the substrate. Crystalline ZnO nanoparticles exhibit a strong visible (525 nm) light emission upon UV excitation ({lambda} = 350 nm). The resulting films were characterized by scanning electron microscopy (SEM) and atomic force microscope (AFM). The method described herein presents a simple and low cost method to prepare crystalline ZnO films with geometric patterns without additional annealing. Such transparent conducting films are attractive for applications like light emitting diodes (LEDs). As the process is carried out at room temperature, the patterned crystalline ZnO films can even be deposited on flexible substrates.

  13. Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives: Synthesis and the effects on chemical mechanical polishing (CMP) performances of sapphire wafers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Tingting; Lei, Hong, E-mail: hong_lei2005@aliyun.com

    2017-08-15

    Highlights: • The novel Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives were synthesized by seed-introduced method. • The Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives exhibited lower Ra and higher MRR on sapphire during CMP. • The cores SiO{sub 2} were coated by the shells (SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds. • XPS analysis revealed the solid-state chemical reaction between Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives and sapphire during CMP. - Abstract: Abrasive is one of the most important factors in chemical mechanical polishing (CMP). In order to improve the polishing qualities of sapphire substrates, the novel Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were prepared by seed-induced growth method. In this work, there were a series of condensation reactions during the synthesis process of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the silica cores were coated by shells (which contains SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds in the Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives, which made the composite abrasives’ core-shell structure more sTable Scanning electron microscopy (SEM) showed that Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were spherical and uniform in size. And the acting mechanisms of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives on sapphire in CMP were investigated. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis and X-ray photoelectron spectroscopy (XPS) analysis demonstrated that the solid-state chemical reactions between the shells (which contained SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the sapphire occurred during the CMP process. Furthermore, Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives exhibited lower surface roughness and

  14. High resolution electron microscopy studies of interfaces between Al2O3 substrates and MBE grown Nb films

    International Nuclear Information System (INIS)

    Mayer, J.; Ruhle, M.; Dura, J.; Flynn, C.P.

    1991-01-01

    This paper reports on single crystal niobium films grown by Molecular Beam Epitaxy (MBE) on (001) S sapphire substrates. Cross-sectional specimens with thickness of 2 O 3 interface could be investigated by high resolution electron microscopy (HREM). The orientation relationship between the metal film and the ceramic substrate was verified by selected area diffraction: (111) Nb parallel (0001) S and [1 bar 10] Nb parallel [2 bar 1 bar 10] S . The atomistic structure of the interface was identified by HREM

  15. MgB2 thin films on silicon nitride substrates prepared by an in situ method

    International Nuclear Information System (INIS)

    Monticone, Eugenio; Gandini, Claudio; Portesi, Chiara; Rajteri, Mauro; Bodoardo, Silvia; Penazzi, Nerino; Dellarocca, Valeria; Gonnelli, Renato S

    2004-01-01

    Large-area MgB 2 thin films were deposited on silicon nitride and sapphire substrates by co-deposition of Mg and B. After a post-annealing in Ar atmosphere at temperatures between 773 and 1173 K depending on the substrate, the films showed a critical temperature higher than 35 K with a transition width less than 0.5 K. The x-ray diffraction pattern suggested a c-axis preferential orientation in films deposited on amorphous substrate. The smooth surface and the good structural properties of these MgB 2 films allowed their reproducible patterning by a standard photolithographic process down to dimensions of the order of 10 μm and without a considerable degradation of the superconducting properties

  16. Crystalline hydroxyapatite coatings synthesized under hydrothermal conditions on modified titanium substrates

    International Nuclear Information System (INIS)

    Suchanek, Katarzyna; Bartkowiak, Amanda; Gdowik, Agnieszka; Perzanowski, Marcin; Kąc, Sławomir; Szaraniec, Barbara; Suchanek, Mateusz; Marszałek, Marta

    2015-01-01

    Hydroxyapatite coatings were successfully produced on modified titanium substrates via hydrothermal synthesis in a Ca(EDTA) 2− and (NH 4 ) 2 HPO 4 solution. The morphology of modified titanium substrates as well as hydroxyapatite coatings was studied using scanning electron microcopy and phase identification by X-ray diffraction, and Raman and FTIR spectroscopy. The results show that the nucleation and growth of hydroxyapatite needle-like crystals with hexagonal symmetry occurred only on titanium substrates both chemically and thermally treated. No hydroxyapatite phase was detected on only acid etched Ti metal. This finding demonstrates that only a particular titanium surface treatment can effectively induce the apatite nucleation under hydrothermal conditions. - Highlights: • Bioactivation of titanium substrate by chemical and heat treatments • Precipitation of hydroxyapatite on modified titanium plates • Hydrothermal crystallization of hydroxyapatite by chelate decomposition method

  17. Crystalline hydroxyapatite coatings synthesized under hydrothermal conditions on modified titanium substrates

    Energy Technology Data Exchange (ETDEWEB)

    Suchanek, Katarzyna, E-mail: Katarzyna.Suchanek@ifj.edu.pl [The Henryk Niewodniczański Institute of Nuclear Physics, Polish Academy of Sciences, Radzikowskiego Street 152, 31-342 Krakow (Poland); Bartkowiak, Amanda [The Henryk Niewodniczański Institute of Nuclear Physics, Polish Academy of Sciences, Radzikowskiego Street 152, 31-342 Krakow (Poland); Gdowik, Agnieszka [Faculty of Physics and Applied Computer Science, AGH University of Science and Technology, Mickiewicza 30, 30-059 Krakow (Poland); Perzanowski, Marcin [The Henryk Niewodniczański Institute of Nuclear Physics, Polish Academy of Sciences, Radzikowskiego Street 152, 31-342 Krakow (Poland); Kąc, Sławomir [Faculty of Metals Engineering and Industrial Computer Science, AGH University of Science and Technology, Mickiewica 30, 30-059 Krakow (Poland); Szaraniec, Barbara [Department of Biomaterials, AGH University of Science and Technology, Mickiewicza 30, 30-059 Krakow (Poland); Suchanek, Mateusz [Department of Chemistry and Physics, University of Agriculture in Krakow, Mickiewicza 21, 31-120 Krakow (Poland); Marszałek, Marta [The Henryk Niewodniczański Institute of Nuclear Physics, Polish Academy of Sciences, Radzikowskiego Street 152, 31-342 Krakow (Poland)

    2015-06-01

    Hydroxyapatite coatings were successfully produced on modified titanium substrates via hydrothermal synthesis in a Ca(EDTA){sup 2−} and (NH{sub 4}){sub 2}HPO{sub 4} solution. The morphology of modified titanium substrates as well as hydroxyapatite coatings was studied using scanning electron microcopy and phase identification by X-ray diffraction, and Raman and FTIR spectroscopy. The results show that the nucleation and growth of hydroxyapatite needle-like crystals with hexagonal symmetry occurred only on titanium substrates both chemically and thermally treated. No hydroxyapatite phase was detected on only acid etched Ti metal. This finding demonstrates that only a particular titanium surface treatment can effectively induce the apatite nucleation under hydrothermal conditions. - Highlights: • Bioactivation of titanium substrate by chemical and heat treatments • Precipitation of hydroxyapatite on modified titanium plates • Hydrothermal crystallization of hydroxyapatite by chelate decomposition method.

  18. N-polar InGaN-based LEDs fabricated on sapphire via pulsed sputtering

    Science.gov (United States)

    Ueno, Kohei; Kishikawa, Eiji; Ohta, Jitsuo; Fujioka, Hiroshi

    2017-02-01

    High-quality N-polar GaN epitaxial films with an atomically flat surface were grown on sapphire (0001) via pulsed sputtering deposition, and their structural and electrical properties were investigated. The crystalline quality of N-polar GaN improves with increasing film thickness and the full width at half maximum values of the x-ray rocking curves for 0002 and 101 ¯ 2 diffraction were 313 and 394 arcsec, respectively, at the film thickness of 6 μ m . Repeatable p-type doping in N-polar GaN films was achieved using Mg dopant, and their hole concentration and mobility can be controlled in the range of 8 × 1016-2 × 1018 cm-3 and 2-9 cm2V-1s-1, respectively. The activation energy of Mg in N-polar GaN based on a temperature-dependent Hall measurement was estimated to be 161 meV, which is comparable to that of the Ga-polar GaN. Based on these results, we demonstrated the fabrication of N-polar InGaN-based light emitting diodes with the long wavelength up to 609 nm.

  19. N-polar InGaN-based LEDs fabricated on sapphire via pulsed sputtering

    Directory of Open Access Journals (Sweden)

    Kohei Ueno

    2017-02-01

    Full Text Available High-quality N-polar GaN epitaxial films with an atomically flat surface were grown on sapphire (0001 via pulsed sputtering deposition, and their structural and electrical properties were investigated. The crystalline quality of N-polar GaN improves with increasing film thickness and the full width at half maximum values of the x-ray rocking curves for 0002 and 101¯2 diffraction were 313 and 394 arcsec, respectively, at the film thickness of 6μm. Repeatable p-type doping in N-polar GaN films was achieved using Mg dopant, and their hole concentration and mobility can be controlled in the range of 8 × 1016–2 × 1018 cm−3 and 2–9 cm2V−1s−1, respectively. The activation energy of Mg in N-polar GaN based on a temperature-dependent Hall measurement was estimated to be 161 meV, which is comparable to that of the Ga-polar GaN. Based on these results, we demonstrated the fabrication of N-polar InGaN-based light emitting diodes with the long wavelength up to 609 nm.

  20. Kerr-lens mode-locked Ti:Sapphire laser pumped by a single laser diode

    Science.gov (United States)

    Kopylov, D. A.; Esaulkov, M. N.; Kuritsyn, I. I.; Mavritskiy, A. O.; Perminov, B. E.; Konyashchenko, A. V.; Murzina, T. V.; Maydykovskiy, A. I.

    2018-04-01

    The performance of a Ti:sapphire laser pumped by a single 461 nm laser diode is presented for both the continuous-wave and the mode-locked regimes of operation. We introduce a simple astigmatism correction scheme for the laser diode beam consisting of two cylindrical lenses affecting the pump beam along the fast axis of the laser diode, which provides the mode-matching between the nearly square-shaped pump beam and the cavity mode. The resulting efficiency of the suggested Ti:Sapphire oscillator pumped by such a laser diode is analyzed for the Ti:sapphire crystals of 3 mm, 5 mm and 10 mm in length. We demonstrate that such a system provides the generation of ultrashort pulses up to 15 fs in duration with the repetition rate of 87 MHz, the average power being 170 mW.

  1. Controlling growth density and patterning of single crystalline silicon nanowires

    International Nuclear Information System (INIS)

    Chang, Tung-Hao; Chang, Yu-Cheng; Liu, Fu-Ken; Chu, Tieh-Chi

    2010-01-01

    This study examines the usage of well-patterned Au nanoparticles (NPs) as a catalyst for one-dimensional growth of single crystalline Si nanowires (NWs) through the vapor-liquid-solid (VLS) mechanism. The study reports the fabrication of monolayer Au NPs through the self-assembly of Au NPs on a 3-aminopropyltrimethoxysilane (APTMS)-modified silicon substrate. Results indicate that the spin coating time of Au NPs plays a crucial role in determining the density of Au NPs on the surface of the silicon substrate and the later catalysis growth of Si NWs. The experiments in this study employed optical lithography to pattern Au NPs, treating them as a catalyst for Si NW growth. The patterned Si NW structures easily produced and controlled Si NW density. This approach may be useful for further studies on single crystalline Si NW-based nanodevices and their properties.

  2. Critical current densities amd pinning mechanisms of high-Tc films on single crystalline and technologically relevant substrates. Final report

    International Nuclear Information System (INIS)

    Adrian, H.

    1995-12-01

    The report deals with six project tasks: (1) Effects of impurity additions at atomic level on the pinning behaviour and the critical current densities, examined in epitactic YBA 2 (Cu 1-x Ni x ) 3 O 7 films. It could be proven that the Ni atoms increase the activation energy for flux movement and the critical current density in a concentration range of 0 2 Sr 2 Ca n-1 Cu n O 2n+4+δ films (n = 2 and 3) with good crystalline properties, high critical currents, and high current densities were prepared. Thin YBa 2 Cu 3 O 7 films of high quality could be grown on saphire substrates, both by the MO-CVD process and by MBE. The aim of depositing biaxially textured YBa 2 Cu 3 O 7 films with high critical current densities on polycrystalline, metallic substrates was achieved by the IBAD process combined with MBE. The buffer layer was YSZ. Heterostructures of the layer sequence YBa 2 Cu 3 O 7 /CeO 2 /Y 0.3 Pr 0.7 Ba 2 Cu 3 O 7 /YBa 2 Cu 3 O 7 and YBa 2 Cu 3 O 7 /CeO 2 /Au were prepared by laser ablation and sputtering processes, in order to examine Josephson ramp contacts and superconducting field-effect transistors. (orig./MM) [de

  3. Testing of Sapphire Optical Fiber and Sensors in Intense Radiation Fields When Subjected to Very High Temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Blue, Thomas [The Ohio State Univ., Columbus, OH (United States); Windl, Wolfgang [The Ohio State Univ., Columbus, OH (United States)

    2017-12-15

    The primary objective of this project was to determine the optical attenuation and signal degradation of sapphire optical fibers & sensors (temperature & strain), in-situ, operating at temperatures up to 1500°C during reactor irradiation through experiments and modeling. The results will determine the feasibility of extending sapphire optical fiber-based instrumentation to extremely high temperature radiation environments. This research will pave the way for future testing of sapphire optical fibers and fiber-based sensors under conditions expected in advanced high temperature reactors.

  4. Growth of Low Defect Density Gallium Nitride (GaN) Films on Novel Tantalum Carbide (TaC) Substrates for Improved Device Performance

    Science.gov (United States)

    2009-05-01

    2 Figure 2. Schematic of a Schottky diode structure (a) grown on an insulating substrate such as sapphire that requires front side...an on-axis substrate at 1000 °C taken (a) at a high magnification and (b) in a region where micropores were observed. ..........8 Figure 5. The 5 x...is useful for vertical high power devices. It can also be made insulating by growing it in a very pure state, which is useful for lateral high

  5. Characterization of some properties of SOS films on various substrates

    International Nuclear Information System (INIS)

    Borel, J.; Trilhe, J.; Montier, M.

    1975-01-01

    X ray topography has been used to study the grain boundaries of the insulating substrate (sapphire) and silicon film. It is difficult to use this technique with a good angular resolution but the spatial resolution is good and the electrical characteristics of the device can be studied in conjunction with the method. The rocking curve measurements improve the angular resolution but the covered area is rather large (2mmx20mm). A schematic view of the measuring set is shown. The ion probe is used to measure the nature and profiles of impurities within the material (the surface etching taking place in the presence of oxygen). As a result, it can be said that high concentrations of aluminium are present in silicon layers on sapphire Fortunately this aluminium is not electrically active and high silicon resistivities can be achieved. What must be studied in more details is the effect of this aluminium doping levels, and mobility profiles have been obtained that correlate with the Al doping profile (low values of mobility at the surface or at the interface [fr

  6. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers

    International Nuclear Information System (INIS)

    Costa e Silva, Danilo Lopes

    2015-01-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  7. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers; Filmes finos de carbono depositados por meio da tecnica de magnetron sputtering usando cobalto, cobre e niquel como buffer-layers

    Energy Technology Data Exchange (ETDEWEB)

    Costa e Silva, Danilo Lopes

    2015-11-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  8. Characteristics of a Ti:sapphire laser pumped by a Nd:YAG laser and its analysis. Nd:YAG laser reiki Ti:sapphire laser no dosa tokusei to sono kaiseki

    Energy Technology Data Exchange (ETDEWEB)

    Okada, T.; Masumoto, J.; Mizunami, T.; Maeda, M.; Muraoka, K. (Kyushu Univ., Fukuoka (Japan). Faculty of Engineering)

    1991-06-29

    Although Ti: Sapphire expects of a possibility of being a light source much superior to a dye laser having been used as a wavelength variable laser for spectral analyses, it has a limitation that it does not oscillate directly in the visible and ultraviolet regions. In order to develop a light source that is synchronizable over ultraviolet-near infrared regions, by means of combining a Ti: Sapphire laser of a high peak power, comprising an oscillator and a multistage amplifier, with a non-linear frequency conversion method for harmonic generation and Raman conversion, a prototype Ti:Sapphire laser that is excited by YAG laser second harmonic, and that synchronizes with a prism was fabricated, and its operational characteristics were investigated. As a result, an output energy of 35.6 mJ at a maximum was obtained at a wavelength of 773 nm against an excitation energy of 129 mJ, a conversion efficiency of 38.2% was obtained against the absorption energy of the crystals, and a continuous synchronism was achieved over 750 to 900 nm. 4 refs., 9 figs., 1 tab.

  9. Structural and Functional Consequences of Chaperone Site Deletion in αA-Crystallin

    Science.gov (United States)

    Santhoshkumar, Puttur; Karmakar, Srabani; Sharma, Krishna K.

    2016-01-01

    The chaperone-like activity of αA-crystallin has an important role in maintaining lens transparency. Previously we identified residues 70–88 as a chaperone site in αA-crystallin. In this study, we deleted the chaperone site residues to generate αAΔ70–76 and αAΔ70–88 mutants and investigated if there are additional substrate-binding sites in αA-crystallin. Both mutant proteins when expressed in E. coli formed inclusion bodies, and on solubilizing and refolding, they exhibited similar structural properties, with a 2- to 3-fold increase in molar mass compared to the molar mass of wild-type protein. The deletion mutants were less stable than the wild-type αA-crystallin. Functionally αAΔ70–88 was completely inactive as a chaperone, while αAΔ70–76 demonstrated a 40–50% reduction in anti-aggregation activity against alcohol dehydrogenase (ADH). Deletion of residues 70–88 abolished the ADH binding sites in αA-crystallin at physiological temperature. At 45 °C, cryptic ADH binding site(s) became exposed, which contributed subtly to the chaperone-like activity of αAΔ70–88. Both of the deletion mutants were completely inactive in suppressing aggregation of βL-crystallin at 53 °C. The mutants completely lost the anti-apoptotic property that αA-crystallin exhibits while they protected ARPE-19 (a human retinal pigment epithelial cell line) and primary human lens epithelial (HLE) cells from oxidative stress. Our studies demonstrate that residues 70–88 in αA-crystallin act as a primary substrate binding site and account for the bulk of the total chaperone activity. The β3 and β4 strands in αA-crystallin comprising 70–88 residues play an important role in maintenance of the structure and in preventing aggregation of denaturing proteins. PMID:27524665

  10. Contribution to the microwave characterisation of superconductive materials by means of sapphire resonators

    International Nuclear Information System (INIS)

    Hanus, Xavier

    1993-01-01

    The objective of this research thesis is to find a compact resonant structure which would allow the residual surface impedance of superconductive samples to be simply, quickly and economically characterised. The author first explains why he decided to use a sapphire single-crystal as inner dielectric, given some performance reached by resonant structures equipped with such inner dielectrics, and given constraints adopted from the start. He explains the origin of microwave losses which appear in this type of resonant structure, i.e. respectively the surface impedance as far as metallic losses are concerned, and the sapphire dielectric loss angle for as far as dielectric losses are concerned. The experimental installation and the principle of microwave measurements are described. The performance of different possible solutions of resonant structures from starting criteria is presented. The solution of the cavity-sapphire with a TE 011 resonant mode is derived [fr

  11. Growth parameter enhancement for MoS{sub 2} thin films synthesized by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Serna, Martha I.; Higgins, Marissa; Quevedo-Lopez, Manuel A. [Materials Science and Engineering Department, The University of Texas at Dallas, 800 W Campbell Road RL 10, Richardson TX 75080 (United States); Moreno, Salvador [Department of Mechanical Engineering, The University of Texas at Dallas, Richardson, TX 75080 (United States); Choi, Hyunjoo [Department of Advanced Materials Engineering, Kookmin University, Jeongneung-dong Seongbuk-gu, Seoul 136-702 (Korea, Republic of); Minary-Jolandan, Majid [Department of Mechanical Engineering, The University of Texas at Dallas, Richardson, TX 75080 (United States); Alan MacDiarmid Nanotech Institute, The University of Texas at Dallas, 800 W Campbell Road RL 10, Richardson TX 75080 (United States)

    2016-12-15

    Two-dimensional materials such as graphene and MoS{sub 2} have been the main focus of intense research efforts over the past few years. The most common method of exfoliating these materials, although efficient for lab-scale experiments, is not acceptable for large area and practical applications. Here, we report the deposition of MoS{sub 2} layered films on amorphous (SiO{sub 2}) and crystalline substrates (sapphire) using a pulsed laser deposition (PLD) method. Increased substrate temperature (∝700 C) and laser energy density (>530 mJ /cm{sup 2}) promotes crystalline MoS{sub 2} films < 20 nm, as demonstrated by fast Fourier transform (FFT) and transmission electron microscopy (TEM). The method reported here opens the possibility for large area layered MoS{sub 2} films by using a laser ablation processes. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  13. Photoluminescence characteristics of low indium composition InGaN thin films grown on sapphire by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng, Z.C.; Liu, W.; Chua, S.J.; Yu, J.W.; Yang, C.C.; Yang, T.R.; Zhao, J.

    2006-01-01

    The wavelength shifts in the photoluminescence (PL) from low indium composition (∼ 3%) InGaN epitaxial thin films, grown on sapphire substrates by metalorganic chemical vapour deposition, has been studied by a combination of experiment and theory. As temperature increases from 6 K, the PL peak energy red-shifts very slightly first, then blue-shifts to reach a maximum at near 100 K, and red-shifts again till room temperature. This unique PL behaviour, indicating the existence of the phase separation, is interpreted qualitatively from the spatial variation of band structure due to the In-compositional fluctuation. Theoretical calculation, based upon a model involving the band-tail states in the radiative recombination, explains the experimental data successfully

  14. Crystalline hydroxyapatite coatings synthesized under hydrothermal conditions on modified titanium substrates.

    Science.gov (United States)

    Suchanek, Katarzyna; Bartkowiak, Amanda; Gdowik, Agnieszka; Perzanowski, Marcin; Kąc, Sławomir; Szaraniec, Barbara; Suchanek, Mateusz; Marszałek, Marta

    2015-06-01

    Hydroxyapatite coatings were successfully produced on modified titanium substrates via hydrothermal synthesis in a Ca(EDTA)(2-) and (NH4)2HPO4 solution. The morphology of modified titanium substrates as well as hydroxyapatite coatings was studied using scanning electron microcopy and phase identification by X-ray diffraction, and Raman and FTIR spectroscopy. The results show that the nucleation and growth of hydroxyapatite needle-like crystals with hexagonal symmetry occurred only on titanium substrates both chemically and thermally treated. No hydroxyapatite phase was detected on only acid etched Ti metal. This finding demonstrates that only a particular titanium surface treatment can effectively induce the apatite nucleation under hydrothermal conditions. Copyright © 2015 Elsevier B.V. All rights reserved.

  15. Controlling material birefringence in sapphire via self-assembled, sub-wavelength defects

    Science.gov (United States)

    Singh, Astha; Sharma, Geeta; Ranjan, Neeraj; Mittholiya, Kshitij; Bhatnagar, Anuj; Singh, B. P.; Mathur, Deepak; Vasa, Parinda

    2018-02-01

    Birefringence is the optical property of a material having a refractive index that depends on the polarization and propagation direction of light. Generally, this is an intrinsic optical property of a material and cannot be altered. Here, we report a novel technique—direct laser writing—that enables us to control the natural, material birefringence of sapphire over a broad range of wavelengths. The broadband form birefringence originating from self-assembled, periodic array of sub-wavelength (˜ 50-200 nm) defects created by laser writing, can enhance, suppress or maintain the material birefringence of sapphire without affecting its transparency range in visible or its surface quality.

  16. Single-transverse-mode Ti:sapphire rib waveguide laser

    NARCIS (Netherlands)

    Grivas, C.; Shepherd, D.P.; May-Smith, T.C.; Eason, R.W.; Pollnau, Markus

    2005-01-01

    Laser operation of Ti:sapphire rib waveguides fabricated using photolithography and ion beam etching in pulsed laser deposited layers is reported. Polarized laser emission was observed at 792.5 nm with an absorbed pump power threshold of 265 mW, which is more than a factor of 2 lower in comparison

  17. Reduction of Residual Stresses in Sapphire Cover Glass Induced by Mechanical Polishing and Laser Chamfering Through Etching

    Directory of Open Access Journals (Sweden)

    Shih-Jeh Wu

    2016-10-01

    Full Text Available Sapphire is a hard and anti-scratch material commonly used as cover glass of mobile devices such as watches and mobile phones. A mechanical polishing using diamond slurry is usually necessary to create mirror surface. Additional chamfering at the edge is sometimes needed by mechanical grinding. These processes induce residual stresses and the mechanical strength of the sapphire work piece is impaired. In this study wet etching by phosphate acid process is applied to relief the induced stress in a 1” diameter sapphire cover glass. The sapphire is polished before the edge is chamfered by a picosecond laser. Residual stresses are measured by laser curvature method at different stages of machining. The results show that the wet etching process effectively relief the stress and the laser machining does not incur serious residual stress.

  18. Development of frequency tunable Ti:sapphire laser and dye laser pumped by a pulsed Nd:YAG laser

    International Nuclear Information System (INIS)

    Yi, Jong Hoon; Horn, Roland; Wendt, K.

    2001-01-01

    We investigated lasing characteristics of two kinds of tunable laser, liquid dye laser and solid Ti:sapphire crystal laser, pumped by high pulse repetition rate Nd:YAG laser. Dye laser showed drastically reduced pulsewidth compared with that of pump laser and it also contained large amount of amplified spontaneous emission. Ti:sapphire laser showed also reduced pulsewidth. But, the laser conversion pump laser and Ti:sapphire laser pulse, we used a Brewster-cut Pockel's cell for Q-switching. The laser was frequency doubled by a type I BBO crystal outside of the cavity.

  19. Substrate considerations for graphene synthesis on thin copper films

    International Nuclear Information System (INIS)

    Howsare, Casey A; Robinson, Joshua A; Weng Xiaojun; Bojan, Vince; Snyder, David

    2012-01-01

    Chemical vapor deposition on copper substrates is a primary technique for synthesis of high quality graphene films over large areas. While well-developed processes are in place for catalytic growth of graphene on bulk copper substrates, chemical vapor deposition of graphene on thin films could provide a means for simplified device processing through the elimination of the layer transfer process. Recently, it was demonstrated that transfer-free growth and processing is possible on SiO 2 . However, the Cu/SiO 2 /Si material system must be stable at high temperatures for high quality transfer-free graphene. This study identifies the presence of interdiffusion at the Cu/SiO 2 interface and investigates the influence of metal (Ni, Cr, W) and insulating (Si 3 N 4 , Al 2 O 3 , HfO 2 ) diffusion barrier layers on Cu–SiO 2 interdiffusion, as well as graphene structural quality. Regardless of barrier choice, we find the presence of Cu diffusion into the silicon substrate as well as the presence of Cu–Si–O domains on the surface of the copper film. As a result, we investigate the choice of a sapphire substrate and present evidence that it is a robust substrate for synthesis and processing of high quality, transfer-free graphene. (paper)

  20. Effect of calcium phosphate coating crystallinity and implant surface roughness on differentiation of rat bone marrow cells.

    NARCIS (Netherlands)

    Brugge, P.J. ter; Wolke, J.G.C.; Jansen, J.A.

    2002-01-01

    In this study, we examined the effect of calcium phosphate (Ca-P) coating crystallinity and of surface roughness on growth and differentiation of osteogenic cells. Grit-blasted titanium substrates were provided with Ca-P coatings of different crystallinities. Rat bone marrow (RBM) cells were

  1. Molecular dynamics study of interfacial thermal transport between silicene and substrates.

    Science.gov (United States)

    Zhang, Jingchao; Hong, Yang; Tong, Zhen; Xiao, Zhihuai; Bao, Hua; Yue, Yanan

    2015-10-07

    In this work, the interfacial thermal transport across silicene and various substrates, i.e., crystalline silicon (c-Si), amorphous silicon (a-Si), crystalline silica (c-SiO2) and amorphous silica (a-SiO2) are explored by classical molecular dynamics (MD) simulations. A transient pulsed heating technique is applied in this work to characterize the interfacial thermal resistance in all hybrid systems. It is reported that the interfacial thermal resistances between silicene and all substrates decrease nearly 40% with temperature from 100 K to 400 K, which is due to the enhanced phonon couplings from the anharmonicity effect. Analysis of phonon power spectra of all systems is performed to interpret simulation results. Contradictory to the traditional thought that amorphous structures tend to have poor thermal transport capabilities due to the disordered atomic configurations, it is calculated that amorphous silicon and silica substrates facilitate the interfacial thermal transport compared with their crystalline structures. Besides, the coupling effect from substrates can improve the interface thermal transport up to 43.5% for coupling strengths χ from 1.0 to 2.0. Our results provide fundamental knowledge and rational guidelines for the design and development of the next-generation silicene-based nanoelectronics and thermal interface materials.

  2. AlGaN/GaN HEMT structures on ammono bulk GaN substrate

    International Nuclear Information System (INIS)

    Kruszewski, P; Prystawko, P; Krysko, M; Smalc-Koziorowska, J; Leszczynski, M; Kasalynas, I; Nowakowska-Siwinska, A; Plesiewicz, J; Dwilinski, R; Zajac, M; Kucharski, R

    2014-01-01

    The work shows a successful fabrication of AlGaN/GaN high electron mobility transistor (HEMT) structures on the bulk GaN substrate grown by ammonothermal method providing an ultralow dislocation density of 10 4  cm −2  and wafers of size up to 2 inches in diameter. The AlGaN layers grown by metalorganic chemical vapor phase epitaxy method demonstrate atomically smooth surface, flat interfaces with reproduced low dislocation density as in the substrate. The test electronic devices—Schottky diodes and transistors—were designed without surface passivation and were successfully fabricated using mask-less laser-based photolithography procedures. The Schottky barrier devices demonstrate exceptionally low reverse currents smaller by a few orders of magnitude in comparison to the Schottky diodes made of AlGaN/GaN HEMT on sapphire substrate. (paper)

  3. A neutron method for NDA analysis in the SAPPHIRE Project

    International Nuclear Information System (INIS)

    Lewis, K.D.

    1995-01-01

    The implementation of Project SAPPHIRE, the top secret mission to the Republic of Kazakhstan to recover weapons grade nuclear materials, consisted of four major elements: (1) the re-packing of fissile material from Kazakh containers into suitable US containers; (2) nondestructive analyses (NDA) to quantify the U-235 content of each container for Nuclear Criticality Safety and compliance purposes; (3) the packaging of the fissile material containers into 6M/2R drums, which are internationally approved for shipping fissile material; and (4) the shipping or transport of the recovered fissile material to the United States. This paper discusses the development and application of a passive neutron counting technique used in the NDA phase of SAPPHIRE operations to analyze uranium/beryllium (U/Be) alloys and compounds for U-235 content

  4. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  5. Neurosurgery contact handheld probe based on sapphire shaped crystal

    Science.gov (United States)

    Shikunova, I. A.; Stryukov, D. O.; Rossolenko, S. N.; Kiselev, A. M.; Kurlov, V. N.

    2017-01-01

    A handheld contact probe based on sapphire shaped crystal is developed for intraoperative spectrally-resolved optical diagnostics, laser coagulation and aspiration of malignant brain tissue. The technology was integrated into the neurosurgical workflow for intraoperative real-time identification and removing of invasive brain cancer.

  6. Study of sapphire probe tip wear when scanning on different materials

    International Nuclear Information System (INIS)

    Nicolet, Anaïs; Küng, Alain; Meli, Felix

    2012-01-01

    The accuracy of today's coordinate measuring machines (CMM) has reached a level at which exact knowledge of each component is required. The role of the probe tip is particularly crucial as it is in contact with the sample surface. Understanding how the probe tip wears off will help to narrow the measurement errors. In this work, wear of a sapphire sphere was studied for different scanning conditions and with different sample materials. Wear depth on the probe was investigated using an automated process in situ on the METAS micro-CMM and completed by measurements with an atomic force microscope. We often found a linear dependence between the wear depth and the scan length ranging from 0.5 to 9 nm m −1 , due to variations in scan speed, contact force or sample material. In the case of steel, the wear rate is proportional to the scan speed, while for aluminum several processes seem to interact. A large amount of debris was visible after the tests. Except for aluminum, wear was visible only on the sphere and not on the sample. Sapphire/steel is the worst combination in terms of wear, whereas the combination sapphire/ceramic exhibits almost no wear. (paper)

  7. Controlled synthesis of single-crystalline graphene

    Directory of Open Access Journals (Sweden)

    Wang Xueshen

    2014-02-01

    Full Text Available This paper reports the controlled synthesis of single-crystalline graphene on the back side of copper foil using CH4 as the precursor. The influence of growth time and the pressure ratio of CH4/H2 on the structure of graphene are examined. An optimized polymer-assisted method is used to transfer the synthesized graphene onto a SiO2/Si substrate. Scanning electron microscopy and Raman spectroscopy are used to characterize the graphene.

  8. Antireflection coatings for intraocular lenses of sapphire and fianite

    Energy Technology Data Exchange (ETDEWEB)

    Babin, A.A.; Konoplev, Yu.N.; Mamaev, Yu.A. [Inst. of Applied Physics, Nizhnii Novgorod (Russian Federation)] [and others

    1995-10-01

    Broadband antireflection coatings for intraocular lenses of sapphire and fianite are calculated and implemented practically. Their residual reflectance in the liquid with a refracting index of 1.336 is below 0.2% from each face virtually over the entire visible region. 7 refs., 2 figs., 2 tabs.

  9. Scintillation of sapphire under particle excitation at low temperature

    International Nuclear Information System (INIS)

    Amare, J; Beltran, B; Cebrian, S; Coron, N; Dambier, G; GarcIa, E; Gomez, H; Irastorza, I G; Leblanc, J; Luzon, G; Marcillac, P de; Martinez, M; Morales, J; Ortiz de Solorzano, A; Pobes, C; Puimedon, J; Redon, T; RodrIguez, A; Ruz, J; Sarsa, M L; Torres, L; Villar, J A

    2006-01-01

    The scintillation properties of undoped sapphire at very low temperature have been studied in the framework of the ROSEBUD (Rare Objects SEarch with Bolometers UnDerground) Collaboration devoted to dark matter searches. We present an estimation of its light yield under gamma, alpha and neutron excitation

  10. Substrate temperature study in the crystallinity of BaTiO{sub 3} thin films; Estudio de la temperatura de crecimiento sobre la cristalinidad en peliculas delgadas de BaTiO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Marquez-Herrera, Alfredo [Coordinacion Academica Region Altiplano (COARA), Universidad Autonoma de San Luis Potosi, San Luis Potosi (Mexico)]. E-mail: amarquez@mixteco.utm.mx; Hernandez-Rodriguez, Eric Noe; Zapata-Torres, Martin Guadalupe [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada, Unidad Legaria, Instituto Politecnico Nacional (Mexico)]. E-mails: noehmx@hotmail.com; mzapatat@ipn.mx; Cruz-Jauregui, Maria de la Paz [Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico (Mexico)]. E-mail: mcruz@cnyn.unam.mx; Melendez-Lira, Miguel angel [Centro de Investigacion y de Estudios Avanzados, Instituto Politecnico Nacional (Mexico)]. E-mail: mlira@fis.cinvestav.mx

    2013-07-15

    Ferroelectric thin films of BaTiO{sub 3} (BTO) were grown on quartz and nichrome substrates using a BaTiO{sub 3} target by RF-Sputtering technique. It was studied the effect of the substrate temperature in the crystallization of the material. These samples were compared with films deposited at room temperature and heat treated out of the growth Chamber. Their crystallinity were studied by X-ray diffraction. Additionally, the optical characterizations were carried out by UV-Vis spectrophotometer. The growth of thin films with substrate temperature allows the obtaining of crystalline materials at temperatures below those reported by other authors. [Spanish] Peliculas delgadas Ferroelectricas de BaTiO{sub 3} (BTO) se depositaron a partir de un blanco de BaTiO{sub 3} mediante la tecnica de RF-Sputtering (erosion catodica por radio frecuencia) sobre substratos de nicromel y cuarzo. Se estudio el efecto de la temperatura de sustrato in-situ en la cristalinidad del material durante su deposito. Estas muestras fueron comparadas con peliculas depositadas a temperatura ambiente y tratadas termicamente posterior al deposito fuera de la camara de crecimiento. El estudio de la cristalinidad fue realizado mediante la tecnica de difraccion de rayos-X. Adicionalmente, se llevaron a cabo caracterizaciones opticas mediante un espectrofotometro UV-Vis. El crecimiento de peliculas delgadas con temperatura de sustrato permite la obtencion de materiales cristalinos a temperaturas por debajo de las reportadas por otros autores.

  11. MBE-growth, characterization and properties of InN and InGaN

    International Nuclear Information System (INIS)

    Nanishi, Y.; Saito, Y.; Yamaguchi, T.; Hori, M.; Matsuda, F.; Araki, T.; Suzuki, A.; Miyajima, T.

    2003-01-01

    Recent developments on RF-MBE growth of InN and InGaN and their structural and property characterizations are reviewed. For successful growth of high quality InN, (1) nitridation of the sapphire substrates, (2) two-step growth, (3) precise control of V/III ratio and (4) selection of optimum growth temperature are found to be essential. Characterization using XRD, TEM, EXAFS and Raman scattering have clearly demonstrated that InN films have ideal hexagonal wurtzite structure. It is also found that the film has N-polarity. Studies on optimum growth condition dependence on substrate polarity using C and Si face SiC substrates and Ga and N face free-standing GaN substrates are also demonstrated. The result explains why high-quality InN grown by RF-MBE has N-polarity. PL and CL studies on these well-characterized high-quality InN have shown luminescence peaks at approximately 0.75 eV at 77 K. These values, however, change slightly depending on measurement temperatures and probably on the residual carrier concentrations. InGaN with full compositional range are also successfully grown on sapphire substrates and band gap energies of these alloys are also studied using PL and CL. Based on these results, true band gap energies of InN are discussed. This paper also includes latest study on single crystalline InN growth on Si (111) substrates. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. MBE-growth, characterization and properties of InN and InGaN

    Energy Technology Data Exchange (ETDEWEB)

    Nanishi, Y.; Saito, Y.; Yamaguchi, T.; Hori, M.; Matsuda, F.; Araki, T. [Dept. of Photonics, Ritsumeikan Univ., 1-1-1 Noji-higashi, Kusatsu (Japan); Suzuki, A. [Res. Org. of Sci. and Eng., Ritsumeikan Univ., 1-1-1 Noji-higashi, Kusatsu (Japan); Miyajima, T. [Sony Corp. Core Technology and Network Company, 4-14-1 Asahi, Atsugi, Kanagawa 243-0014 (Japan)

    2003-11-01

    Recent developments on RF-MBE growth of InN and InGaN and their structural and property characterizations are reviewed. For successful growth of high quality InN, (1) nitridation of the sapphire substrates, (2) two-step growth, (3) precise control of V/III ratio and (4) selection of optimum growth temperature are found to be essential. Characterization using XRD, TEM, EXAFS and Raman scattering have clearly demonstrated that InN films have ideal hexagonal wurtzite structure. It is also found that the film has N-polarity. Studies on optimum growth condition dependence on substrate polarity using C and Si face SiC substrates and Ga and N face free-standing GaN substrates are also demonstrated. The result explains why high-quality InN grown by RF-MBE has N-polarity. PL and CL studies on these well-characterized high-quality InN have shown luminescence peaks at approximately 0.75 eV at 77 K. These values, however, change slightly depending on measurement temperatures and probably on the residual carrier concentrations. InGaN with full compositional range are also successfully grown on sapphire substrates and band gap energies of these alloys are also studied using PL and CL. Based on these results, true band gap energies of InN are discussed. This paper also includes latest study on single crystalline InN growth on Si (111) substrates. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Frequency-doubled DBR-tapered diode laser for direct pumping of Ti:sapphire lasers generating sub-20 fs pulses

    DEFF Research Database (Denmark)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika

    2011-01-01

    For the first time a single-pass frequency doubled DBR-tapered diode laser suitable for pumping Ti:sapphire lasers generating ultrashort pulses is demonstrated. The maximum output powers achieved when pumping the Ti:sapphire laser are 110 mW (CW) and 82 mW (mode-locked) respectively at 1.2 W...... of pump power. This corresponds to a reduction in optical conversion efficiencies to 75% of the values achieved with a commercial diode pumped solid-state laser. However, the superior electro-optical efficiency of the diode laser improves the overall efficiency of the Ti:sapphire laser by a factor > 2....... The optical spectrum emitted by the Ti:sapphire laser when pumped with our diode laser shows a spectral width of 112 nm (FWHM). Based on autocorrelation measurements, pulse widths of less than 20 fs can therefore be expected....

  14. Frequency-doubled DBR-tapered diode laser for direct pumping of Ti:sapphire lasers generating sub-20 fs pulses.

    Science.gov (United States)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika; Le, Tuan; Stingl, Andreas; Hasler, Karl-Heinz; Sumpf, Bernd; Erbert, Götz; Andersen, Peter E; Petersen, Paul Michael

    2011-06-20

    For the first time a single-pass frequency doubled DBR-tapered diode laser suitable for pumping Ti:sapphire lasers generating ultrashort pulses is demonstrated. The maximum output powers achieved when pumping the Ti:sapphire laser are 110 mW (CW) and 82 mW (mode-locked) respectively at 1.2 W of pump power. This corresponds to a reduction in optical conversion efficiencies to 75% of the values achieved with a commercial diode pumped solid-state laser. However, the superior electro-optical efficiency of the diode laser improves the overall efficiency of the Ti:sapphire laser by a factor > 2. The optical spectrum emitted by the Ti:sapphire laser when pumped with our diode laser shows a spectral width of 112 nm (FWHM). Based on autocorrelation measurements, pulse widths of less than 20 fs can therefore be expected.

  15. Near single-crystalline, high-carrier-mobility silicon thin film on a polycrystalline/amorphous substrate

    Science.gov (United States)

    Findikoglu, Alp T [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM; Arendt, Paul N [Los Alamos, NM; Matias, Vladimir [Santa Fe, NM; Choi, Woong [Los Alamos, NM

    2009-10-27

    A template article including a base substrate including: (i) a base material selected from the group consisting of polycrystalline substrates and amorphous substrates, and (ii) at least one layer of a differing material upon the surface of the base material; and, a buffer material layer upon the base substrate, the buffer material layer characterized by: (a) low chemical reactivity with the base substrate, (b) stability at temperatures up to at least about 800.degree. C. under low vacuum conditions, and (c) a lattice crystal structure adapted for subsequent deposition of a semiconductor material; is provided, together with a semiconductor article including a base substrate including: (i) a base material selected from the group consisting of polycrystalline substrates and amorphous substrates, and (ii) at least one layer of a differing material upon the surface of the base material; and, a buffer material layer upon the base substrate, the buffer material layer characterized by: (a) low chemical reactivity with the base substrate, (b) stability at temperatures up to at least about 800.degree. C. under low vacuum conditions, and (c) a lattice crystal structure adapted for subsequent deposition of a semiconductor material, and, a top-layer of semiconductor material upon the buffer material layer.

  16. Growth of GaN on Sapphire via Low-Temperature Deposited Buffer Layer and Realization of p-Type GaN by Mg Doping Followed by Low-Energy Electron Beam Irradiation

    Science.gov (United States)

    Amano, Hiroshi

    2015-12-01

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid- to late 80s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed.

  17. Atomic Step Formation on Sapphire Surface in Ultra-precision Manufacturing

    Science.gov (United States)

    Wang, Rongrong; Guo, Dan; Xie, Guoxin; Pan, Guoshun

    2016-01-01

    Surfaces with controlled atomic step structures as substrates are highly relevant to desirable performances of materials grown on them, such as light emitting diode (LED) epitaxial layers, nanotubes and nanoribbons. However, very limited attention has been paid to the step formation in manufacturing process. In the present work, investigations have been conducted into this step formation mechanism on the sapphire c (0001) surface by using both experiments and simulations. The step evolutions at different stages in the polishing process were investigated with atomic force microscopy (AFM) and high resolution transmission electron microscopy (HRTEM). The simulation of idealized steps was constructed theoretically on the basis of experimental results. It was found that (1) the subtle atomic structures (e.g., steps with different sawteeth, as well as steps with straight and zigzag edges), (2) the periodicity and (3) the degree of order of the steps were all dependent on surface composition and miscut direction (step edge direction). A comparison between experimental results and idealized step models of different surface compositions has been made. It has been found that the structure on the polished surface was in accordance with some surface compositions (the model of single-atom steps: Al steps or O steps). PMID:27444267

  18. Optical, electrical and solid state properties of nano crystalline zinc ...

    African Journals Online (AJOL)

    Semiconducting Zinc Sulphide (ZnS) thin films were deposited on glass substrate using relatively simple Chemical Bath Deposition (CBD) technique. Nano crystalline ZnS thin films were fabricated in the study. Optical characterization of the films showed that the materials are transparent to visible light, opaque to ultraviolet ...

  19. Epitaxial growth of AlN on single crystal Mo substrates

    International Nuclear Information System (INIS)

    Okamoto, Koichiro; Inoue, Shigeru; Nakano, Takayuki; Kim, Tae-Won; Oshima, Masaharu; Fujioka, Hiroshi

    2008-01-01

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30 o rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices

  20. Epitaxial growth of AlN on single crystal Mo substrates

    Energy Technology Data Exchange (ETDEWEB)

    Okamoto, Koichiro; Inoue, Shigeru [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Nakano, Takayuki; Kim, Tae-Won [Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan); Oshima, Masaharu [Department of Applied Chemistry, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo, 113-8656 (Japan); Fujioka, Hiroshi [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan)], E-mail: hfujioka@iis.u-tokyo.ac.jp

    2008-06-02

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30{sup o} rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices.

  1. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    Science.gov (United States)

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  2. Sapphire implant based neuro-complex for deep-lying brain tumors phototheranostics

    Science.gov (United States)

    Sharova, A. S.; Maklygina, YU S.; Yusubalieva, G. M.; Shikunova, I. A.; Kurlov, V. N.; Loschenov, V. B.

    2018-01-01

    The neuro-complex as a combination of sapphire implant optical port and osteoplastic biomaterial "Collapan" as an Aluminum phthalocyanine nanoform photosensitizer (PS) depot was developed within the framework of this study. The main goals of such neuro-complex are to provide direct access of laser radiation to the brain tissue depth and to transfer PS directly to the pathological tissue location that will allow multiple optical phototheranostics of the deep-lying tumor region without repeated surgical intervention. The developed complex spectral-optical properties research was carried out by photodiagnostics method using the model sample: a brain tissue phantom. The optical transparency of sapphire implant allows obtaining a fluorescent signal with high accuracy, comparable to direct measurement "in contact" with the tissue.

  3. A Century of Sapphire Crystal Growth

    Science.gov (United States)

    2004-05-17

    should be aware that notwithstanding any other provision of law , no person shall be subject to a penalty for failing to comply with a collection of...and ruby were oxides of the elements aluminum and silicon.1 In 1817, J. L. Gay- Lussac found that pure aluminum oxide (also called alumina) could...thought to consist of Al2O3 and SiO2 •1817: Gay- Lussac : •1840: Rose: Found SiO2 in sapphire is from agate mortar used for grinding •1837-72: Gaudin

  4. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    Science.gov (United States)

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  5. Comparative Community Proteomics Demonstrates the Unexpected Importance of Actinobacterial Glycoside Hydrolase Family 12 Protein for Crystalline Cellulose Hydrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Hiras, Jennifer; Wu, Yu-Wei; Deng, Kai; Nicora, Carrie D.; Aldrich, Joshua T.; Frey, Dario; Kolinko, Sebastian; Robinson, Errol W.; Jacobs, Jon M.; Adams, Paul D.; Northen, Trent R.; Simmons, Blake A.; Singer, Steven W.

    2016-08-23

    ABSTRACT

    Glycoside hydrolases (GHs) are key enzymes in the depolymerization of plant-derived cellulose, a process central to the global carbon cycle and the conversion of plant biomass to fuels and chemicals. A limited number of GH families hydrolyze crystalline cellulose, often by a processive mechanism along the cellulose chain. During cultivation of thermophilic cellulolytic microbial communities, substantial differences were observed in the crystalline cellulose saccharification activities of supernatants recovered from divergent lineages. Comparative community proteomics identified a set of cellulases from a population closely related to actinobacteriumThermobispora bisporathat were highly abundant in the most active consortium. Among the cellulases fromT. bispora, the abundance of a GH family 12 (GH12) protein correlated most closely with the changes in crystalline cellulose hydrolysis activity. This result was surprising since GH12 proteins have been predominantly characterized as enzymes active on soluble polysaccharide substrates. Heterologous expression and biochemical characterization of the suite ofT. bisporahydrolytic cellulases confirmed that the GH12 protein possessed the highest activity on multiple crystalline cellulose substrates and demonstrated that it hydrolyzes cellulose chains by a predominantly random mechanism. This work suggests that the role of GH12 proteins in crystalline cellulose hydrolysis by cellulolytic microbes should be reconsidered.

    IMPORTANCECellulose is the most abundant organic polymer on earth, and its enzymatic hydrolysis is a key reaction in the global carbon cycle and the conversion of plant biomass to biofuels. The glycoside hydrolases that depolymerize crystalline cellulose have been primarily characterized from isolates. In this study, we demonstrate that adapting microbial consortia from compost to grow on crystalline cellulose

  6. Optical characteristics of crystalline antimony sulphide (Sb 2 S 3 ...

    African Journals Online (AJOL)

    This paper presents the important optical characteristics of crystalline Sb2S3 film deposited on glass substrate using solution growth technique at 300k. These characteristics were analyzed using PYEUNICAM SP8-100 spectrophotometer in the range of UV-VIS-NIR while the morphology and the structural composition were ...

  7. University Crystalline Silicon Photovoltaics Research and Development

    Energy Technology Data Exchange (ETDEWEB)

    Ajeet Rohatgi; Vijay Yelundur; Abasifreke Ebong; Dong Seop Kim

    2008-08-18

    The overall goal of the program is to advance the current state of crystalline silicon solar cell technology to make photovoltaics more competitive with conventional energy sources. This program emphasizes fundamental and applied research that results in low-cost, high-efficiency cells on commercial silicon substrates with strong involvement of the PV industry, and support a very strong photovoltaics education program in the US based on classroom education and hands-on training in the laboratory.

  8. Influence of interfacial reactions on the fiber push-out behavior in sapphire fiber-reinforced-NiAl(Yb) composites

    International Nuclear Information System (INIS)

    Tewari, S.N.; Asthana, R.; Tiwari, R.; Bowman, R.R.

    1993-01-01

    The influence of microstructure of the fiber-matrix interface on the fiber push-out behavior has been examined in sapphire fiber-reinforced NiAl and NiAl(Yb) matrix composites synthesized using powder metallurgy techniques combined with zone directional solidification (DS). The push-out stress-displacement curves were observed to consist of an initial 'pseudoelastic' region, wherein the stress increased linearly with displacement, followed by an 'inelastic' region, where the slope of the stress-displacement plot decreased until a maximum stress was reached, and the subsequent stress drop to a constant 'frictional' stress. Chemical reaction between the fiber and the matrix resulted in higher interfacial shear strength in powder cloth processed sapphire-NiAl(Yb) composites as compared to the sapphire-NiAl composites. Grain boundaries in contact with the fibers on the back face of the push-out samples were the preferred sites for crack nucleation in PM composites. The frictional stress was independent of the microstructure and processing variables for NiAl composites, but showed strong dependence on these variables for the NiAl(Yb) composites. The DS processing enhanced the fiber-matrix interfacial shear strength of feedstock PM-NiAl/sapphire composites. However, it reduced the interfacial shear strength of PM-NiAl(Yb)-sapphire composites

  9. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    Science.gov (United States)

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  10. On the processing-structure-property relationship of ITO layers deposited on crystalline and amorphous Si

    International Nuclear Information System (INIS)

    Diplas, S.; Ulyashin, A.; Maknys, K.; Gunnaes, A.E.; Jorgensen, S.; Wright, D.; Watts, J.F.; Olsen, A.; Finstad, T.G.

    2007-01-01

    Indium-tin-oxide (ITO) antireflection coatings were deposited on crystalline Si (c-Si), amorphous hydrogenated Si (a-Si:H) and glass substrates at room temperature (RT), 160 deg. C and 230 deg. C by magnetron sputtering. The films were characterised using atomic force microscopy, transmission electron microscopy, angle resolved X-ray photoelectron spectroscopy, combined with resistance and transmittance measurements. The conductivity and refractive index as well as the morphology of the ITO films showed a significant dependence on the processing conditions. The films deposited on the two different Si substrates at higher temperatures have rougher surfaces compared to the RT ones due to the development of crystallinity and growth of columnar grains

  11. Design of all solid state tunable single-mode Ti: sapphire laser for nuclear industry

    International Nuclear Information System (INIS)

    Lee, J.H.; Nam, S.M.; Lee, Y.J.; Lee, J.M.; Horn, Roland E.; Wendt, Klaus

    1999-01-01

    We designed a Ti:Sapphire laser pumped by a diode laser pumped solid state laser (DPSSL). The DPSSL was intra-cavity frequency doubled and it had 20 W output power. The Ti:Sapphire laser was designed for single longitudinal mode lasing. For single mode lasing, the laser used several solid etalons. We simulated temporal evolution of the laser pulse and single pass amplification rate of the photons in each modes from rate equations. From the result, we found that single mode lasing is viable in this cavity

  12. Indentation-Induced Mechanical Deformation Behaviors of AlN Thin Films Deposited on c-Plane Sapphire

    International Nuclear Information System (INIS)

    Jian, Sh.R.; Juang, J.Y.

    2012-01-01

    The mechanical properties and deformation behaviors of AlN thin films deposited on c-plane sapphire substrates by helicon sputtering method were determined using the Berkovich nano indentation and cross-sectional transmission electron microscopy (XTEM). The load-displacement curves show the 'pop-ins' phenomena during nano indentation loading, indicative of the formation of slip bands caused by the propagation of dislocations. No evidence of nano indentation-induced phase transformation or cracking patterns was observed up to the maximum load of 80 mN, from either XTEM or atomic force microscopy (AFM) of the mechanically deformed regions. Instead, XTEM revealed that the primary deformation mechanism in AlN thin films is via propagation of dislocations on both basal and pyramidal planes. Furthermore, the hardness and Young's modulus of AlN thin films estimated using the continuous contact stiffness measurements (CSMs) mode provided with the nanoindenter are 16.2 GPa and 243.5 GPa, respectively.

  13. Far-infrared properties of sol-gel derived PbZr0.52Ti0.48O3 thin films on Pt-coated substrates

    International Nuclear Information System (INIS)

    Kafadaryan, E A; Hovsepyan, R K; Khachaturova, A A; Aghamalyan, N R; Shirinyan, G O; Manukyan, A L; Vardanyan, R S; Hayrapetyan, A G; Grigoryan, S G; Vardanyan, E S

    2003-01-01

    Polycrystalline tetragonal PbZr 0.52 Ti 0.48 O 3 (PZT) thin films have been deposited on the nickel and (111) platinum coated (110) sapphire substrates by the sol-gel method. Optical properties of the PZT thin films were studied using far-infrared reflectivity spectroscopy in the 200-10 000 cm -1 frequency range at 300 K. The frequency dependence of the optical characteristics (σ, ε, -Im ε -1 ) of the films were calculated by the Kramers-Kronig transformation of the reflectivity spectra and analysed by the Drude-Lorentz model. The frequency dependence of the optical conductivity, σ(ω), of the PZT films deposited on platinum coated sapphire is well described by the free-carrier term and an overdamped mid-infrared component. Sapphire/Pt/PZT structures reveal semiconductor properties (effective carrier concentration N/m* is up to 10 20 cm -3 , plasma minimum is located near 3000 cm -1 ). This effect can be related to the favourable influence of the platinum electrode on the charge carrier density at Pt/PZT contact and formation of the interfacial conductive layer

  14. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    Science.gov (United States)

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  15. Effects of alkaline or liquid-ammonia treatment on crystalline cellulose: changes in crystalline structure and effects on enzymatic digestibility

    Directory of Open Access Journals (Sweden)

    Himmel Michael E

    2011-10-01

    samples, but achieved higher levels of cellulose conversion, at longer digestion times. Conclusions Earlier studies have focused on determining which cellulose allomorph is the most digestible. In this study we have found that the chemical treatments to produce different allomorphs also changed the crystallinity of the cellulose, and this had a significant effect on the digestibility of the substrate. When determining the relative digestibilities of different cellulose allomorphs it is essential to also consider the relative crystallinities of the celluloses being tested.

  16. Novel polypyrrole films with excellent crystallinity and good thermal stability

    International Nuclear Information System (INIS)

    Jeeju, Pullarkat P.; Varma, Sreekanth J.; Francis Xavier, Puthampadath A.; Sajimol, Augustine M.; Jayalekshmi, Sankaran

    2012-01-01

    Polypyrrole has drawn a lot of interest due to its high thermal and environmental stability in addition to high electrical conductivity. The present work highlights the enhanced crystallinity of polypyrrole films prepared from the redoped sample solution. Initially hydrochloric acid doped polypyrrole was prepared by chemical oxidative polymerization of pyrrole using ammonium peroxidisulphate as oxidant. The doped polypyrrole was dedoped using ammonia solution and then redoped with camphor sulphonic acid. Films were coated on ultrasonically cleaned glass substrates from the redoped sample solution in meta-cresol. The enhanced crystallinity of the polypyrrole films has been established from X-ray diffraction (XRD) studies. The room temperature electrical conductivity of the redoped polypyrrole film is about 30 times higher than that of the hydrochloric acid doped pellet sample. The results of Raman spectroscopy, Differential scanning calorimetry (DSC) and Thermogravimetric analysis (TGA) of the samples support the enhancement in crystallinity. Percentage crystallinity of the samples is estimated from XRD and DSC data. The present work is significant, since crystallinity of films is an important parameter for selecting polymers for specific applications. - Highlights: ► Polypyrrole films redoped with CSA have been prepared from meta-cresol solution. ► The solution casted films exhibit semi-crystallinity and good thermal stability. ► Percentage crystallinity estimated using XRD and DSC analysis is about 65%. ► Raman studies support the enhancement in crystallinity based on XRD and DSC data. ► The conductivity of the film is 30 times higher than that of HCl doped sample.

  17. Novel polypyrrole films with excellent crystallinity and good thermal stability

    Energy Technology Data Exchange (ETDEWEB)

    Jeeju, Pullarkat P., E-mail: jeejupp@gmail.com [Division for Research in Advanced Materials, Department of Physics, Cochin University of Science and Technology, Cochin-22, Kerala (India); Varma, Sreekanth J.; Francis Xavier, Puthampadath A.; Sajimol, Augustine M. [Division for Research in Advanced Materials, Department of Physics, Cochin University of Science and Technology, Cochin-22, Kerala (India); Jayalekshmi, Sankaran, E-mail: jayalekshmi@cusat.ac.in [Division for Research in Advanced Materials, Department of Physics, Cochin University of Science and Technology, Cochin-22, Kerala (India)

    2012-06-15

    Polypyrrole has drawn a lot of interest due to its high thermal and environmental stability in addition to high electrical conductivity. The present work highlights the enhanced crystallinity of polypyrrole films prepared from the redoped sample solution. Initially hydrochloric acid doped polypyrrole was prepared by chemical oxidative polymerization of pyrrole using ammonium peroxidisulphate as oxidant. The doped polypyrrole was dedoped using ammonia solution and then redoped with camphor sulphonic acid. Films were coated on ultrasonically cleaned glass substrates from the redoped sample solution in meta-cresol. The enhanced crystallinity of the polypyrrole films has been established from X-ray diffraction (XRD) studies. The room temperature electrical conductivity of the redoped polypyrrole film is about 30 times higher than that of the hydrochloric acid doped pellet sample. The results of Raman spectroscopy, Differential scanning calorimetry (DSC) and Thermogravimetric analysis (TGA) of the samples support the enhancement in crystallinity. Percentage crystallinity of the samples is estimated from XRD and DSC data. The present work is significant, since crystallinity of films is an important parameter for selecting polymers for specific applications. - Highlights: Black-Right-Pointing-Pointer Polypyrrole films redoped with CSA have been prepared from meta-cresol solution. Black-Right-Pointing-Pointer The solution casted films exhibit semi-crystallinity and good thermal stability. Black-Right-Pointing-Pointer Percentage crystallinity estimated using XRD and DSC analysis is about 65%. Black-Right-Pointing-Pointer Raman studies support the enhancement in crystallinity based on XRD and DSC data. Black-Right-Pointing-Pointer The conductivity of the film is 30 times higher than that of HCl doped sample.

  18. Detection of beryllium treatment of natural sapphires by NRA

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez, P.C., E-mail: carolina.gutierrez@uam.e [Centro de Micro-Analisis de Materiales (CMAM), Universidad Autonoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Ynsa, M.-D.; Climent-Font, A. [Centro de Micro-Analisis de Materiales (CMAM), Universidad Autonoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Dpto. Fisica Aplicada C-12, Universidad Autonoma de Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Calligaro, T. [Centre de Recherche et de Restauration des musees de France C2RMF, CNRS-UMR171, 14 quai Francois Mitterrand, 75001 Paris (France)

    2010-06-15

    Since the 1990's, artificial treatment of natural sapphires (Al{sub 2}O{sub 3} crystals coloured by impurities) by diffusion of beryllium at high temperature has become a growing practice. This process permits to enhance the colour of these gemstones, and thus to increase their value. Detection of such a treatment - diffusion of tens of {mu}g/g of beryllium in Al{sub 2}O{sub 3} crystals - is usually achieved using high sensitivity techniques like laser-ablation inductively coupled plasma mass spectrometry (LA-ICP/MS) or laser-induced breakdown spectrometry (LIBS) which are unfortunately micro-destructive (leaving 50-100-{mu}m diameter craters on the gems). The simple and non-destructive alternative method proposed in this work is based on the nuclear reaction {sup 9}Be({alpha}, n{gamma}){sup 12}C with an external helium ion beam impinging on the gem directly placed in air. The 4439 keV prompt {gamma}-ray tagging Be atoms are detected with a high efficiency bismuth germanate scintillator. Beam dose is monitored using the 2235 keV prompt {gamma}-ray produced during irradiation by the aluminium of the sapphire matrix through the {sup 27}Al({alpha}, p{gamma}){sup 30}Si nuclear reaction. The method is tested on a series of Be-treated sapphires previously analyzed by LA-ICP/MS to determine the optimal conditions to obtain a peak to background appropriate to reach the required {mu}g/g sensitivity. Using a 2.8-MeV external He beam and a beam dose of 200 {mu}C, beryllium concentrations from 5 to 16 {mu}g/g have been measured in the samples, with a detection limit of 1 {mu}g/g.

  19. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  20. Description of Project Sapphire. Revision 1

    International Nuclear Information System (INIS)

    Taylor, R.G.

    1995-01-01

    The mission of Project Sapphire was to repackage approximately 600 kg of highly enriched uranium (HEU) in the Republic of Kazakhstan into internationally acceptable shipping packages and transport the material to a storage location in the United States. There were four material types to be repackaged: metal; oxide; uranium/beryllium (U/Be) alloy; and residues from U/Be alloy production. Seven major steps described in this report were necessary for successful execution of the project: planning and training; readiness assessment; deployment; set up; process; take down; and transport. Nuclear criticality safety especially affected several of these steps

  1. Investigation of photocatalytic activity of titanium dioxide deposited on metallic substrates by DC magnetron sputtering

    DEFF Research Database (Denmark)

    Daviðsdóttir, Svava; Canulescu, Stela; Dirscherl, Kai

    2013-01-01

    The photocatalytic properties of titanium dioxide (TiO2) coating in the anatase crystalline structure deposited on aluminium AA1050 alloy and stainless steel S316L substrates were investigated. The coating was prepared by DC magnetron sputtering. The microstructure and surface morphology of the c......The photocatalytic properties of titanium dioxide (TiO2) coating in the anatase crystalline structure deposited on aluminium AA1050 alloy and stainless steel S316L substrates were investigated. The coating was prepared by DC magnetron sputtering. The microstructure and surface morphology...... sweep voltammetry, impedance measurements. The microstructure and surface morphology of the coating were similar irrespective of the nature of the substrate, while the photocatalytic behaviour was found to vary depending on the substrate type. In general the TiO2 coating on stainless steel was shown...

  2. Sapphire: a better material for atomization and in situ collection of silver volatile species for atomic absorption spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Musil, Stanislav, E-mail: stanomusil@biomed.cas.cz; Matoušek, Tomáš; Dědina, Jiří

    2015-06-01

    Sapphire is presented as a high temperature and corrosion resistant material of an optical tube of an atomizer for volatile species of Ag generated by the reaction with NaBH{sub 4}. The modular atomizer design was employed which allowed to carry out the measurements in two modes: (i) on-line atomization and (ii) in situ collection (directly in the optical tube) by means of excess of O{sub 2} over H{sub 2} in the carrier gas during the trapping step and vice versa in the volatilization step. In comparison with quartz atomizers, the sapphire tube atomizer provides a significantly increased atomizer lifetime as well as substantially improved repeatability of the Ag in situ collection signals shapes. In situ collection of Ag in the sapphire tube atomizer was highly efficient (> 90%). Limit of detection in the on-line atomization mode and in situ collection mode, respectively, was 1.2 ng ml{sup −1} and 0.15 ng ml{sup −1}. - Highlights: • Sapphire was tested as a new material of an atomizer tube for Ag volatile species. • Two measurement modes were investigated: on-line atomization and in situ collection. • In situ collection of Ag was highly efficient (> 90%) with LOD of 0.15 ng ml{sup −1}. • No devitrification of the sapphire tube observed in the course of several months.

  3. Facile "modular assembly" for fast construction of a highly oriented crystalline MOF nanofilm.

    Science.gov (United States)

    Xu, Gang; Yamada, Teppei; Otsubo, Kazuya; Sakaida, Shun; Kitagawa, Hiroshi

    2012-10-10

    The preparation of crystalline, ordered thin films of metal-organic frameworks (MOFs) will be a critical process for MOF-based nanodevices in the future. MOF thin films with perfect orientation and excellent crystallinity were formed with novel nanosheet-structured components, Cu-TCPP [TCPP = 5,10,15,20-tetrakis(4-carboxyphenyl)porphyrin], by a new "modular assembly" strategy. The modular assembly process involves two steps: a "modularization" step is used to synthesize highly crystalline "modules" with a nanosized structure that can be conveniently assembled into a thin film in the following "assembly" step. With this method, MOF thin films can easily be set up on different substrates at very high speed with controllable thickness. This new approach also enabled us to prepare highly oriented crystalline thin films of MOFs that cannot be prepared in thin-film form by traditional techniques.

  4. Interfacial thermal resistance between high-density polyethylene (HDPE) and sapphire

    International Nuclear Information System (INIS)

    Zheng Kun; Ma Yong-Mei; Wang Fo-Song; Zhu Jie; Tang Da-Wei

    2014-01-01

    To improve the thermal conductivity of polymeric composites, the numerous interfacial thermal resistance (ITR) inside is usually considered as a bottle neck, but the direct measurement of the ITR is hardly reported. In this paper, a sandwich structure which consists of transducer/high density polyethylene (HDPE)/sapphire is prepared to study the interface characteristics. Then, the ITRs between HDPE and sapphire of two samples with different HDPE thickness values are measured by time-domain thermoreflectance (TDTR) method and the results are ∼ 2 × 10 −7 m 2 ·K·W −1 . Furthermore, a model is used to evaluate the importance of ITR for the thermal conductivity of composites. The model's analysis indicates that reducing the ITR is an effective way of improving the thermal conductivity of composites. These results will provide valuable guidance for the design and manufacture of polymer-based thermally conductive materials. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Wetting behavior of liquid Fe-C-Ti alloys on sapphire

    International Nuclear Information System (INIS)

    Gelbstein, M.; Froumin, N.; Frage, N.

    2008-01-01

    Wetting behavior in the (Fe-C-Ti)/sapphire system was studied at 1823 K. The wetting angle between sapphire and Fe-C alloys is higher than 90 deg. (93 deg. and 105 deg. for the alloys with 1.4 and 3.6 at.% C, respectively). The presence of Ti improves the wetting of the iron-carbon alloys, especially for the alloys with carbon content of 3.6 at.%. The addition of 5 at.% Ti to Fe-3.6 at.% C provides a contact angle of about 30 deg., while the same addition to Fe-1.4 at.% C decreases the wetting angle to 70 deg. only. It was established that the wetting in the systems is controlled by the formation of a titanium oxicarbide layer at the interface, which composition and thickness depend on C and Ti contents in the melt. The experimental observations are well accounted for by a thermodynamic analysis of the Fe-Ti-Al-O-C system

  6. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  7. Surface-Energy-Anisotropy-Induced Orientation Effects on RayleighInstabilities in Sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Santala, Melissa; Glaeser, Andreas M.

    2006-01-01

    Arrays of controlled-geometry, semi-infinite pore channels of systematically varied crystallographic orientation were introduced into undoped m-plane (10{bar 1}0) sapphire substrates using microfabrication techniques and ion-beam etching and subsequently internalized by solid-state diffusion bonding. A series of anneals at 1700 C caused the breakup of these channels into discrete pores via Rayleigh instabilities. In all cases, channels broke up with a characteristic wavelength larger than that expected for a material with isotropic surface energy, reflecting stabilization effects due to surface-energy anisotropy. The breakup wavelength and the time required for complete breakup varied significantly with channel orientation. For most orientations, the instability wavelength for channels of radius R was in the range of 13.2R-25R, and complete breakup occurred within 2-10 h. To first order, the anneal times for complete breakup scale with the square of the breakup wavelength. Channels oriented along a <11{bar 2}0> direction had a wavelength of {approx} 139R, and required 468 h for complete breakup. Cross-sectional analysis of channels oriented along a <11{bar 2}0> direction showed the channel to be completely bounded by stable c(0001), r{l_brace}{bar 1}012{r_brace}, and s{l_brace}10{bar 1}1{r_brace} facets.

  8. A Study of Crystalline Mechanism of Penetration Sealer Materials.

    Science.gov (United States)

    Teng, Li-Wei; Huang, Ran; Chen, Jie; Cheng, An; Hsu, Hui-Mi

    2014-01-14

    It is quite common to dispense a topping material like crystalline penetration sealer materials (CPSM) onto the surface of a plastic substance such as concrete to extend its service life span by surface protections from outside breakthrough. The CPSM can penetrate into the existing pores or possible cracks in such a way that it may form crystals to block the potential paths which provide breakthrough for any unknown materials. This study investigated the crystalline mechanism formed in the part of concrete penetrated by the CPSM. We analyzed the chemical composites, in order to identify the mechanism of CPSM and to evaluate the penetrated depth. As shown in the results, SEM observes the acicular-structured crystals filling capillary pores for mortar substrate of the internal microstructure beneath the concrete surface; meanwhile, XRD and FT-IR showed the main hydration products of CPSM to be C-S-H gel and CaCO₃. Besides, MIP also shows CPSM with the ability to clog capillary pores of mortar substrate; thus, it reduces porosity, and appears to benefit in sealing pores or cracks. The depth of CPSM penetration capability indicated by TGA shows 0-10 mm of sealer layer beneath the concrete surface.

  9. Crystalline silicon films grown by pulsed dc magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, Peter; Fenske, Frank; Fuhs, Walther; Selle, Burkhardt [Hahn-Meitner-Institut Berlin, Abt. Silizium-Photovoltaik, Kekulestr. 5, D-12489 Berlin (Germany)

    2002-04-01

    Pulsed dc magnetron sputtering is used as a novel method for the deposition of crystalline silicon films on glass substrates. Hydrogen-free polycrystalline Si-films are deposited with high deposition rates at temperatures of 400-450 C and pulse frequencies f in the range 0-250 kHz. Strong preferential (100) orientation of the crystallites is observed with increasing f. High frequency and similarly high negative substrate bias cause an increase of the Ar content and an enhancement of structural disorder. Measurements of the transient floating potential suggest that the observed structural effects are related to bombardment of the growing film by Ar{sup +} ions of high energy.

  10. Optical characterization of In xGa1-xN alloys

    International Nuclear Information System (INIS)

    Gartner, M.; Kruse, C.; Modreanu, M.; Tausendfreund, A.; Roder, C.; Hommel, D.

    2006-01-01

    InGaN layers were grown by molecular beam epitaxy (MBE) either directly on (0 0 0 1) sapphire substrates or on GaN-template layers deposited by metal-organic vapor-phase epitaxy (MOVPE). We combined spectroscopic ellipsometry (SE), Raman spectroscopy (RS), photoluminescence (PL) and atomic force microscopy (AFM) measurements to investigate optical properties, microstructure, vibrational and mechanical properties of the InGaN/GaN/sapphire layers. The analysis of SE data was done using a parametric dielectric function model, established by in situ and ex situ measurements. A dielectric function database, optical band gap, the microstructure and the alloy composition of the layers were derived. The variation of the InGaN band gap with the In content (x) in the 0 g = 3.44-4.5x. The purity and the stability of the GaN and InGaN crystalline phase were investigated by RS

  11. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  12. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    Science.gov (United States)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  13. Sub-100 fs high average power directly blue-diode-laser-pumped Ti:sapphire oscillator

    Science.gov (United States)

    Rohrbacher, Andreas; Markovic, Vesna; Pallmann, Wolfgang; Resan, Bojan

    2016-03-01

    Ti:sapphire oscillators are a proven technology to generate sub-100 fs (even sub-10 fs) pulses in the near infrared and are widely used in many high impact scientific fields. However, the need for a bulky, expensive and complex pump source, typically a frequency-doubled multi-watt neodymium or optically pumped semiconductor laser, represents the main obstacle to more widespread use. The recent development of blue diodes emitting over 1 W has opened up the possibility of directly diode-laser-pumped Ti:sapphire oscillators. Beside the lower cost and footprint, a direct diode pumping provides better reliability, higher efficiency and better pointing stability to name a few. The challenges that it poses are lower absorption of Ti:sapphire at available diode wavelengths and lower brightness compared to typical green pump lasers. For practical applications such as bio-medicine and nano-structuring, output powers in excess of 100 mW and sub-100 fs pulses are required. In this paper, we demonstrate a high average power directly blue-diode-laser-pumped Ti:sapphire oscillator without active cooling. The SESAM modelocking ensures reliable self-starting and robust operation. We will present two configurations emitting 460 mW in 82 fs pulses and 350 mW in 65 fs pulses, both operating at 92 MHz. The maximum obtained pulse energy reaches 5 nJ. A double-sided pumping scheme with two high power blue diode lasers was used for the output power scaling. The cavity design and the experimental results will be discussed in more details.

  14. Generation of continuous-wave single-frequency 1.5 W 378 nm radiation by frequency doubling of a Ti:sapphire laser.

    Science.gov (United States)

    Cha, Yong-Ho; Ko, Kwang-Hoon; Lim, Gwon; Han, Jae-Min; Park, Hyun-Min; Kim, Taek-Soo; Jeong, Do-Young

    2010-03-20

    We have generated continuous-wave single-frequency 1.5 W 378 nm radiation by frequency doubling a high-power Ti:sapphire laser in an external enhancement cavity. An LBO crystal that is Brewster-cut and antireflection coated on both ends is used for a long-term stable frequency doubling. By optimizing the input coupler's reflectivity, we could generate 1.5 W 378 nm radiation from a 5 W 756 nm Ti:sapphire laser. According to our knowledge, this is the highest CW frequency-doubled power of a Ti:sapphire laser.

  15. Lattice dynamics of sapphire (corundum). Pt. 2

    International Nuclear Information System (INIS)

    Kappus, W.

    1975-01-01

    Theoretical models of the lattice dynamics of sapphire (α - Al 2 O 3 ), based on the assumption of rigid ions, have been fitted to measured phonons at the Gamma-point of the Brillouin zone. Short range interactions were taken into account by assuming 2-body interactions between touching ions. Additional 3-body interactions could not improve the fit significantly. Calculated dispersion curves are presented and compared with inelastic neutron scattering data. A good agreement for branches along the trigonal axis can be stated. (orig.) [de

  16. Characterization of local hydrophobicity on sapphire (0001) surfaces in aqueous environment by colloidal probe atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wada, Tomoya; Yamazaki, Kenji; Isono, Toshinari; Ogino, Toshio, E-mail: ogino-toshio-rx@ynu.ac.jp

    2017-02-28

    Highlights: • Local hydrophobicity of phase-separated sapphire (0001) surfaces was investigated. • These surfaces are featured by coexistence of hydrophilic and hydrophobic domains. • Each domain was characterized by colloidal probe atomic force microscopy in water. • Both domains can be distinguished by adhesive forces of the probe to the surfaces. • Characterization in aqueous environment is important in bio-applications of sapphire. - Abstract: Sapphire (0001) surfaces exhibit a phase-separation into hydrophobic and hydrophilic domains upon high-temperature annealing, which were previously distinguished by the thickness of adsorbed water layers in air using atomic force microscopy (AFM). To characterize their local surface hydrophobicity in aqueous environment, we used AFM equipped with a colloidal probe and measured the local adhesive force between each sapphire domain and a hydrophilic SiO{sub 2} probe surface, or a hydrophobic polystyrene one. Two data acquisition modes for statistical analyses were used: one is force measurements at different positions of the surface and the other repeated measurement at a fixed position. We found that adhesive force measurements using the polystyrene probe allow us to distinctly separate the hydrophilic and hydrophobic domains. The dispersion in the force measurement data at different positions of the surface is larger than that in the repeated measurements at a fixed position. It indicates that the adhesive force measurement is repeatable although their data dispersion for the measurement positions is relatively large. From these results, we can conclude that the hydrophilic and hydrophobic domains on the sapphire (0001) surfaces are distinguished by a difference in their hydration degrees.

  17. Interfacial structure of V2AlC thin films deposited on (112-bar 0)-sapphire

    International Nuclear Information System (INIS)

    Sigumonrong, Darwin P.; Zhang, Jie; Zhou, Yanchun; Music, Denis; Emmerlich, Jens; Mayer, Joachim; Schneider, Jochen M.

    2011-01-01

    Local epitaxy between V 2 AlC and sapphire without intentionally or spontaneously formed seed layers was observed by transmission electron microscopy. Our ab initio calculations suggest that the most stable interfacial structure is characterized by the stacking sequence ...C-V-Al-V//O-Al..., exhibiting the largest work of separation for the configurations studied and hence strong interfacial bonding. It is proposed that a small misfit accompanied by strong interfacial bonding enable the local epitaxial growth of V 2 AlC on (112-bar 0)-sapphire.

  18. Pb(Zr,TiO3 (PZT Thin Film Sensors for Fully-Integrated, Passive Telemetric Transponders

    Directory of Open Access Journals (Sweden)

    Richard X. FU

    2011-04-01

    Full Text Available The great potential of taking advantages of PZT in a single chip to achieve inexpensive, fully-integrated, passive telemetric transponders has been shown in this paper. The processes for the sputter deposition of Pb(Zr,TiO3 (PZT thin films from two different composite targets on both Si and c-plane sapphire substrates have been demonstrated. PZT thin films have been deposited by sputter technique. PZT films were deposited onto substrates (Si [(100 Cz wafer] and c-plane sapphire (0001//Ti//Pt followed by sputter-deposited Pt top electrodes. X-ray diffraction results showed that both sputtered PZT films were textured along the [110] direction. The degree of preference for the [110] direction was greater on sapphire substrate where the intensity of that peak is seen to be larger compared to the intensity one Si substrate. TEM data revealed that both sputtered PZT films were polycrystalline in nature. Selected area diffraction (SAD pattern showed that the degree of disorientation between the crystallites was smaller on sapphire substrate compared to on Si substrate, which confirmed the results from the XRD. The remnant polarization Pr on sapphire substrate was larger than on Si’s. The leakage current for the 11 % Pb target sputtered film was much less than 22 % Pb target sputtered film. The breakdown voltage on sapphire substrate was the best. However, for the 11 % Pb target sputtered film’s breakdown voltage was much higher than 22 % Pb target sputtered film.

  19. Method and apparatus for forming high-critical-temperature superconducting layers on flat and/or elongated substrates

    Science.gov (United States)

    Ciszek, Theodore F.

    1994-01-01

    An elongated, flexible superconductive wire or strip is fabricated by pulling it through and out of a melt of metal oxide material at a rate conducive to forming a crystalline coating of superconductive metal oxide material on an elongated, flexible substrate wire or strip. A coating of crystalline superconductive material, such as Bi.sub.2 Sr.sub.2 CaCu.sub.2 O.sub.8, is annealed to effect conductive contact between adjacent crystalline structures in the coating material, which is then cooled to room temperature. The container for the melt can accommodate continuous passage of the substrate through the melt. Also, a second pass-through container can be used to simultaneously anneal and overcoat the superconductive coating with a hot metallic material, such as silver or silver alloy. A hollow, elongated tube casting method of forming an elongated, flexible superconductive wire includes drawing the melt by differential pressure into a heated tubular substrate.

  20. Microscopic origin of the optical processes in blue sapphire.

    Science.gov (United States)

    Bristow, Jessica K; Parker, Stephen C; Catlow, C Richard A; Woodley, Scott M; Walsh, Aron

    2013-06-11

    Al2O3 changes from transparent to a range of intense colours depending on the chemical impurities present. In blue sapphire, Fe and Ti are incorporated; however, the chemical process that gives rise to the colour has long been debated. Atomistic modelling identifies charge transfer from Ti(III) to Fe(III) as being responsible for the characteristic blue appearance.

  1. Microscopic origin of the optical processes in blue sapphire

    OpenAIRE

    Bristow, JK; Parker, SC; Catlow, CRA; Woodley, SM; Walsh, A

    2013-01-01

    Al2O3 changes from transparent to a range of intense colours depending on the chemical impurities present. In blue sapphire, Fe and Ti are incorporated; however, the chemical process that gives rise to the colour has long been debated. Atomistic modelling identifies charge transfer from Ti(III) to Fe(III) as being responsible for the characteristic blue appearance.

  2. Sapphire/TiAl composites - structure and properties

    International Nuclear Information System (INIS)

    Povarova, K.B.; Antonova, A.V.; Mileiko, S.T.; Sarkissyan, N.S.

    2001-01-01

    Ti-Al-intermetallic-based alloys with lamellar microstructure, -γ(TiAl) +α 2 (Ti 3 Al) are characterized by a high melting point of 1460 o C, a low density of ∼3.9 g/cm 3 , a high gas corrosion resistance up to a temperature of about 900 o C, a high creep resistance up to a temperature of about 800 o C, and a sufficiently high fracture toughness at low temperatures, up to 30 Mpa x m 1/2 . Hence, they are considered as excellent matrices for fibres of high melting point. Unlike well-developed SiC/TiAl composites, which have an obvious upper limit for the usage temperature due to SiC/TiAl interaction, Sapphire/TiAl composites remain nearly unknown because fibres to be used in such composites have not been really available. At the present time, such fibres are developed in Solid State Physics Inst. of RAS. The results of preliminary creep tests of Al 2 O 3 /TiAl composites obtained by using pressure casting have shown that usage of such composite systems shifts the temperature limit for light structural materials in terms of creep resistance to, at least, 1050 o C: creep strength on 100 h time base reaches 120 MPa at that temperature. It occurs also that Sapphire-fibres/TiAl-matrix composite specimens have an increased gas corrosion resistance by more than one order of the magnitudes as compared with that of the matrix alloy. (author)

  3. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  4. Development of a templated approach to fabricate diamond patterns on various substrates.

    Science.gov (United States)

    Shimoni, Olga; Cervenka, Jiri; Karle, Timothy J; Fox, Kate; Gibson, Brant C; Tomljenovic-Hanic, Snjezana; Greentree, Andrew D; Prawer, Steven

    2014-06-11

    We demonstrate a robust templated approach to pattern thin films of chemical vapor deposited nanocrystalline diamond grown from monodispersed nanodiamond (mdND) seeds. The method works on a range of substrates, and we herein demonstrate the method using silicon, aluminum nitride (AlN), and sapphire substrates. Patterns are defined using photo- and e-beam lithography, which are seeded with mdND colloids and subsequently introduced into microwave assisted chemical vapor deposition reactor to grow patterned nanocrystalline diamond films. In this study, we investigate various factors that affect the selective seeding of different substrates to create high quality diamond thin films, including mdND surface termination, zeta potential, surface treatment, and plasma cleaning. Although the electrostatic interaction between mdND colloids and substrates is the main process driving adherence, we found that chemical reaction (esterification) or hydrogen bonding can potentially dominate the seeding process. Leveraging the knowledge on these different interactions, we optimize fabrication protocols to eliminate unwanted diamond nucleation outside the patterned areas. Furthermore, we have achieved the deposition of patterned diamond films and arrays over a range of feature sizes. This study contributes to a comprehensive understanding of the mdND-substrate interaction that will enable the fabrication of integrated nanocrystalline diamond thin films for microelectronics, sensors, and tissue culturing applications.

  5. Vanadium-rich ruby and sapphire within Mogok Gemfield, Myanmar: implications for gem color and genesis

    Science.gov (United States)

    Zaw, Khin; Sutherland, Lin; Yui, Tzen-Fu; Meffre, Sebastien; Thu, Kyaw

    2015-01-01

    Rubies and sapphires are of both scientific and commercial interest. These gemstones are corundum colored by transition elements within the alumina crystal lattice: Cr3+ yields red in ruby and Fe2+, Fe3+, and Ti4+ ionic interactions color sapphires. A minor ion, V3+ induces slate to purple colors and color change in some sapphires, but its role in coloring rubies remains enigmatic. Trace element and oxygen isotope composition provide genetic signatures for natural corundum and assist geographic typing. Here, we show that V can dominate chromophore contents in Mogok ruby suites. This raises implications for their color quality, enhancement treatments, geographic origin, exploration and exploitation and their comparison with rubies elsewhere. Precise LA-ICP-MS analysis of ruby and sapphire from Mogok placer and in situ deposits reveal that V can exceed 5,000 ppm, giving V/Cr, V/Fe and V/Ti ratios up to 26, 78, and 97 respectively. Such values significantly exceed those found elsewhere suggesting a localized geological control on V-rich ruby distribution. Our results demonstrate that detailed geochemical studies of ruby suites reveal that V is a potential ruby tracer, encourage comparisons of V/Cr-variation between ruby suites and widen the scope for geographic typing and genesis of ruby. This will allow more precise comparison of Asian and other ruby fields and assist confirmation of Mogok sources for rubies in historical and contemporary gems and jewelry.

  6. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  7. CBE growth of high-quality ZnO epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    El-Shaer, A.; Bakin, A.; Mofor, A.C.; Kreye, M.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Blaesing, J.; Krost, A. [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany); Stoimenos, J. [Physics Department, Aristotele University, Univ. Campus, 54006 Thessaloniki (Greece); Pecz, B. [Research Institute for Technical Physics and Materials Science, Hungarian Academy of Sciences, P.O. Box 49, 1525 Budapest (Hungary); Heuken, M. [Aixtron AG, Kackertstr. 15-17, 52072 Aachen (Germany)

    2006-03-15

    Further improvements on the recently reported novel approach to zinc oxide Chemical Beam Epitaxy (CBE) are presented. Hydrogen peroxide is employed as a very efficient novel oxidant. ZnO layers with a thickness from 100 nm to 600 nm were grown on c-sapphire using a MgO buffer. PL-mapping as well as conductivity mapping shows a good uniformity across the 2 inch ZnO-on-sapphire epiwafers. The measured surface roughness for the best layers is as low as 0.26 nm. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO. The FWHM of the HRXRD (0002) rocking curves measured for the 2 inch ZnO-on-sapphire wafers is as low as 27 arcsec with a very high lateral homogeneity across the whole wafer. Plane view HRTEM observations reveal the very good quality of the ZnO films. The results indicate that CBE is a suitable technique to fabricate ZnO of very high structural quality, which can eventually be used as an alternative to bulk ZnO substrates. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Structural, electrical and magnetic studies of Co:SnO{sub 2} and (Co,Mo):SnO{sub 2} films prepared by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dalui, S., E-mail: ssdalui@fc.ul.pt [University of Lisbon, Physics Dept. and ICEMS, 1749-016 Lisboa (Portugal); Instituto Superior de Engenharia de Lisboa and ICEMS, 1959-007 Lisboa (Portugal); Rout, S. [University of Lisbon, Physics Dept. and ICEMS, 1749-016 Lisboa (Portugal); Silvestre, A.J. [Instituto Superior de Engenharia de Lisboa and ICEMS, 1959-007 Lisboa (Portugal); Lavareda, G. [New University of Lisbon, Mater. Sci. Dept. and CTS, 2829-516 Caparica (Portugal); Pereira, L.C.J. [Instituto Superior Técnico, ITN and CFMCUL, 2686-953 Sacavém (Portugal); Brogueira, P. [Instituto Superior Técnico, Physics Dept. and ICEMS, 1049-001 Lisboa (Portugal); Conde, O. [University of Lisbon, Physics Dept. and ICEMS, 1749-016 Lisboa (Portugal)

    2013-08-01

    Here we report on the structural, optical, electrical and magnetic properties of Co-doped and (Co,Mo)-codoped SnO{sub 2} thin films deposited on r-cut sapphire substrates by pulsed laser deposition. Substrate temperature during deposition was kept at 500 °C. X-ray diffraction analysis showed that the undoped and doped films are crystalline with predominant orientation along the [1 0 1] direction regardless of the doping concentration and doping element. Optical studies revealed that the presence of Mo reverts the blue shift trend observed for the Co-doped films. For the Co and Mo doping concentrations studied, the incorporation of Mo did not contribute to increase the conductivity of the films or to enhance the ferromagnetic order of the Co-doped films.

  9. Structure of the Dislocation in Sapphire

    DEFF Research Database (Denmark)

    Bilde-Sørensen, Jørgen; Thölen, A. R.; Gooch, D. J.

    1976-01-01

    Experimental evidence of the existence of 01 0 dislocations in the {2 0} prism planes in sapphire has been obtained by transmission electron microscopy. By the weak-beam technique it has been shown that the 01 0 dislocations may dissociate into three partials. The partials all have a Burgers vector...... of ⅓ 01 0 and are separated by two identical faults. The distance between two partials is in the range 75-135 Å, corresponding to a fault energy of 320±60 mJ/m2. Perfect 01 0 dislocations have also been observed. These dislocations exhibited either one or two peaks when imaged in the (03 0) reflection...

  10. Detection of solar neutrinos with a torsion balance with sapphire crystal

    Science.gov (United States)

    Cruceru, M.; Nicolescu, G.

    2018-01-01

    The solar neutrinos (antineutrinos) are detected with a dedicated torsion balance in the case when they interact coherently on stiff crystals (sapphire with high Debye temperature ∼1000K and lead with ∼100K Debye temperature). The balance consists in two equal masses of lead and sapphire, of 25g. An autocollimator coupled to this balance measures small rotation angles of the balance. The force with which neutrino flux interacts with these crystals is between 10-5 dyn and 10-8 dyn, comparable with that reported in Weber’s experiments [1]. A diurnal effect is observed for solar neutrinos due to the rotation of the Earth around its own axes. The solar neutrino flux obtained at the site of our experiment is ∼3.8*1010neutrinos/cm2*s [2]. Experimental data for neutrinos signals from this high sensitivity torsion balance are presented and commented [3].

  11. Effect of Ti:sapphire laser on shear bond strength of orthodontic brackets to ceramic surfaces.

    Science.gov (United States)

    Erdur, Emire Aybuke; Basciftci, Faruk Ayhan

    2015-08-01

    With increasing demand for orthodontic treatments in adults, orthodontists continue to debate the optimal way to prepare ceramic surfaces for bonding. This study evaluated the effects of a Ti:sapphire laser on the shear bond strength (SBS) of orthodontic brackets bonded to two ceramic surfaces (feldspathic and IPS Empress e-Max) and the results were compared with those using two other lasers (Er:YAG and Nd:YAG) and 'conventional' techniques, i.e., sandblasting (50 µm) and hydrofluoric (HF) acid. In total, 150 ceramic discs were prepared and divided into two groups. In each group, the following five subgroups were prepared: Ti:sapphire laser, Nd:YAG laser, Er:YAG laser, sandblasting, and HF acid. Mandibular incisor brackets were bonded using a light-cured adhesive. The samples were stored in distilled water for 24 hours at 37°C and then thermocycled. Extra samples were prepared and examined using scanning electron microscopy (SEM). SBS testing was performed and failure modes were classified. ANOVA and Tukey's HSD tests were used to compare SBS among the five subgroups (P < 0.05). Feldspathic and IPS Empress e-Max ceramics had similar SBS values. The Ti:sapphire femtosecond laser (16.76 ± 1.37 MPa) produced the highest mean bond strength, followed by sandblasting (12.79 ± 1.42 MPa) and HF acid (11.28 ± 1.26 MPa). The Er:YAG (5.43 ± 1.21 MPa) and Nd:YAG laser (5.36 ± 1.04 MPa) groups were similar and had the lowest SBS values. More homogeneous and regular surfaces were observed in the ablation pattern with the Ti:sapphire laser than with the other treatments by SEM analysis. Within the limitations of this in vitro study, Ti:sapphire laser- treated surfaces had the highest SBS values. Therefore, this technique may be useful for the pretreatment of ceramic surfaces as an alternative to 'conventional' techniques. © 2015 Wiley Periodicals, Inc.

  12. Impact of self-assembled monolayer assisted surface dipole modulation of PET substrate on the quality of RF-sputtered AZO film

    Energy Technology Data Exchange (ETDEWEB)

    Vo, Thieu Thi Tien [Department of Chemical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China); Faculty of Chemical Engineering and Food Technology, Ba Ria-Vung Tau University, Vung Tau (Viet Nam); Mahesh, K.P.O. [Department of Chemical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China); Lin, Pao-Hung [Department of Electronic and Computer Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China); Tai, Yian, E-mail: ytai@mail.ntust.edu.tw [Department of Chemical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (China)

    2017-05-01

    Highlights: • We use SAMs functionalizing the PET substrates to generate different surface dipoles. • We deposited AZO film on pristine and SAMs-modified PET substrate. • The positive dipole moment of PET surface promotes the crystallinity of AZO film. • The negative dipole moment of PET surface deteriorates the crystallinity of AZO film. • The electrical properties of AZO/PET changes upon the variation of the crystallinity. - Abstract: In this study, we fabricated the electron donating/withdrawing group functionalized organosilane self-assembled monolayers (SAMs) on transparent polyethylene terephthalate (PET) flexible substrate followed by the deposition of aluminum doped zinc oxide (AZO) using RF magnetron sputtering at room temperature. The effect of different SAMs on transparent PET substrates and AZO films were studied by contact angle (CA), X-ray photoelectron spectroscopy (XPS), Atomic force microscopy (AFM), X-ray diffraction (XRD), Field-Emission scanning electron microscope (FE-SEM), Hall measurement and UV–vis spectroscopy (UV–vis). The results presented that the surface dipole (i.e. electron-donating/withdrawing) of different SAMs functionalized PET substrates affected the quality of the AZO films which deposited on top of them. The crystallinity, the charge mobility, and the carrier concentration of the AZO improved when the film was deposited on the PET functionalized with electron donating group, which was possibly due to favored interaction between electron donating group and Al ions.

  13. Impact of self-assembled monolayer assisted surface dipole modulation of PET substrate on the quality of RF-sputtered AZO film

    International Nuclear Information System (INIS)

    Vo, Thieu Thi Tien; Mahesh, K.P.O.; Lin, Pao-Hung; Tai, Yian

    2017-01-01

    Highlights: • We use SAMs functionalizing the PET substrates to generate different surface dipoles. • We deposited AZO film on pristine and SAMs-modified PET substrate. • The positive dipole moment of PET surface promotes the crystallinity of AZO film. • The negative dipole moment of PET surface deteriorates the crystallinity of AZO film. • The electrical properties of AZO/PET changes upon the variation of the crystallinity. - Abstract: In this study, we fabricated the electron donating/withdrawing group functionalized organosilane self-assembled monolayers (SAMs) on transparent polyethylene terephthalate (PET) flexible substrate followed by the deposition of aluminum doped zinc oxide (AZO) using RF magnetron sputtering at room temperature. The effect of different SAMs on transparent PET substrates and AZO films were studied by contact angle (CA), X-ray photoelectron spectroscopy (XPS), Atomic force microscopy (AFM), X-ray diffraction (XRD), Field-Emission scanning electron microscope (FE-SEM), Hall measurement and UV–vis spectroscopy (UV–vis). The results presented that the surface dipole (i.e. electron-donating/withdrawing) of different SAMs functionalized PET substrates affected the quality of the AZO films which deposited on top of them. The crystallinity, the charge mobility, and the carrier concentration of the AZO improved when the film was deposited on the PET functionalized with electron donating group, which was possibly due to favored interaction between electron donating group and Al ions.

  14. Crystalline and Crystalline International Disposal Activities

    Energy Technology Data Exchange (ETDEWEB)

    Viswanathan, Hari S. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Chu, Shaoping [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Dittrich, Timothy M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Hyman, Jeffrey De' Haven [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Karra, Satish [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Makedonska, Nataliia [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Reimus, Paul William [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-03-06

    This report presents the results of work conducted between September 2015 and July 2016 at Los Alamos National Laboratory in the crystalline disposal and crystalline international disposal work packages of the Used Fuel Disposition Campaign (UFDC) for DOE-NE’s Fuel Cycle Research and Development program. Los Alamos focused on two main activities during this period: Discrete fracture network (DFN) modeling to describe flow and radionuclide transport in complex fracture networks that are typical of crystalline rock environments, and a comprehensive interpretation of three different colloid-facilitated radionuclide transport experiments conducted in a fractured granodiorite at the Grimsel Test Site in Switzerland between 2002 and 2013. Chapter 1 presents the results of the DFN work and is divided into three main sections: (1) we show results of our recent study on the correlation between fracture size and fracture transmissivity (2) we present an analysis and visualization prototype using the concept of a flow topology graph for characterization of discrete fracture networks, and (3) we describe the Crystalline International work in support of the Swedish Task Force. Chapter 2 presents interpretation of the colloidfacilitated radionuclide transport experiments in the crystalline rock at the Grimsel Test Site.

  15. Development of Cr,Nd:GSGG laser as a pumping source of Ti:sapphire laser

    International Nuclear Information System (INIS)

    Tamura, Koji; Arisawa, Takashi

    1999-08-01

    Since efficiency of Cr,Nd doped gadolinium scandium gallium garnet (GSGG) laser is in principle higher than that of Nd:YAG laser, it can be a highly efficient pumping source for Ti:sapphire laser. We have made GSGG laser, and measured its oscillation properties. It was two times more efficient than Nd:YAG laser at free running mode operation. At Q-switched mode operation, fundamental output of 50 mJ and second harmonics output of 8 mJ were obtained. The developed laser had appropriate spatial profile, temporal duration, long time stability for solid laser pumping. Ti:sapphire laser oscillation was achieved by the second harmonics of GSGG laser. (author)

  16. Characterization of sapphire: For its material properties at high temperatures

    Science.gov (United States)

    Bal, Harman Singh

    There are numerous needs for sensing, one of which is in pressure sensing for high temperature application such as combustion related process and embedded in aircraft wings for reusable space vehicles. Currently, silicon based MEMS technology is used for pressure sensing. However, due to material properties the sensors have a limited range of approximately 600 °C which is capable of being pushed towards 1000 °C with active cooling. This can introduce reliability issues when you add more parts and high flow rates to remove large amounts of heat. To overcome this challenge, sapphire is investigated for optical based pressure transducers at temperatures approaching 1400 °C. Due to its hardness and chemical inertness, traditional cutting and etching methods used in MEMS technology are not applicable. A method that is being investigated as a possible alternative is laser machining using a picosecond laser. In this research, we study the material property changes that occur from laser machining and quantify the changes with the experimental results obtained by testing sapphire at high-temperature with a standard 4-point bending set-up.

  17. Ultrafast third-harmonic generation from textured aluminum nitride-sapphire interfaces

    International Nuclear Information System (INIS)

    Stoker, D. S.; Keto, J. W.; Baek, J.; Wang, W.; Becker, M. F.; Kovar, D.

    2006-01-01

    We measured and modeled third-harmonic generation (THG) from an AlN thin film on sapphire using a time-domain approach appropriate for ultrafast lasers. Second-harmonic measurements indicated that polycrystalline AlN contains long-range crystal texture. An interface model for third-harmonic generation enabled an analytical representation of scanning THG (z-scan) experiments. Using it and accounting for Fresnel reflections, we measured the AlN-sapphire susceptibility ratio and estimated the susceptibility for aluminum nitride, χ xxxx (3) (3ω;ω,ω,ω)=1.52±0.25x10 -13 esu. The third-harmonic (TH) spectrum strongly depended on the laser focus position and sample thickness. The amplitude and phase of the frequency-domain interference were fit to the Fourier transform of the calculated time-domain field to improve the accuracy of several experimental parameters. We verified that the model works well for explaining TH signal amplitudes and spectral phase. Some anomalous features in the TH spectrum were observed, which we attributed to nonparaxial effects

  18. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    Science.gov (United States)

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  19. Epitaxy of GaN on silicon-impact of symmetry and surface reconstruction

    International Nuclear Information System (INIS)

    Dadgar, A; Schulze, F; Wienecke, M; Gadanecz, A; Blaesing, J; Veit, P; Hempel, T; Diez, A; Christen, J; Krost, A

    2007-01-01

    GaN-on-silicon is a low-cost alternative to growth on sapphire or SiC. Today epitaxial growth is usually performed on Si(111), which has a threefold symmetry. The growth of single crystalline GaN on Si(001), the material of the complementary metal oxide semiconductor (CMOS) industry, is more difficult due to the fourfold symmetry of this Si surface leading to two differently aligned domains. We show that breaking the symmetry to achieve single crystalline growth can be performed, e.g. by off-oriented substrates to achieve single crystalline device quality GaN layers. Furthermore, an exotic Si orientation for GaN growth is Si(110), which we show is even better suited as compared to Si(111) for the growth of high quality GaN-on-silicon with a nearly threefold reduction in the full width at half maximum (FWHM) of the (1 1-bar 0 0)ω-scan. It is found that a twofold surface symmetry is in principal suitable for the growth of single crystalline GaN on Si

  20. High energy (MeV) ion beam modifications of sputtered MoS2 coatings on sapphire

    International Nuclear Information System (INIS)

    Bhattacharya, R.S.; Rai, A.K.; Erdemir, A.

    1991-01-01

    The present article reports on the results of our investigations of high-energy (MeV) ion irradiation on the microstructural and tribological properties of dc magnetron sputtered MoS 2 films. Films of thicknesses 500-7500 A were deposited on NaCl, Si and sapphire substrates and subsequently ion irradiated by 2 MeV Ag + ions at a dose of 5x10 15 cm -2 . Scanning and transmission electron microscopy. Rutherford backscattering and X-ray diffraction techniques were utilized to study the structural, morphological and compositional changes of the film due to ion irradiation. The friction coefficient and sliding life were determined by pin-on-disc tests. Both as-deposited and ion-irradiated films were found to be amorphous having a stoichiometry of MoS 1.8 . A low friction coefficient in the range 0.03-0.04 was measured for both as-deposited and ion-irradiated films. However, the sliding life of ion-irradiated film was found to increase more than tenfold compared to as-deposited films indicating improved bonding at the interface. (orig.)

  1. Channel Temperature Determination for AlGaN/GaN HEMTs on SiC and Sapphire

    Science.gov (United States)

    Freeman, Jon C.; Mueller, Wolfgang

    2008-01-01

    Numerical simulation results (with emphasis on channel temperature) for a single gate AlGaN/GaN High Electron Mobility Transistor (HEMT) with either a sapphire or SiC substrate are presented. The static I-V characteristics, with concomitant channel temperatures (T(sub ch)) are calculated using the software package ATLAS, from Silvaco, Inc. An in-depth study of analytical (and previous numerical) methods for the determination of T(sub ch) in both single and multiple gate devices is also included. We develop a method for calculating T(sub ch) for the single gate device with the temperature dependence of the thermal conductivity of all material layers included. We also present a new method for determining the temperature on each gate in a multi-gate array. These models are compared with experimental results, and show good agreement. We demonstrate that one may obtain the channel temperature within an accuracy of +/-10 C in some cases. Comparisons between different approaches are given to show the limits, sensitivities, and needed approximations, for reasonable agreement with measurements.

  2. Direct pumping of ultrashort Ti:sapphire lasers by a frequency doubled diode laser

    DEFF Research Database (Denmark)

    Müller, André; Jensen, Ole Bjarlin; Unterhuber, Angelika

    2011-01-01

    electro-optical efficiency of the diode laser. Autocorrelation measurements show that pulse widths of less than 20 fs can be expected with an average power of 52 mW when using our laser. These results indicate the high potential of direct diode laser pumped Ti: sapphire lasers to be used in applications....... When using our diode laser system, the optical conversion efficiencies from green to near-infrared light reduces to 75 % of the values achieved with the commercial pump laser. Despite this reduction the overall efficiency of the Ti: sapphire laser is still increased by a factor > 2 due to the superior...... like retinal optical coherence tomography (OCT) or pumping of photonic crystal fibers for CARS (coherent anti-stokes Raman spectroscopy) microscopy....

  3. Gold wetting effects on sapphire irradiated with GeV uranium ions

    International Nuclear Information System (INIS)

    Ramos, S.M.M.

    1997-01-01

    Single crystals of α-Al 2 O 3 were irradiated with 238 U ions using two different energies: 3.4 MeV/u and 1.7 MeV/u. The irradiations were performed at a temperature of ∼80 K, with fluences ranging from 1.2 x 10 12 to 2.5 x 10 12 ions cm -2 . After irradiation, thin gold films were deposited on the sapphire surfaces by using a sputtering method. Subsequent annealing in air at a temperature of 723 and 923 K were applied to investigate the influence of the pre-damage on the adhesion of the gold layer on the sapphire surface. Rutherford backscattering analysis and scanning electron microscopy performed in both virgin and irradiated areas, show that the pre-irradiation damage inhibits the gold film of breaking up into islands after annealing. A wetting effect, which could depend on the damage morphology, is clearly observed. (orig.)

  4. Use of contact Nd:YAG sapphire-laser system for performing partial hepatectomy and splenectomy in dogs

    Science.gov (United States)

    Yu, Chibing; Jing, Shujuan; Cai, Huimin; Shao, Lanxing; Zou, Hegui

    1993-03-01

    An Nd:YAG Sapphire laser blade was used for performing hepatectomy and splenectomy in dogs. The results suggest that a laser blade provides a new way to reduce intraoperative bleeding and to minimize tissue damage. In recent years, there have been some reports on performing surgical procedures using a contact Nd:YAG Sapphire laser system. The current animal study was conducted in order to explore the capability of incision and excision of the laser tip, the damage to the tissue, and the recovery course.

  5. Avalanche solar blind photodetectors based on single crystalline Mg0.47Zn0.53O thin film on Ga:ZnO substrate

    Science.gov (United States)

    Chen, Hao; Zhang, Jingtao; Chen, Zuxin; Liu, Huiqiang; Ma, Xinzhou; Li, Qiuguo; Chu, Guang; Chu, Sheng

    2018-05-01

    Single crystalline wurtzite Mg0.47Zn0.53O films were grown on Ga:ZnO substrates by pulse laser deposition. The band gap of the films was measured to be 4.43 eV. Vertical devices were fabricated for solar blind photodetection, realizing a high responsivity of 2 A W‑1 at 278 nm and  ‑5 V bias as well as a rejection ratio (R 278 nm/R 350 nm) of over 6  ×  103. A cut-off wavelength of 286 nm and a response time of 77 ms were also achieved. Besides, the devices showed stable response without degeneration under repeating illumination. The high performance of this photodetector was analyzed and attributed to the avalanche effect from high quality Mg0.47Zn0.53O/Ga:ZnO heterojunction at reverse bias. The avalanche gain was calculated to be 14.5 at  ‑10 V.

  6. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    Science.gov (United States)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  7. A Study of Crystalline Mechanism of Penetration Sealer Materials

    Directory of Open Access Journals (Sweden)

    Li-Wei Teng

    2014-01-01

    Full Text Available It is quite common to dispense a topping material like crystalline penetration sealer materials (CPSM onto the surface of a plastic substance such as concrete to extend its service life span by surface protections from outside breakthrough. The CPSM can penetrate into the existing pores or possible cracks in such a way that it may form crystals to block the potential paths which provide breakthrough for any unknown materials. This study investigated the crystalline mechanism formed in the part of concrete penetrated by the CPSM. We analyzed the chemical composites, in order to identify the mechanism of CPSM and to evaluate the penetrated depth. As shown in the results, SEM observes the acicular-structured crystals filling capillary pores for mortar substrate of the internal microstructure beneath the concrete surface; meanwhile, XRD and FT-IR showed the main hydration products of CPSM to be C-S-H gel and CaCO3. Besides, MIP also shows CPSM with the ability to clog capillary pores of mortar substrate; thus, it reduces porosity, and appears to benefit in sealing pores or cracks. The depth of CPSM penetration capability indicated by TGA shows 0–10 mm of sealer layer beneath the concrete surface.

  8. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  9. The origin, source and cycling of methane in deep crystalline rock biosphere

    Directory of Open Access Journals (Sweden)

    Riikka eKietäväinen

    2015-07-01

    Full Text Available The emerging interest in using stable bedrock formations for industrial purposes, e.g. nuclear waste disposal, has increased the need for understanding microbiological and geochemical processes in deep crystalline rock environments, including the carbon cycle. Considering the origin and evolution of life on Earth, these environments may also serve as windows to the past. Various geological, chemical and biological processes can influence the deep carbon cycle. Conditions of CH4 formation, available substrates and time scales can be drastically different from surface environments. This paper reviews the origin, source and cycling of methane in deep terrestrial crystalline bedrock with an emphasis on microbiology. In addition to potential formation pathways of CH4, microbial consumption of CH4 is also discussed. Recent studies on the origin of CH4 in continental bedrock environments have shown that the traditional separation of biotic and abiotic CH4 by the isotopic composition can be misleading in substrate-limited environments, such as the deep crystalline bedrock. Despite of similarities between Precambrian continental sites in Fennoscandia, South Africa and North America, where deep methane cycling has been studied, common physicochemical properties which could explain the variation in the amount of CH4 and presence or absence of CH4 cycling microbes were not found. However, based on their preferred carbon metabolism, methanogenic microbes appeared to have similar spatial distribution among the different sites.

  10. The origin, source, and cycling of methane in deep crystalline rock biosphere.

    Science.gov (United States)

    Kietäväinen, Riikka; Purkamo, Lotta

    2015-01-01

    The emerging interest in using stable bedrock formations for industrial purposes, e.g., nuclear waste disposal, has increased the need for understanding microbiological and geochemical processes in deep crystalline rock environments, including the carbon cycle. Considering the origin and evolution of life on Earth, these environments may also serve as windows to the past. Various geological, chemical, and biological processes can influence the deep carbon cycle. Conditions of CH4 formation, available substrates and time scales can be drastically different from surface environments. This paper reviews the origin, source, and cycling of methane in deep terrestrial crystalline bedrock with an emphasis on microbiology. In addition to potential formation pathways of CH4, microbial consumption of CH4 is also discussed. Recent studies on the origin of CH4 in continental bedrock environments have shown that the traditional separation of biotic and abiotic CH4 by the isotopic composition can be misleading in substrate-limited environments, such as the deep crystalline bedrock. Despite of similarities between Precambrian continental sites in Fennoscandia, South Africa and North America, where deep methane cycling has been studied, common physicochemical properties which could explain the variation in the amount of CH4 and presence or absence of CH4 cycling microbes were not found. However, based on their preferred carbon metabolism, methanogenic microbes appeared to have similar spatial distribution among the different sites.

  11. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    Science.gov (United States)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  12. Enhanced cooling in mono-crystalline ultra-thin silicon by embedded micro-air channels

    KAUST Repository

    Ghoneim, Mohamed T.; Fahad, Hossain M.; Hussain, Aftab M.; Rojas, Jhonathan Prieto; Sevilla, Galo T.; Alfaraj, Nasir; Lizardo, Ernesto B.; Hussain, Muhammad Mustafa

    2015-01-01

    In today’s digital world, complementary metal oxide semiconductor (CMOS) technology enabled scaling of bulk mono-crystalline silicon (100) based electronics has resulted in their higher performance but with increased dynamic and off-state power consumption. Such trade-off has caused excessive heat generation which eventually drains the charge of battery in portable devices. The traditional solution utilizing off-chip fans and heat sinks used for heat management make the whole system bulky and less mobile. Here we show, an enhanced cooling phenomenon in ultra-thin (>10 μm) mono-crystalline (100) silicon (detached from bulk substrate) by utilizing deterministic pattern of porous network of vertical “through silicon” micro-air channels that offer remarkable heat and weight management for ultra-mobile electronics, in a cost effective way with 20× reduction in substrate weight and a 12% lower maximum temperature at sustained loads. We also show the effectiveness of this event in functional MOS field effect transistors (MOSFETs) with high-κ/metal gate stacks.

  13. Enhanced cooling in mono-crystalline ultra-thin silicon by embedded micro-air channels

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-12-11

    In today’s digital world, complementary metal oxide semiconductor (CMOS) technology enabled scaling of bulk mono-crystalline silicon (100) based electronics has resulted in their higher performance but with increased dynamic and off-state power consumption. Such trade-off has caused excessive heat generation which eventually drains the charge of battery in portable devices. The traditional solution utilizing off-chip fans and heat sinks used for heat management make the whole system bulky and less mobile. Here we show, an enhanced cooling phenomenon in ultra-thin (>10 μm) mono-crystalline (100) silicon (detached from bulk substrate) by utilizing deterministic pattern of porous network of vertical “through silicon” micro-air channels that offer remarkable heat and weight management for ultra-mobile electronics, in a cost effective way with 20× reduction in substrate weight and a 12% lower maximum temperature at sustained loads. We also show the effectiveness of this event in functional MOS field effect transistors (MOSFETs) with high-κ/metal gate stacks.

  14. Domain matching epitaxy of cubic In{sub 2}O{sub 3} on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Vogt, Patrick; Trampert, Achim; Ramsteiner, Manfred; Bierwagen, Oliver [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117, Berlin (Germany)

    2015-07-15

    Undoped, Sn-doped, and Mg-doped In{sub 2}O{sub 3} layers were grown on rhombohedral r-plane sapphire (α-Al{sub 2}O{sub 3} (10.2)) by plasma-assisted molecular beam epitaxy. X-ray diffraction and Raman scattering experiments demonstrated the formation of phase-pure, cubic (110)-oriented In{sub 2}O{sub 3} for Sn- and Mg-concentrations up to 2 x 10{sup 20} and 6 x 10{sup 20} cm{sup -3}, respectively. Scanning electron microscopy images showed facetted domains without any surface-parallel (110) facets. High Mg- or Sn-doping influenced surface morphology and the facet formation. X-ray diffraction Φ-scans indicated the formation of two rotational domains separated by an angle Φ = 86.6 due to the substrate mirror-symmetry around the in-plane-projected Al{sub 2}O{sub 3} c-axis. The in-plane epitaxial relationships to the substrate were determined for both domains. For the first domain it is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 3 anti 4]. For the second domain the inplane epitaxial relation is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 34]. A low-mismatch coincidence lattice of indium atoms from the film and oxygen atoms from the substrate rationalizes this epitaxial relation by domain-matched epitaxy. Cross-sectional transmission-electron microscopy showed a columnar domain-structure, indicating the vertical growth of the rotational domains after their nucleation. Coincidence structure of In{sub 2}O{sub 3} (110) (In atoms in red) grown on Al{sub 2}O{sub 3} (10.2) (O atoms in blue) showing two rotational domians. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Highly textured films of layered metal disulfide 2H-WS{sub 2}: Preparation and optoelectronic properties

    Energy Technology Data Exchange (ETDEWEB)

    Matthaeus, A.; Ennaoui, A.; Fiechter, S. [Hahn-Meitner-Inst., Berlin (Germany)] [and others

    1997-03-01

    Highly textured films of 2H-WS{sub 2} can be obtained by sulfurization of up to 4 {micro}m thick WO{sub 3} layers in the presence of hydrogen using amorphous (quartz glass, glassy carbon) or crystalline (sapphire, Muscovite, highly oriented pyrolytic graphite) substrates. Best conditions have been found employing (00.1) oriented sapphire substrates with a 5 nm thick nickel layer interposed between substrate and oxide film (0.5 to 4 {micro}m thickness) and a reaction temperature ranging from 973 to 1,173 K. Depending on time the crystallites, oriented with their hexagonal basal planes parallel to the substrate, exhibited a lateral extension of up to 20 {micro}m and a thickness of {le}300 nm. Conductivity measurements of the films showed a p-type conductivity in the range from 0.1 to 3 {Omega}{sup {minus}1} cm{sup {minus}1} and a lateral mobility as high as 105 cm{sup 2}/V s at room temperature. The conductivity type has been confirmed by ultraviolet photoelectron and x-ray photoelectron spectroscopy which were compared with n-type single crystals. A freestanding film pealed off from a Pt coated quartz substrate and mounted on a brass holder was investigated photoelectrochemically. Using a 0.2 M Fe{sup 2+}/Fe{sup 3+} redox electrolyte in 0.5 M H{sub 2}SO{sub 4} an open-circuit voltage of {approx}100 mV and a short-circuit current of 5 mA/cm{sup 2} has been detected for the first time.

  16. Time dependent temperature distribution in pulsed Ti:sapphire lasers

    Science.gov (United States)

    Buoncristiani, A. Martin; Byvik, Charles E.; Farrukh, Usamah O.

    1988-01-01

    An expression is derived for the time dependent temperature distribution in a finite solid state laser rod for an end-pumped beam of arbitrary shape. The specific case of end pumping by circular (constant) or Gaussian beam is described. The temperature profile for a single pump pulse and for repetitive pulse operation is discussed. The particular case of the temperature distribution in a pulsed titanium:sapphire rod is considered.

  17. Growth of GaN on sapphire via low-temperature deposited buffer layer and realization of p-type GaN by Mg doping followed by low-energy electron beam irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Amano, Hiroshi [Department of Electrical Engineering and Computer Science, Venture Business Laboratory, Akasaki Research Center, Nagoya University (Japan)

    2015-06-15

    This is a personal history of one of the Japanese researchers engaged in developing a method for growing GaN on a sapphire substrate, paving the way for the realization of smart television and display systems using blue LEDs. The most important work was done in the mid to late 1980s. The background to the author's work and the process by which the technology enabling the growth of GaN and the realization of p-type GaN was established are reviewed. (copyright 2015 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Growth of GaN Layers on Sapphire by Low-Temperature-Deposited Buffer Layers and Realization of p-type GaN by Magesium Doping and Electron Beam Irradiation (Nobel Lecture).

    Science.gov (United States)

    Amano, Hiroshi

    2015-06-26

    This Review is a personal reflection on the research that led to the development of a method for growing gallium nitride (GaN) on a sapphire substrate. The results paved the way for the development of smart display systems using blue LEDs. The most important work was done in the mid to late 80s. The background to the author's work and the process by which the technology that enables the growth of GaN and the realization of p-type GaN was established are reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. FY 1998 Annual report on research and development of industrial science and technology. R and D of carbon-based high-performance materials technology (R and D for rationalization of energy consumption); 1998 nendo tansokei kokino zairyo gijutsu no kenkyu kaihatsu seika hokokusho. Energy shiyo gorika gijutsu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-09-01

    This report summarizes the FY 1998 research and development results of carbon-based high-performance materials technology. For (control technology of morphology and electrical conduction), a large-sized morphology controlling film-making apparatus was used, to confirm synthesis of crystalline diamond films. For research on growth of large-sized single-crystal diamond, the simulated results of the vapor-phase reactions and gas flow in a plasma were compared with the observed ones, to collect the data necessary for designing a high-speed homoepitaxial growth apparatus. For R and D of heteroepitaxial growth of thin diamond films, highly single-crystalline thin platinum films were successfully formed on a sapphire substrate. For (control technology of surface-interface and electron emission characteristics), the tests were conducted using newly introduced apparatuses, an (electron emission analysis/evaluation apparatus), (high-quality carbon-based thin film synthesizing apparatus) and (element processing/treatment apparatus). It is found that electron emission efficiency is greatly improved when the substrate with diamond particle seeds is plasma-treated under specific conditions. (NEDO)

  20. Optical characterization of In xGa 1- xN alloys

    Science.gov (United States)

    Gartner, M.; Kruse, C.; Modreanu, M.; Tausendfreund, A.; Roder, C.; Hommel, D.

    2006-10-01

    InGaN layers were grown by molecular beam epitaxy (MBE) either directly on (0 0 0 1) sapphire substrates or on GaN-template layers deposited by metal-organic vapor-phase epitaxy (MOVPE). We combined spectroscopic ellipsometry (SE), Raman spectroscopy (RS), photoluminescence (PL) and atomic force microscopy (AFM) measurements to investigate optical properties, microstructure, vibrational and mechanical properties of the InGaN/GaN/sapphire layers. The analysis of SE data was done using a parametric dielectric function model, established by in situ and ex situ measurements. A dielectric function database, optical band gap, the microstructure and the alloy composition of the layers were derived. The variation of the InGaN band gap with the In content ( x) in the 0 < x ≤ 0.14 range was found to follow the linear law Eg = 3.44-4.5 x. The purity and the stability of the GaN and InGaN crystalline phase were investigated by RS.

  1. A comparative study on MOVPE InN grown on Ga- and N-polarity bulk GaN

    International Nuclear Information System (INIS)

    Wang, W.J.; Miwa, H.; Hashimoto, A.; Yamamoto, A.

    2006-01-01

    The influence of substrate polarity on the growth of InN film by MOVPE was investigated using bulk GaN as a substrate. Single-crystalline In- and N-polarity InN films were obtained on Ga- and N-polarity GaN substrate, respectively. Significant difference of the morphologies between the In- and N-polarity InN films was found. For the In-polarity InN film, the morphology was similar to that grown on sapphire substrate. The film surface was consisted of grains with small facets. In contrast, for the N-polarity InN film, the surface was consisted of large hexagonal shape crystal grains with flat surface. The grain size was about 2 μm in diameter on the average, and two-dimensional growth was enhanced obviously for each crystal grain. The influence of the growth temperature on the morphology, polarity, and optical property was also investigated. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Crystalline and Crystalline International Disposal Activities

    Energy Technology Data Exchange (ETDEWEB)

    Viswanathan, Hari S. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Chu, Shaoping [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Reimus, Paul William [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Makedonska, Nataliia [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Hyman, Jeffrey De' Haven [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Karra, Satish [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Dittrich, Timothy M. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2015-12-21

    This report presents the results of work conducted between September 2014 and July 2015 at Los Alamos National Laboratory in the crystalline disposal and crystalline international disposal work packages of the Used Fuel Disposition Campaign (UFDC) for DOE-NE’s Fuel Cycle Research and Development program.

  3. Crystalline thin films of transition metal hexacyanochromates grown under Langmuir monolayer

    International Nuclear Information System (INIS)

    Bagkar, Nitin; Choudhury, Sipra; Kim, Kyung-Hee; Chowdhury, Prasanta; Lee, Sung-Ik; Yakhmi, J.V.

    2006-01-01

    Crystalline films of cobalt, nickel and iron hexacyanochromates (analogues of Prussian blue) were grown at air-water interface using a surfactant monolayer as a template. These films were transferred on suitable substrates and characterized by X-ray diffraction (XRD), cyclic voltammetry and magnetization measurements. XRD patterns confirmed the formation of oriented crystals in {100} direction for all these films. Magnetization data on nickel and iron hexacyanochromate films indicated ferromagnetic behaviour below Curie temperatures of 72 and 21 K, respectively. The methodology adopted by us to grow crystalline films is useful in obtaining magnetic thin films of analogues of Prussian blue with interesting magnetic properties with respect to transition temperatures and nature of magnetic ordering

  4. Facet Appearance on the Lateral Face of Sapphire Single-Crystal Fibers during LHPG Growth

    Directory of Open Access Journals (Sweden)

    Liudmila D. Iskhakova

    2016-08-01

    Full Text Available Results of the study of the lateral surface of single-crystal (SC sapphire fibers grown along crystallographic directions [ 0001 ] and [ 11 2 ¯ 0 ] by the LHPG method are presented. The appearance or absence of faceting of the lateral surface of the fibers depending on the growth direction is analyzed. The crystallographic orientation of the facets is investigated. The microstructure of the samples is investigated with the help of an optical microscope and a JSM-5910LV scanning electronic microscope (JEOL. The crystallographic orientations of the facets on the SC sapphire fiber surface are determined by electron backscatter diffraction (EBSD. The seed orientation is studied by means of XRD techniques.

  5. Ultrafast, ultrahigh-peak power Ti:sapphire laser system

    Energy Technology Data Exchange (ETDEWEB)

    Yamakawa, Koichi; Aoyama, Makoto; Matsuoka, Shinichi; Akahane, Yutaka; Kase, Teiji; Nakano, Fumihiko; Sagisaka, Akito [Advanced Photon Research Center, Kansai Research Establishment, Japan Atomic Energy Research Inst., Kizu, Kyoto (Japan)

    2001-01-01

    We review progress in the generation of multiterawatt optical pulses in the 10-fs range. We describe a design, performance and characterization of a Ti:sapphire laser system based on chirped-pulse amplification, which has produced a peak power in excess of 100-TW with sub-20-fs pulse durations and an average power of 19-W at a 10-Hz repetition rate. We also discuss extension of this system to the petawatt power level and potential applications in the relativistic, ultrahigh intensity regimes. (author)

  6. Growth optimization for thick crack-free GaN layers on sapphire with HVPE

    Energy Technology Data Exchange (ETDEWEB)

    Richter, E.; Hennig, Ch.; Kissel, H.; Sonia, G.; Zeimer, U.; Weyers, M. [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, 12489 Berlin (Germany)

    2005-05-01

    Conditions for optimized growth of thick GaN layers with crack-free surfaces by HVPE are reported. It was found that a 1:1 mixture of H{sub 2}/N{sub 2} as carrier gas leads to the lowest density of cracks in the surface. Crack formation also depends on the properties of the GaN/sapphire templates used. Best results have been obtained for 5 {mu}m thick GaN/sapphire templates grown by MOVPE with medium compressive strain {epsilon}{sub zz} of about 0.05%. But there is no simple dependence of the crack formation on the strain status of the starting layer indicating that the HVPE growth of GaN can itself introduce strong tensile strain. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Enhancing upconversion emission of Er, Yb co-doped highly transparent YF3 films by synergistic tuning nano-textured morphology and crystallinity

    International Nuclear Information System (INIS)

    Qu, Ming-Hao; Wang, Ru-Zhi; Chen, Yan; Zhang, Ying; Li, Kai-Yu; Zhou, Hua; Yan, Hui

    2014-01-01

    Highly transparent Er, Yb codoped YF 3 upconversion films were successfully prepared by electron beam deposition method. The effects of the substrate temperature on the morphology, crystallinity and emission characteristics of Er, Yb codoped YF 3 films were studied carefully. It was found that the morphology and crystallinity varied from smooth amorphous to root-intertwined polycrystalline structure with the substrate temperature increase. Besides, the emission characteristics of the films can be modulated by the synergy of their surface morphologies and crystallinities. Remarkably, a large enhancement of the upconversion emission, up to five decades while only an insignificant decrease of the optical transmittance (10% at most), was achieved by forming root-intertwined polycrystalline structures. These highly transparent upconversion films may have good potential for enhancing the conversion efficiency of wide band-gap solar cells. -- Highlights: • Er, Yb co-dopedYF 3 upconversion films have been successfully prepared. • The upconversion property can be modulated by morphology and crystallinity. • The upconversion transparent YF 3 films are promising for solar cells applications

  8. Bacterial adhesion on amorphous and crystalline metal oxide coatings

    International Nuclear Information System (INIS)

    Almaguer-Flores, Argelia; Silva-Bermudez, Phaedra; Galicia, Rey; Rodil, Sandra E.

    2015-01-01

    Several studies have demonstrated the influence of surface properties (surface energy, composition and topography) of biocompatible materials on the adhesion of cells/bacteria on solid substrates; however, few have provided information about the effect of the atomic arrangement or crystallinity. Using magnetron sputtering deposition, we produced amorphous and crystalline TiO 2 and ZrO 2 coatings with controlled micro and nanoscale morphology. The effect of the structure on the physical–chemical surface properties was carefully analyzed. Then, we studied how these parameters affect the adhesion of Escherichia coli and Staphylococcus aureus. Our findings demonstrated that the nano-topography and the surface energy were significantly influenced by the coating structure. Bacterial adhesion at micro-rough (2.6 μm) surfaces was independent of the surface composition and structure, contrary to the observation in sub-micron (0.5 μm) rough surfaces, where the crystalline oxides (TiO 2 > ZrO 2 ) surfaces exhibited higher numbers of attached bacteria. Particularly, crystalline TiO 2 , which presented a predominant acidic nature, was more attractive for the adhesion of the negatively charged bacteria. The information provided by this study, where surface modifications are introduced by means of the deposition of amorphous or crystalline oxide coatings, offers a route for the rational design of implant surfaces to control or inhibit bacterial adhesion. - Highlights: • Amorphous (a) and crystalline (c) TiO 2 and ZrO 2 coatings were deposited. • The atomic ordering influences the coatings surface charge and nano-topography. • The atomic ordering modifies the bacterial adhesion for the same surface chemistry. • S. aureus adhesion was lower on a-TiO 2 and a-ZrO 2 than on their c-oxide counterpart. • E. coli adhesion on a-TiO 2 was lower than on the c-TiO 2

  9. Bacterial adhesion on amorphous and crystalline metal oxide coatings

    Energy Technology Data Exchange (ETDEWEB)

    Almaguer-Flores, Argelia [Facultad de Odontología, División de Estudios de Posgrado e Investigación, Universidad Nacional Autónoma de México, Circuito exterior s/n, Ciudad Universitaria, 04510 México D.F. (Mexico); Silva-Bermudez, Phaedra, E-mail: suriel21@yahoo.com [Unidad de Ingeniería de Tejidos, Terapia Celular y Medicina Regenerativa, Instituto Nacional de Rehabilitación, Calzada México-Xochimilco No. 289, Col. Arenal de Guadalupe, 14389 México D.F. (Mexico); Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, Circuito Exterior s/n, Ciudad Universitaria, 04510 México D.F. (Mexico); Galicia, Rey; Rodil, Sandra E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, Circuito Exterior s/n, Ciudad Universitaria, 04510 México D.F. (Mexico)

    2015-12-01

    Several studies have demonstrated the influence of surface properties (surface energy, composition and topography) of biocompatible materials on the adhesion of cells/bacteria on solid substrates; however, few have provided information about the effect of the atomic arrangement or crystallinity. Using magnetron sputtering deposition, we produced amorphous and crystalline TiO{sub 2} and ZrO{sub 2} coatings with controlled micro and nanoscale morphology. The effect of the structure on the physical–chemical surface properties was carefully analyzed. Then, we studied how these parameters affect the adhesion of Escherichia coli and Staphylococcus aureus. Our findings demonstrated that the nano-topography and the surface energy were significantly influenced by the coating structure. Bacterial adhesion at micro-rough (2.6 μm) surfaces was independent of the surface composition and structure, contrary to the observation in sub-micron (0.5 μm) rough surfaces, where the crystalline oxides (TiO{sub 2} > ZrO{sub 2}) surfaces exhibited higher numbers of attached bacteria. Particularly, crystalline TiO{sub 2}, which presented a predominant acidic nature, was more attractive for the adhesion of the negatively charged bacteria. The information provided by this study, where surface modifications are introduced by means of the deposition of amorphous or crystalline oxide coatings, offers a route for the rational design of implant surfaces to control or inhibit bacterial adhesion. - Highlights: • Amorphous (a) and crystalline (c) TiO{sub 2} and ZrO{sub 2} coatings were deposited. • The atomic ordering influences the coatings surface charge and nano-topography. • The atomic ordering modifies the bacterial adhesion for the same surface chemistry. • S. aureus adhesion was lower on a-TiO{sub 2} and a-ZrO{sub 2} than on their c-oxide counterpart. • E. coli adhesion on a-TiO{sub 2} was lower than on the c-TiO{sub 2}.

  10. Rutherford Backscattering and Channeling Studies of Al and Mg Diffusion in Iron Oxide Thin Films

    International Nuclear Information System (INIS)

    Thevuthasan, Theva; McCready, David E.; Jiang, Weilin; Mcdaniel, Emily P.; Yi, Sang I.; Chambers, Scott A.; J.L. Duggan and I.L. Morgan

    1999-01-01

    Thin films of alpha-Fe2O3(0001) (hermatite) and gamma-Fe2O3 (001) (maghemite) were epitaxially grown on Al2O3(0001) substrates, respectively, using the new molecular beam epitaxy (MBE) system at the Environmental Molecular Sciences Laboratory (EMSL). We have investigated the crystalline quality of these films using Rutherford Backscattering (RBS) and channeling experiments. Minimum yields obtained from aligned and random spectra are 2.7+-0.3% for the alpha-Fe2o3(0001) film and 14.5+-0.6% for the gamma-Fe2O3 (001) film. Al and Mg outdiffusion into the hematite and maghemite films were observed at higher temperatures. Indiffusion of Fe atoms from the film into the substrate was observed for the gamma-Fe2o3(001)/MgO(001) system. In contrast, no Fe indiffusion was observed for the sapphire substrate

  11. Black GE based on crystalline/amorphous core/shell nanoneedle arrays

    Science.gov (United States)

    Javey, Ali; Chueh, Yu-Lun; Fan, Zhiyong

    2014-03-04

    Direct growth of black Ge on low-temperature substrates, including plastics and rubber is reported. The material is based on highly dense, crystalline/amorphous core/shell Ge nanoneedle arrays with ultrasharp tips (.about.4 nm) enabled by the Ni catalyzed vapor-solid-solid growth process. Ge nanoneedle arrays exhibit remarkable optical properties. Specifically, minimal optical reflectance (<1%) is observed, even for high angles of incidence (.about.75.degree.) and for relatively short nanoneedle lengths (.about.1 .mu.m). Furthermore, the material exhibits high optical absorption efficiency with an effective band gap of .about.1 eV. The reported black Ge can have important practical implications for efficient photovoltaic and photodetector applications on nonconventional substrates.

  12. Growth of crystalline semiconductor materials on crystal surfaces

    CERN Document Server

    Aleksandrov, L

    2013-01-01

    Written for physicists, chemists, and engineers specialising in crystal and film growth, semiconductor electronics, and various applications of thin films, this book reviews promising scientific and engineering trends in thin films and thin-films materials science. The first part discusses the physical characteristics of the processes occurring during the deposition and growth of films, the principal methods of obtaining semiconductor films and of reparing substrate surfaces on which crystalline films are grown, and the main applications of films. The second part contains data on epitaxial i

  13. High-rate sputter deposition of NiAl on sapphire fibers

    Energy Technology Data Exchange (ETDEWEB)

    Reichert, K.; Martinez, C.; Cremer, R.; Neuschuetz, D. [Lehrstuhl fuer Theoretische Huettenkunde, RWTH Aachen, Aachen (Germany)

    2002-07-01

    Once the fiber-matrix bonding has been optimized to meet the different requirements during fabrication and operation of the later composite component, sapphire fiber reinforced NiAl will be a potential candidate to substitute conventional superalloys as structural material for gas turbine blades. To improve the composite fabrication process, a direct deposition of the intermetallic matrix material onto hBN coated sapphire fibers prior to the consolidation of the fiber-matrix composite is proposed. It is believed that this will simplify the fabrication process and prevent pore formation during the diffusion bonding. In addition, the fiber volume fraction can be quite easily adjusted by varying the NiAl coating thickness. For this, a high-rate deposition of NiAl is in any case necessary. It has been achieved by a pulsed DC magnetron sputtering of combined Al-Ni targets with the fibers rotating between the two facing cathodes. The obtained nickel aluminide coatings were analyzed as to structure and composition by means of X-ray (GIXRD) as well as electron diffraction (RHEED) and X-ray photoelectron spectroscopy (XPS), respectively. The morphology of the NiAl coatings was examined by SEM. (orig.)

  14. Boron nitride: A new photonic material

    International Nuclear Information System (INIS)

    Chubarov, M.; Pedersen, H.; Högberg, H.; Filippov, S.; Engelbrecht, J.A.A.; O'Connel, J.; Henry, A.

    2014-01-01

    Rhombohedral boron nitride (r-BN) layers were grown on sapphire substrate in a hot-wall chemical vapor deposition reactor. Characterization of these layers is reported in details. X-ray diffraction (XRD) is used as a routine characterization tool to investigate the crystalline quality of the films and the identification of the phases is revealed using detailed pole figure measurements. Transmission electron microscopy reveals stacking of more than 40 atomic layers. Results from Fourier Transform InfraRed (FTIR) spectroscopy measurements are compared with XRD data showing that FTIR is not phase sensitive when various phases of sp 2 -BN are investigated. XRD measurements show a significant improvement of the crystalline quality when adding silicon to the gas mixture during the growth; this is further confirmed by cathodoluminescence which shows a decrease of the defects related luminescence intensity.

  15. Boron nitride: A new photonic material

    Energy Technology Data Exchange (ETDEWEB)

    Chubarov, M., E-mail: mihcu@ifm.liu.se [Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden); Pedersen, H., E-mail: henke@ifm.liu.se [Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden); Högberg, H., E-mail: hanho@ifm.liu.se [Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden); Filippov, S., E-mail: stafi@ifm.liu.se [Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden); Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.za [Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); O' Connel, J., E-mail: jacques.oconnell@gmail.com [Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Henry, A., E-mail: anne.henry@liu.se [Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden)

    2014-04-15

    Rhombohedral boron nitride (r-BN) layers were grown on sapphire substrate in a hot-wall chemical vapor deposition reactor. Characterization of these layers is reported in details. X-ray diffraction (XRD) is used as a routine characterization tool to investigate the crystalline quality of the films and the identification of the phases is revealed using detailed pole figure measurements. Transmission electron microscopy reveals stacking of more than 40 atomic layers. Results from Fourier Transform InfraRed (FTIR) spectroscopy measurements are compared with XRD data showing that FTIR is not phase sensitive when various phases of sp{sup 2}-BN are investigated. XRD measurements show a significant improvement of the crystalline quality when adding silicon to the gas mixture during the growth; this is further confirmed by cathodoluminescence which shows a decrease of the defects related luminescence intensity.

  16. Predicting the optimal process window for the coating of single-crystalline organic films with mobilities exceeding 7 cm2/Vs.

    Science.gov (United States)

    Janneck, Robby; Vercesi, Federico; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2016-09-01

    Organic thin film transistors (OTFTs) based on single crystalline thin films of organic semiconductors have seen considerable development in the recent years. The most successful method for the fabrication of single crystalline films are solution-based meniscus guided coating techniques such as dip-coating, solution shearing or zone casting. These upscalable methods enable rapid and efficient film formation without additional processing steps. The single-crystalline film quality is strongly dependent on solvent choice, substrate temperature and coating speed. So far, however, process optimization has been conducted by trial and error methods, involving, for example, the variation of coating speeds over several orders of magnitude. Through a systematic study of solvent phase change dynamics in the meniscus region, we develop a theoretical framework that links the optimal coating speed to the solvent choice and the substrate temperature. In this way, we can accurately predict an optimal processing window, enabling fast process optimization. Our approach is verified through systematic OTFT fabrication based on films grown with different semiconductors, solvents and substrate temperatures. The use of best predicted coating speeds delivers state of the art devices. In the case of C8BTBT, OTFTs show well-behaved characteristics with mobilities up to 7 cm2/Vs and onset voltages close to 0 V. Our approach also explains well optimal recipes published in the literature. This route considerably accelerates parameter screening for all meniscus guided coating techniques and unveils the physics of single crystalline film formation.

  17. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuezhang [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); Wei Qiuping, E-mail: qiupwei@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yu Zhiming, E-mail: zhiming@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yang Taiming; Zhai Hao [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. Black-Right-Pointing-Pointer The nucleation density was increased to 10{sup 11} cm{sup -2}. Black-Right-Pointing-Pointer Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. Black-Right-Pointing-Pointer Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp{sup 3}-bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10{sup 11} cm{sup -2}, and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  18. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    International Nuclear Information System (INIS)

    Liu Xuezhang; Wei Qiuping; Yu Zhiming; Yang Taiming; Zhai Hao

    2013-01-01

    Highlights: ► Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. ► The nucleation density was increased to 10 11 cm −2 . ► Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. ► Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp 3 -bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10 11 cm −2 , and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  19. Thin Film growth and characterization of Ti doped ZnO by RF/DC magnetron sputtering

    KAUST Repository

    Baseer Haider, M.

    2015-01-01

    Thin film Ti doped ZnO (Ti-ZnO) film were grown on sapphire (0001) substrate by RF and DC magnetron sputtering. Films were grown at a substrate temperature of 250 °C with different Ti/Zn concentration. Surface chemical study of the samples was performed by X-ray photoelectron spectroscopy to determine the stoichiometry and Ti/Zn ratio for all samples. Surface morphology of the samples were studied by atomic force microscopy. X-ray diffraction was carried out to determine the crystallinity of the film. No secondary phases of TixOy was observed. We observed a slight increase in the lattice constant with the increase in Ti concentration in ZnO. No ferromagnetic signal was observed for any of the samples. However, some samples showed super-paramagnetic phase. © 2015 Materials Research Society.

  20. In situ X-ray scattering of perovskite solar cell active layers roll-to-roll coated on flexible substrates

    DEFF Research Database (Denmark)

    Rossander, Lea Hildebrandt; Larsen-Olsen, Thue T.; Dam, Henrik Friis

    2016-01-01

    crystallographic developments between the substrates, especially seen through the behaviour of a crystalline precursor which survived longer on the flexible substrates than on glass. Additionally, the common degradation product PbI2 was absent on the thickest flexible substrate. This leads us to conjecture...

  1. Erbium medium temperature localised doping into lithium niobate and sapphire: A comparative study

    Czech Academy of Sciences Publication Activity Database

    Nekvindová, P.; Macková, Anna; Peřina, Vratislav; Červená, Jarmila; Čapek, P.; Schrofel, J.; Špirková, J.; Oswald, Jiří

    90-91, - (2003), s. 559-564 ISSN 1012-0394 Institutional research plan: CEZ:AV0Z1048901 Keywords : lithium niobate * sapphire * erbium Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.687, year: 2003

  2. Interface-Induced Zeeman-Protected Superconductivity in Ultrathin Crystalline Lead Films

    Science.gov (United States)

    Liu, Yi; Wang, Ziqiao; Zhang, Xuefeng; Liu, Chaofei; Liu, Yongjie; Zhou, Zhimou; Wang, Junfeng; Wang, Qingyan; Liu, Yanzhao; Xi, Chuanying; Tian, Mingliang; Liu, Haiwen; Feng, Ji; Xie, X. C.; Wang, Jian

    2018-04-01

    Two-dimensional (2D) superconducting systems are of great importance for exploring exotic quantum physics. The recent development of fabrication techniques has stimulated studies of high-quality single-crystalline 2D superconductors, where intrinsic properties give rise to unprecedented physical phenomena. Here, we report the observation of Zeeman-type spin-orbit interaction protected superconductivity (Zeeman-protected superconductivity) in 4-monolayer (ML) to 6-ML crystalline Pb films grown on striped incommensurate Pb layers on Si(111) substrates by molecular beam epitaxy. An anomalously large in-plane critical field far beyond the Pauli limit is detected, which can be attributed to the Zeeman-protected superconductivity due to the in-plane inversion symmetry breaking at the interface. Our work demonstrates that, in superconducting heterostructures, the interface can induce Zeeman-type spin-orbit interactions and modulate the superconductivity.

  3. Direct determination of monolayer MoS2 and WSe2 exciton binding energies on insulating and metallic substrates

    KAUST Repository

    Park, Soohyung; Mutz, Niklas; Schultz, Thorsten; Blumstengel, Sylke; Han, Ali; Aljarb, Areej; Li, Lain-Jong; List-Kratochvil, Emil J W; Amsalem, Patrick; Koch, Norbert

    2018-01-01

    Understanding the excitonic nature of excited states in two-dimensional (2D) transition-metal dichalcogenides (TMDCs) is of key importance to make use of their optical and charge transport properties in optoelectronic applications. We contribute to this by the direct experimental determination of the exciton binding energy (E b,exc) of monolayer MoS2 and WSe2 on two fundamentally different substrates, i.e. the insulator sapphire and the metal gold. By combining angle-resolved direct and inverse photoelectron spectroscopy we measure the electronic band gap (E g), and by reflectance measurements the optical excitonic band gap (E exc). The difference of these two energies is E b,exc. The values of E g and E b,exc are 2.11 eV and 240 meV for MoS2 on sapphire, and 1.89 eV and 240 meV for WSe2 on sapphire. On Au E b,exc is decreased to 90 meV and 140 meV for MoS2 and WSe2, respectively. The significant E b,exc reduction is primarily due to a reduction of E g resulting from enhanced screening by the metal, while E exc is barely decreased for the metal support. Energy level diagrams determined at the K-point of the 2D TMDCs Brillouin zone show that MoS2 has more p-type character on Au as compared to sapphire, while WSe2 appears close to intrinsic on both. These results demonstrate that the impact of the dielectric environment of 2D TMDCs is more pronounced for individual charge carriers than for a correlated electron–hole pair, i.e. the exciton. A proper dielectric surrounding design for such 2D semiconductors can therefore be used to facilitate superior optoelectronic device function.

  4. Direct determination of monolayer MoS2 and WSe2 exciton binding energies on insulating and metallic substrates

    Science.gov (United States)

    Park, Soohyung; Mutz, Niklas; Schultz, Thorsten; Blumstengel, Sylke; Han, Ali; Aljarb, Areej; Li, Lain-Jong; List-Kratochvil, Emil J. W.; Amsalem, Patrick; Koch, Norbert

    2018-04-01

    Understanding the excitonic nature of excited states in two-dimensional (2D) transition-metal dichalcogenides (TMDCs) is of key importance to make use of their optical and charge transport properties in optoelectronic applications. We contribute to this by the direct experimental determination of the exciton binding energy (E b,exc) of monolayer MoS2 and WSe2 on two fundamentally different substrates, i.e. the insulator sapphire and the metal gold. By combining angle-resolved direct and inverse photoelectron spectroscopy we measure the electronic band gap (E g), and by reflectance measurements the optical excitonic band gap (E exc). The difference of these two energies is E b,exc. The values of E g and E b,exc are 2.11 eV and 240 meV for MoS2 on sapphire, and 1.89 eV and 240 meV for WSe2 on sapphire. On Au E b,exc is decreased to 90 meV and 140 meV for MoS2 and WSe2, respectively. The significant E b,exc reduction is primarily due to a reduction of E g resulting from enhanced screening by the metal, while E exc is barely decreased for the metal support. Energy level diagrams determined at the K-point of the 2D TMDCs Brillouin zone show that MoS2 has more p-type character on Au as compared to sapphire, while WSe2 appears close to intrinsic on both. These results demonstrate that the impact of the dielectric environment of 2D TMDCs is more pronounced for individual charge carriers than for a correlated electron-hole pair, i.e. the exciton. A proper dielectric surrounding design for such 2D semiconductors can therefore be used to facilitate superior optoelectronic device function.

  5. Direct determination of monolayer MoS2 and WSe2 exciton binding energies on insulating and metallic substrates

    KAUST Repository

    Park, Soohyung

    2018-01-03

    Understanding the excitonic nature of excited states in two-dimensional (2D) transition-metal dichalcogenides (TMDCs) is of key importance to make use of their optical and charge transport properties in optoelectronic applications. We contribute to this by the direct experimental determination of the exciton binding energy (E b,exc) of monolayer MoS2 and WSe2 on two fundamentally different substrates, i.e. the insulator sapphire and the metal gold. By combining angle-resolved direct and inverse photoelectron spectroscopy we measure the electronic band gap (E g), and by reflectance measurements the optical excitonic band gap (E exc). The difference of these two energies is E b,exc. The values of E g and E b,exc are 2.11 eV and 240 meV for MoS2 on sapphire, and 1.89 eV and 240 meV for WSe2 on sapphire. On Au E b,exc is decreased to 90 meV and 140 meV for MoS2 and WSe2, respectively. The significant E b,exc reduction is primarily due to a reduction of E g resulting from enhanced screening by the metal, while E exc is barely decreased for the metal support. Energy level diagrams determined at the K-point of the 2D TMDCs Brillouin zone show that MoS2 has more p-type character on Au as compared to sapphire, while WSe2 appears close to intrinsic on both. These results demonstrate that the impact of the dielectric environment of 2D TMDCs is more pronounced for individual charge carriers than for a correlated electron–hole pair, i.e. the exciton. A proper dielectric surrounding design for such 2D semiconductors can therefore be used to facilitate superior optoelectronic device function.

  6. Enhancement of grain size and crystallinity of thin layers of pentacene grown under magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Tabata, Kenichi [Division of Materials Science, Faculty of pure and Applied Sciences, University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8573 (Japan); Yamamoto, Yohei, E-mail: yamamoto@ims.tsukuba.ac.jp [Division of Materials Science, Faculty of pure and Applied Sciences, University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8573 (Japan); Tsukuba Research Center for Interdisciplinary Materials Science (TIMS), Faculty of pure and Applied Sciences, University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8573 (Japan); Center for Integrated Research in Fundamental Science and Technology (CiRfSE), University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8571 (Japan)

    2016-03-31

    Field-effect mobilities (μ) of pentacene films, prepared by a thermal deposition under a magnetic field (H-field), were largely enhanced, in comparison with that prepared without an H-field. Under a perpendicular H-field with respect to the substrate surface, the crystallinity of the edge-on pentacene orientation is enhanced, resulting in the 9-fold enhancement of μ. Furthermore, under parallel H-field with respect to the substrate surface, μ of the pentacene films were 23-fold greater than that prepared without the H-field. The surface morphology studies by atomic force microscopy of the ultra thin films of pentacene clarified that the grain size of the pentacene at the interface with the substrate is larger for films under parallel H-field than that prepared without an H-field. The simple and effective method for enhancing the semiconducting properties of the organic thin films gives high technological impact in its application to organic electronics. - Highlights: • Magnetic-field effect on the crystallinity of pentacene thin films • Magnetic-field effect on the morphology of pentacene thin films • Enhanced field-effect charge carrier mobility of pentacene thin films.

  7. Enhancement of grain size and crystallinity of thin layers of pentacene grown under magnetic field

    International Nuclear Information System (INIS)

    Tabata, Kenichi; Yamamoto, Yohei

    2016-01-01

    Field-effect mobilities (μ) of pentacene films, prepared by a thermal deposition under a magnetic field (H-field), were largely enhanced, in comparison with that prepared without an H-field. Under a perpendicular H-field with respect to the substrate surface, the crystallinity of the edge-on pentacene orientation is enhanced, resulting in the 9-fold enhancement of μ. Furthermore, under parallel H-field with respect to the substrate surface, μ of the pentacene films were 23-fold greater than that prepared without the H-field. The surface morphology studies by atomic force microscopy of the ultra thin films of pentacene clarified that the grain size of the pentacene at the interface with the substrate is larger for films under parallel H-field than that prepared without an H-field. The simple and effective method for enhancing the semiconducting properties of the organic thin films gives high technological impact in its application to organic electronics. - Highlights: • Magnetic-field effect on the crystallinity of pentacene thin films • Magnetic-field effect on the morphology of pentacene thin films • Enhanced field-effect charge carrier mobility of pentacene thin films

  8. Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies.

    Science.gov (United States)

    Faraz, Tahsin; Knoops, Harm C M; Verheijen, Marcel A; van Helvoirt, Cristian A A; Karwal, Saurabh; Sharma, Akhil; Beladiya, Vivek; Szeghalmi, Adriana; Hausmann, Dennis M; Henri, Jon; Creatore, Mariadriana; Kessels, Wilhelmus M M

    2018-04-18

    Oxide and nitride thin-films of Ti, Hf, and Si serve numerous applications owing to the diverse range of their material properties. It is therefore imperative to have proper control over these properties during materials processing. Ion-surface interactions during plasma processing techniques can influence the properties of a growing film. In this work, we investigated the effects of controlling ion characteristics (energy, dose) on the properties of the aforementioned materials during plasma-enhanced atomic layer deposition (PEALD) on planar and 3D substrate topographies. We used a 200 mm remote PEALD system equipped with substrate biasing to control the energy and dose of ions by varying the magnitude and duration of the applied bias, respectively, during plasma exposure. Implementing substrate biasing in these forms enhanced PEALD process capability by providing two additional parameters for tuning a wide range of material properties. Below the regimes of ion-induced degradation, enhancing ion energies with substrate biasing during PEALD increased the refractive index and mass density of TiO x and HfO x and enabled control over their crystalline properties. PEALD of these oxides with substrate biasing at 150 °C led to the formation of crystalline material at the low temperature, which would otherwise yield amorphous films for deposition without biasing. Enhanced ion energies drastically reduced the resistivity of conductive TiN x and HfN x films. Furthermore, biasing during PEALD enabled the residual stress of these materials to be altered from tensile to compressive. The properties of SiO x were slightly improved whereas those of SiN x were degraded as a function of substrate biasing. PEALD on 3D trench nanostructures with biasing induced differing film properties at different regions of the 3D substrate. On the basis of the results presented herein, prospects afforded by the implementation of this technique during PEALD, such as enabling new routes for

  9. Examining Wetting and Dewetting Processes in Thin-films on Crystalline Substrates at the Nanoscale

    Science.gov (United States)

    Hihath, Sahar

    Controlling the wetting and dewetting of ultra-thin films on solid substrates is important for a variety of technological and fundamental research applications. These applications include film deposition for semiconductor manufacturing, the growth of nanowires through nanoparticle-based catalysis sites, to making ordered arrays of nanoscale particles for electronic and optical devices. However, despite the importance of these processes, the underlying mechanisms by which a film wets a surface or dewets from it is still often unclear and widely debated. In this dissertation we examine wetting and dewetting processes in three materials systems that are relevant for device applications with the ultimate goal of understanding what mechanisms drive the wetting (or dewetting) process in each case. First, we examine the formation of wetting layers between nanoparticle films and highly conductive GaAs substrates for spintronic applications. In this case, the formation of a wetting layer is important for nanoparticle adhesion on the substrate surface. Wetting layers can be made by annealing these systems, which causes elemental diffusion from nanoparticles into the substrate, thereby adhesion between the nanoparticles and the substrate. Here we investigate the feasibility of forming a wetting layer underneath nanoparticles post-annealing in a system of Fe3O4 nanoparticles on a (100) GaAs substrate by studying the interface structure and composition via Transmission Electron Microscopy (TEM), Scanning Transmission Electron Microscopy (STEM), Electron Energy Loss Spectroscopy (EELS) and Energy Dispersive X-ray Spectroscopy (EDXS). Electron Energy-Loss fine structures of the Fe-L 3,2 and O-K absorption edges were quantitatively analyzed to gain insight about the compositional gradient of the interface between the nanoparticles and the GaAs substrate. Additionally, real-space density functional theory calculations of the dynamical form factor was performed to confirm the

  10. Crystalline Silica Primer

    Science.gov (United States)

    ,

    1992-01-01

    Crystalline silica is the scientific name for a group of minerals composed of silicon and oxygen. The term crystalline refers to the fact that the oxygen and silicon atoms are arranged in a threedimensional repeating pattern. This group of minerals has shaped human history since the beginning of civilization. From the sand used for making glass to the piezoelectric quartz crystals used in advanced communication systems, crystalline silica has been a part of our technological development. Crystalline silica's pervasiveness in our technology is matched only by its abundance in nature. It's found in samples from every geologic era and from every location around the globe. Scientists have known for decades that prolonged and excessive exposure to crystalline silica dust in mining environments can cause silicosis, a noncancerous lung disease. During the 1980's, studies were conducted that suggested that crystalline silica also was a carcinogen. As a result of these findings, crystalline silica has been regulated under the Occupational Safety and Health Administration's (OSHA) Hazard Communication Standard (HCS). Under HCS, OSHAregulated businesses that use materials containing 0.1% or more crystalline silica must follow Federal guidelines concerning hazard communication and worker training. Although the HCS does not require that samples be analyzed for crystalline silica, mineral suppliers or OSHAregulated

  11. Numerical investigation of thermal and residual stress of sapphire during c-axis vertical Bridgman growth process considering the solidification history effect

    Science.gov (United States)

    Hwang, Ji Hoon; Lee, Young Cheol; Lee, Wook Jin

    2018-01-01

    Sapphire single crystals have been highlighted for epitaxial of gallium nitride films in high-power laser and light emitting diode industries. In this study, the evolution of thermally induced stress in sapphire during the vertical Bridgman crystal growth process was investigated using a finite element model that simplified the real Bridgman process. A vertical Bridgman process of cylindrical sapphire crystal with a diameter of 50 mm was considered for the model. The solidification history effect during the growth was modeled by the quite element technique. The effects of temperature gradient, seeding interface shape and seeding position on the thermal stress during the process were discussed based on the finite element analysis results.

  12. Water flattens graphene wrinkles: laser shock wrapping of graphene onto substrate-supported crystalline plasmonic nanoparticle arrays.

    Science.gov (United States)

    Hu, Yaowu; Lee, Seunghyun; Kumar, Prashant; Nian, Qiong; Wang, Wenqi; Irudayaraj, Joseph; Cheng, Gary J

    2015-12-21

    Hot electron injection into an exceptionally high mobility material can be realized in graphene-plasmonic nanoantenna hybrid nanosystems, which can be exploited for several front-edge applications including photovoltaics, plasmonic waveguiding and molecular sensing at trace levels. Wrinkling instabilities of graphene on these plasmonic nanostructures, however, would cause reactive oxygen or sulfur species to diffuse and react with the materials, decrease charge transfer rates and block intense hot-spots. No ex situ graphene wrapping technique has been explored so far to control these wrinkles. Here, we present a method to generate seamless integration by using water as a flyer to transfer the laser shock pressure to wrap graphene onto plasmonic nanocrystals. This technique decreases the interfacial gap between graphene and the covered substrate-supported plasmonic nanoparticle arrays by exploiting a shock pressure generated by the laser ablation of graphite and the water impermeable nature of graphene. Graphene wrapping of chemically synthesized crystalline gold nanospheres, nanorods and bipyramids with different field confinement capabilities is investigated. A combined experimental and computational method, including SEM and AFM morphological investigation, molecular dynamics simulation, and Raman spectroscopy characterization, is used to demonstrate the effectiveness of this technique. Graphene covered gold bipyramid exhibits the best result among the hybrid nanosystems studied. We have shown that the hybrid system fabricated by laser shock can be used for enhanced molecular sensing. The technique developed has the characteristics of tight integration, and chemical/thermal stability, is instantaneous in nature, possesses a large scale and room temperature processing capability, and can be further extended to integrate other 2D materials with various 0-3D nanomaterials.

  13. High-temperature Josephson transition, formed in epitaxial step from CeO2 in the process of growth on a sapphire substrate

    International Nuclear Information System (INIS)

    Kotelyanskij, I.M.; Mashtakov, A.D.; Mozhaev, P.B.; Ovsyannikov, G.A.; Dukaev, Yu.M.

    1995-01-01

    Results on production and investigation into Josephson without applying the substrate surface ion etching, are presented for the first time. This method of stage formation allows one to obtain a practically defectless surface of lateral face and substrate. Besides, it allows one to form a stage of material, different from the substrate material

  14. Transparent conducting properties of anatase Ti0.94Nb0.06O2 polycrystalline films on glass substrate

    International Nuclear Information System (INIS)

    Hitosugi, T.; Ueda, A.; Nakao, S.; Yamada, N.; Furubayashi, Y.; Hirose, Y.; Konuma, S.; Shimada, T.; Hasegawa, T.

    2008-01-01

    We report on transparent conducting properties of anatase Ti 0.94 Nb 0.06 O 2 (TNO) polycrystalline films on glass substrate, and discuss the role of grain crystallinity and grain boundary on resistivity. Thin films of TNO were deposited using pulsed laser deposition at substrate temperature ranging from room temperature to 350 deg. C, with subsequent H 2 -annealing at 500 deg. C. Polycrystalline TNO films showed resistivity of 4.5 x 10 -4 Ω cm and 1.5 x 10 -3 Ω cm for films prepared at substrate temperature of room temperature and 250 deg. C, respectively. X-ray diffraction measurements and transmission electron microscopy reveal that grain crystallinity and grain boundary play key roles in conductive films

  15. Synthesis and structure of large single crystalline silver hexagonal microplates suitable for micromachining

    Energy Technology Data Exchange (ETDEWEB)

    Lyutov, Dimitar L.; Genkov, Kaloyan V.; Zyapkov, Anton D.; Tsutsumanova, Gichka G.; Tzonev, Atanas N. [Department of Solid State Physics and Microelectronics, Faculty of Physics, University of Sofia, 5, J. Bouchier Blvd, Sofia (Bulgaria); Lyutov, Lyudmil G. [Department of General and Inorganic Chemistry, Faculty of Chemistry, University of Sofia, 1, J. Bouchier Blvd, Sofia (Bulgaria); Russev, Stoyan C., E-mail: scr@phys.uni-sofia.bg [Department of Solid State Physics and Microelectronics, Faculty of Physics, University of Sofia, 5, J. Bouchier Blvd, Sofia (Bulgaria)

    2014-01-15

    We report a simple one-step synthesis method of large single crystalline Ag (111) hexagonal microplates with sharp edges and a size of up to tens of microns. Single silver crystals were produced by reduction silver nitrate aqueous solution with 4-(methylamino)phenol sulfate. Scanning and transmission electron microscopy, energy-dispersive X-ray spectroscopy, selected area electron diffraction and optical microscopy techniques were combined to characterize the crystals. It is shown that the microplates can be easily dispersed and transferred as single objects onto different substrates and subsequently used as a high quality plasmonic starting material for micromachining of future nanocomponents, using modern top-down techniques like focused-ion beam milling and gas injection deposition. - Highlights: • Synthesis of large Ag hexagonal microplates with high crystallinity. • It is shown and discussed the role of twinning for the anisotropic 2D growth. • The Ag plates are stable in water and can be dispersed onto different substrates. • Their positioning and subsequent micromachining with FIB/GIS is demonstrated. • Suitable starting material for future plasmonic nanocomponents.

  16. Enhanced cooling in mono-crystalline ultra-thin silicon by embedded micro-air channels

    Directory of Open Access Journals (Sweden)

    Mohamed T. Ghoneim

    2015-12-01

    Full Text Available In today’s digital world, complementary metal oxide semiconductor (CMOS technology enabled scaling of bulk mono-crystalline silicon (100 based electronics has resulted in their higher performance but with increased dynamic and off-state power consumption. Such trade-off has caused excessive heat generation which eventually drains the charge of battery in portable devices. The traditional solution utilizing off-chip fans and heat sinks used for heat management make the whole system bulky and less mobile. Here we show, an enhanced cooling phenomenon in ultra-thin (>10 μm mono-crystalline (100 silicon (detached from bulk substrate by utilizing deterministic pattern of porous network of vertical “through silicon” micro-air channels that offer remarkable heat and weight management for ultra-mobile electronics, in a cost effective way with 20× reduction in substrate weight and a 12% lower maximum temperature at sustained loads. We also show the effectiveness of this event in functional MOS field effect transistors (MOSFETs with high-κ/metal gate stacks.

  17. Periodic nanostructures on unpolished substrates and their integration in solar cells

    International Nuclear Information System (INIS)

    Cornago, I; Dominguez, S; Bravo, J; Ezquer, M; Rodríguez, M J; Lagunas, A R; Pérez-Conde, J; Rodriguez, R

    2015-01-01

    We present a novel fabrication process based on laser interference lithography, lift-off and reactive ion etching, which allows us to fabricate periodic nanostructures on photovoltaic substrates with an average root mean square (RMS) roughness of 750 nm. We fabricate nanostructures on unpolished crystalline silicon substrates, which reduces their reflectance 30% as fabricated. When an additional passivation layer is deposited, the light trapping grows, achieving a reflectance reduction of 60%. In addition, we have successfully integrated the nanostructured substrates in silicon wafer–based solar cells following standard processes, achieving a final efficiency of 15.56%. (paper)

  18. FY 1999 report on the results of the development of high efficiency lightning conversion compound semiconductor. Plan on lighting for the 21st century; Kokoritsu denko henkan kagobutsu handotai kaihatsu seika hokokusho. 21 seiki no akari keikaku

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    For the purpose of developing the white light-emitting diode (LEDs) lightening as 'a plan on lighting for the 21st century,' the results of the following R and D were obtained: 1) basic study of light-emitting mechanism; 2) improvement of epitaxial growth method of blue/ultraviolet LED; 3) substrate for homoepitaxial growth; 4) basic characteristics of phosphor-based light source for white LED lighting. In 1), light emitting mechanisms responsible for high-quantum efficiency of InGaN mixed semiconductors and InGaN/GaN quantum wells were studied by means of temperature-dependent photoluminescence (PL), time-resolved PL and selective-excitation PL spectroscopy. In 2), precise lapping and polishing procedures of sapphire and GaN crystalline substrates for epitaxial growth using metalorganic chemical-vapor-deposition and MBE showed favorable surface characteristics of substrates. In 3), study was made on growth conditions and growth mechanism of GaN bulk single crystals using the nitrogen pressure-controlled solution growth method. A size of 12mm diameter of GaN single crystal showing good crystallinity and PL characteristics were obtained. In 4), excitation, absorption and PL spectra of rare-earth doped Y{sub 2}O{sub 2}S red phosphor were studied in detail. (NEDO)

  19. Growth on nonpolar and semipolar GaN: The substrate dilemma

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institute, Berlin (Germany); Kneissl, M. [Ferdinand-Braun-Institute, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2009-07-01

    Growth of nonpolar and semipolar GaN is very promising for achieving green laser diodes (LDs). However, the choice of the substrate is a difficult one: Heteroepitaxial growth on sapphire, SiC, LiAlO{sub 2} yields GaN films with a poor surface quality and high defect densities. On the other hand non- and semipolar bulk GaN substrates provide excellent crystal quality, but are so far only available in very small sizes. In this paper hetero- and homoepitaxial growth is compared. For all heteroepitaxially grown semi- and nonpolar GaN layers threading dislocations (TD) and basal plane stacking faults (BSF) can be found. There are four possible mechanisms for the generation of BSF: Growth of the N-polar basal plane, formation during nucleation at substrate steps, formation at the coalescence front of differently stacked nucleation islands, and generation at planar defects occurring in m-plane GaN on LiAlO{sub 2}. BSF induce surface roughening and are associated with partial dislocations causing nonradiative recombination. Thus they affect the performance of devices. We show that BSFs and TDs can be reduced by epitaxial lateral overgrowth resulting in several micrometer wide defect free areas. However, for LEDs larger defect-free areas are required. GaN layers grown on bulk GaN substrates exhibit a high crystal quality, but show in many cases long-range surface structures with a height of {approx}1{mu}m.

  20. Influences of ultra-thin Ti seed layers on the dewetting phenomenon of Au films deposited on Si oxide substrates

    Science.gov (United States)

    Kamiko, Masao; Kim, So-Mang; Jeong, Young-Seok; Ha, Jae-Ho; Koo, Sang-Mo; Ha, Jae-Geun

    2018-05-01

    The influences of a Ti seed layer (1 nm) on the dewetting phenomenon of Au films (5 nm) grown onto amorphous SiO2 substrates have been studied and compared. Atomic force microscopy results indicated that the introduction of Ti between the substrate and Au promoted the dewetting phenomenon. X-ray diffraction measurements suggested that the initial deposition of Ti promoted crystallinity of Au. A series of Auger electron spectroscopy and X-ray photoelectron spectroscopy results revealed that Ti transformed to a Ti oxide layer by reduction of the amorphous SiO2 substrate surface, and that the Ti seed layer remained on the substrate, without going through the dewetting process during annealing. We concluded that the enhancement of Au dewetting and the improvement in crystallinity of Au by the insertion of Ti could be attributed to the fact that Au location was changed from the surface of the amorphous SiO2 substrate to that of the Ti oxide layer.

  1. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  2. Drift mechanism of mass transfer on heterogeneous reaction in crystalline silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Kukushkin, S.A. [Institute of Problems of Mechanical Engineering, Russian Academy of Science, St Petersburg, 199178 (Russian Federation); St. Petersburg National Research University of Information Technologies, Mechanics and Optics, 197101 (Russian Federation); Osipov, A.V., E-mail: Andrey.V.Osipov@gmail.com [Institute of Problems of Mechanical Engineering, Russian Academy of Science, St Petersburg, 199178 (Russian Federation); St. Petersburg National Research University of Information Technologies, Mechanics and Optics, 197101 (Russian Federation)

    2017-05-01

    This work aims to study the pressure dependence of the thickness of the epitaxial silicon carbide film growing from crystalline silicon due to the heterogeneous reaction with gaseous carbon monoxide. It turned out that this dependence exhibits the clear maximum. On further pressure increasing the film thickness decreases. The theoretical model has been developed which explains such a character of the dependence by the fact that the gaseous silicon monoxide reaction product inhibits the drift of the gaseous reagent through the channels of a crystal lattice, thus decreasing their hydraulic diameter. In the proposed hydraulic model, the dependences of the film thickness both on the gas pressure and time have been calculated. It was shown that not only the qualitative but also quantitative correspondence between theoretical and experimental results takes place. As one would expect, due to the Einstein relation, at short growth times the drift model coincides with the diffusion one. Consequences of this drift mechanism of epitaxial film growing are discussed. - Graphical abstract: This work aims to study the pressure dependence of the thickness of the epitaxial silicon carbide film growing from crystalline silicon due to the heterogeneous reaction with gaseous carbon monoxide. It turned out that this dependence exhibits the clear maximum. On further pressure increasing the film thickness decreases. The theoretical model has been developed which explains such a character of the dependence by the fact that the gaseous silicon monoxide reaction product inhibits the drift of the gaseous reagent through the channels of a crystal lattice, thus decreasing their hydraulic diameter. - Highlights: • It is established that the greater pressure, the smaller is the reaction rate. • The reaction product prevents penetration of the reagent into a reaction zone. • For description the hydraulic model of crystal lattice channels is developed. • Theoretical results for polytropic

  3. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation

    Science.gov (United States)

    Lu, Yimin; Makihara, Katsunori; Takeuchi, Daichi; Ikeda, Mitsuhisa; Ohta, Akio; Miyazaki, Seiichi

    2017-06-01

    Hydrogenated microcrystalline (µc) Si/Ge heterostructures were prepared on quartz substrates by plasma-enhanced chemical vapor deposition (CVD) from VHF inductively coupled plasma of SiH4 just after GeH4 employing Ni nanodots (NDs) as seeds for crystalline nucleation. The crystallinity of the films and the progress of grain growth were characterized by Raman scattering spectroscopy and atomic force microscopy (AFM), respectively. When the Ge films were grown on Ni-NDs at 250 °C, the growth of µc-Ge films with crystallinity as high as 80% was realized without an amorphous phase near the Ge film/quartz substrate interface. After the subsequent Si film deposition at 250 °C, fine grains were formed in the early stages of film growth on µc-Ge films with compositional mixing (µc-Si0.85Ge0.15:H) caused by the release of large lattice mismatch between c-Si and c-Ge. With further increase in Si:H film thickness, the formation of large grain structures accompanied by fine grains was promoted. These results suggest that crystalline Si/Ge heterojunctions can be used for efficient carrier collection in solar cell application.

  4. Interface-Induced Zeeman-Protected Superconductivity in Ultrathin Crystalline Lead Films

    Directory of Open Access Journals (Sweden)

    Yi Liu

    2018-04-01

    Full Text Available Two-dimensional (2D superconducting systems are of great importance for exploring exotic quantum physics. The recent development of fabrication techniques has stimulated studies of high-quality single-crystalline 2D superconductors, where intrinsic properties give rise to unprecedented physical phenomena. Here, we report the observation of Zeeman-type spin-orbit interaction protected superconductivity (Zeeman-protected superconductivity in 4-monolayer (ML to 6-ML crystalline Pb films grown on striped incommensurate Pb layers on Si(111 substrates by molecular beam epitaxy. An anomalously large in-plane critical field far beyond the Pauli limit is detected, which can be attributed to the Zeeman-protected superconductivity due to the in-plane inversion symmetry breaking at the interface. Our work demonstrates that, in superconducting heterostructures, the interface can induce Zeeman-type spin-orbit interactions and modulate the superconductivity.

  5. Durable crystalline Si photovoltaic modules based on silicone-sheet encapsulants

    Science.gov (United States)

    Hara, Kohjiro; Ohwada, Hiroto; Furihata, Tomoyoshi; Masuda, Atsushi

    2018-02-01

    Crystalline Si photovoltaic (PV) modules were fabricated with sheets of poly(dimethylsiloxane) (silicone) as an encapsulant. The long-term durability of the silicone-encapsulated PV modules was experimentally investigated. The silicone-based modules enhanced the long-term durability against potential-induced degradation (PID) and a damp-heat (DH) condition at 85 °C with 85% relative humidity (RH). In addition, we designed and fabricated substrate-type Si PV modules based on the silicone encapsulant and an Al-alloy plate as the substratum, which demonstrated high impact resistance and high incombustible performance. The high chemical stability, high volume resistivity, rubber-like elasticity, and incombustibility of the silicone encapsulant resulted in the high durability of the modules. Our results indicate that silicone is an attractive encapsulation material, as it improves the long-term durability of crystalline Si PV modules.

  6. Morphological and structural characterization of CrO2/Cr2O3 films grown by Laser-CVD

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Popovici, N.; Conde, O.

    2005-01-01

    This work reports on the synthesis of chromium (III, IV) oxides films by KrF laser-assisted CVD. Films were deposited onto sapphire substrates at room temperature by the photodissociation of Cr(CO) 6 in dynamic atmospheres containing oxygen and argon. A study of the processing parameters has shown that partial pressure ratio of O 2 to Cr(CO) 6 and laser fluence are the prominent parameters that have to be accurately controlled in order to co-deposit both the crystalline oxide phases. Films consistent with such a two-phase system were synthesised for a laser fluence of 75 mJ cm -2 and a partial pressure ratio of about 1

  7. Generation of InN nanocrystals in organic solution through laser ablation of high pressure chemical vapor deposition-grown InN thin film

    International Nuclear Information System (INIS)

    Alkis, Sabri; Alevli, Mustafa; Burzhuev, Salamat; Vural, Hüseyin Avni; Okyay, Ali Kemal; Ortaç, Bülend

    2012-01-01

    We report the synthesis of colloidal InN nanocrystals (InN-NCs) in organic solution through nanosecond pulsed laser ablation of high pressure chemical vapor deposition-grown InN thin film on GaN/sapphire template substrate. The size, the structural, the optical, and the chemical characteristics of InN-NCs demonstrate that the colloidal InN crystalline nanostructures in ethanol are synthesized with spherical shape within 5.9–25.3, 5.45–34.8, 3.24–36 nm particle-size distributions, increasing the pulse energy value. The colloidal InN-NCs solutions present strong absorption edge tailoring from NIR region to UV region.

  8. Superconducting accelerometer using niobium-on-sapphire rf resonator

    International Nuclear Information System (INIS)

    Blair, D.G.

    1979-01-01

    An accelerometer is described which uses a rf niobium-on-sapphire resonator as its sensor element. The accelerometer uses a magnetically levitated spool as a test mass and the spool modulates the inductance of the resonator; its position is servo controlled to maintain the resonator at the external rf excitation frequency. The accelerometer has high sensitivity over the full audio frequency range, but is optimized for frequencies between 100 Hz and 1 kHz, where the calculated displacement sensitivity approaches 10 -15 cm for a 1 Hz measurement bandwidth. The system noise sources are analyzed and possible improvements are discussed

  9. Ultraviolet laser crystallized ZnO:Al films on sapphire with high Hall mobility for simultaneous enhancement of conductivity and transparency

    International Nuclear Information System (INIS)

    Nian, Qiong; Zhang, Martin Y.; Schwartz, Bradley D.; Cheng, Gary J.

    2014-01-01

    One of the most challenging issues in transparent conductive oxides (TCOs) is to improve their conductivity without compromising transparency. High conductivity in TCO films often comes from a high carrier concentration, which is detrimental to transparency due to free carrier absorption. Here we show that UV laser crystallization (UVLC) of aluminum-doped ZnO (AZO) films prepared by pulsed laser deposition on sapphire results in much higher Hall mobility, allowing relaxation of the constraints of the conductivity/transparency trade-off. X-ray diffraction patterns and morphological characterizations show grain growth and crystallinity enhancement during UVLC, resulting in less film internal imperfections. Optoelectronic measurements show that UVLC dramatically improves the electron mobility, while the carrier concentration decreases which in turn simultaneously increases conductivity and transparency. AZO films under optimized UVLC achieve the highest electron mobility of 79 cm 2 /V s at a low carrier concentration of 7.9 × 10 +19  cm −3 . This is realized by a laser crystallization induced decrease of both grain boundary density and electron trap density at grain boundaries. The infrared (IR) to mid-IR range transmittance spectrum shows UVLC significantly enhances the AZO film transparency without compromising conductivity.

  10. Simulation of depositions of a Lennard-Jones cluster on a crystalline surface

    International Nuclear Information System (INIS)

    Saitoh, Kuniyasu; Hayakawa, Hisao

    2009-01-01

    Depositions of amorphous Lennard-Jones clusters on a crystalline surface are numerically investigated. From the results of the molecular dynamics simulation, we found that the deposited clusters exhibit a transition from multilayered adsorption to monolayered adsorption at a critical incident speed. Employing the energy conservation law, we can explain the behavior of the ratio of the number of atoms adsorbed on the substrate to the cluster size. The boundary shape of the deposited cluster depends strongly on the incident speed, and some unstable modes grow during the spread of the deposited cluster on the substrate. We also discuss the wettability between different Lennard-Jones atoms. (author)

  11. New method for the determination of the defect profile in thin layers grown over a substrate

    International Nuclear Information System (INIS)

    Zubiaga, A.; Garcia, J.A.; Plazaola, F.; Tuomisto, F.; Zuniga, J.; Munoz-Sanjose, V.

    2007-01-01

    We present a new method to obtain information about the defect profile of films grown over high quality substrates. The method is valid, at least, for films where the positron mean-diffusion length is small. We have used the method for the case of ZnO films grown over sapphire, but the method can be generalized very easily to other film/substrate systems. Applying the method to the ratio of W and S parameters obtained from Doppler broadening measurements, W/S plots, one can determine the thickness of the film and the defect profile trend in the film, when mainly one positron trap is contributing to positron trapping within the film. Indeed, the quality of such a characterization is very important for the potential technological applications of the film. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. New method for the determination of the defect profile in thin layers grown over a substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zubiaga, A.; Garcia, J.A.; Plazaola, F. [Fisika Aplikatua II Saila, Euskal Herriko Unibertsitatea, Bilbao (Spain); Tuomisto, F. [Laboratory of Physics, Helsinki University of Technology, Espoo (Finland); Zuniga, J.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada i Electromagnetisme, Burjassot (Valencia) (Spain)

    2007-07-01

    We present a new method to obtain information about the defect profile of films grown over high quality substrates. The method is valid, at least, for films where the positron mean-diffusion length is small. We have used the method for the case of ZnO films grown over sapphire, but the method can be generalized very easily to other film/substrate systems. Applying the method to the ratio of W and S parameters obtained from Doppler broadening measurements, W/S plots, one can determine the thickness of the film and the defect profile trend in the film, when mainly one positron trap is contributing to positron trapping within the film. Indeed, the quality of such a characterization is very important for the potential technological applications of the film. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. "You Hafta Push": Using Sapphire's Novel to Teach Introduction to American Government

    Science.gov (United States)

    Pappas, Christine

    2007-01-01

    Using fiction in the classroom can dramatize public policy issues and political science concepts, therefore, making them more real and relevant to students. Sapphire's 1996 novel "Push" puts a face on welfare, rape, incest, child abuse, educational inequalities, homophobia, and AIDS. I also use this novel to discuss the public policy process,…

  14. Suppression of self-heating effect in AlGaN/GaN high electron mobility transistors by substrate-transfer technology using h-BN

    International Nuclear Information System (INIS)

    Hiroki, Masanobu; Kumakura, Kazuhide; Kobayashi, Yasuyuki; Akasaka, Tetsuya; Makimoto, Toshiki; Yamamoto, Hideki

    2014-01-01

    We fabricated AlGaN/GaN high electron mobility transistors (HEMTs) on h-BN/sapphire substrates and transferred them from the host substrates to copper plates using h-BN as a release layer. In current–voltage characteristics, the saturation drain current decreased by about 30% under a high-bias condition before release by self-heating effect. In contrast, after transfer, the current decrement was as small as 8% owing to improved heat dissipation: the device temperature increased to 50 °C in the as-prepared HEMT, but only by several degrees in the transferred HEMT. An effective way to improve AlGaN/GaN HEMT performance by a suppression of self-heating effect has been demonstrated

  15. Suppression of self-heating effect in AlGaN/GaN high electron mobility transistors by substrate-transfer technology using h-BN

    Energy Technology Data Exchange (ETDEWEB)

    Hiroki, Masanobu, E-mail: hiroki.masanobu@lab.ntt.co.jp; Kumakura, Kazuhide; Kobayashi, Yasuyuki; Akasaka, Tetsuya; Makimoto, Toshiki; Yamamoto, Hideki [NTT Basic Research Laboratories, NTT Corporation 3-1 Morinosato Wakamiya, Atsugi-shi 243-0198 (Japan)

    2014-11-10

    We fabricated AlGaN/GaN high electron mobility transistors (HEMTs) on h-BN/sapphire substrates and transferred them from the host substrates to copper plates using h-BN as a release layer. In current–voltage characteristics, the saturation drain current decreased by about 30% under a high-bias condition before release by self-heating effect. In contrast, after transfer, the current decrement was as small as 8% owing to improved heat dissipation: the device temperature increased to 50 °C in the as-prepared HEMT, but only by several degrees in the transferred HEMT. An effective way to improve AlGaN/GaN HEMT performance by a suppression of self-heating effect has been demonstrated.

  16. Synthesis and characterization of porous crystalline SiC thin films prepared by radio frequency reactive magnetron sputtering technique

    Energy Technology Data Exchange (ETDEWEB)

    Qamar, Afzaal, E-mail: afzaalqamar@gmail.com [Department of Physics and Applied Mathematics, PIEAS, Nilore, Islamabad, Punjab 42600 (Pakistan); Mahmood, Arshad [National Institute of Laser and Optronics, Nilore, Islamabad (Pakistan); Sarwar, Tuba; Ahmed, Nadeem [Department of Physics and Applied Mathematics, PIEAS, Nilore, Islamabad, Punjab 42600 (Pakistan)

    2011-05-15

    Hexagonal SiC thin films have been deposited using radio frequency reactive magnetron sputtering technique by varying the substrate temperature and other deposition conditions. Prior to deposition surface modification of the substrate Si(1 0 0) played an important role in deposition of the hexagonal SiC structure. The effect of substrate temperature during deposition on structure, composition and surface morphology of the SiC films has been analyzed using atomic force microscopy, Fourier transform infrared spectroscopy and spectroscopic ellipsometry. X-ray diffraction in conventional {theta}-2{theta} mode and omega scan mode revealed that the deposited films were crystalline having 8H-SiC structure and crystallinity improved with increase of deposition temperature. The bonding order and Si-C composition within the films showed improvement with the increase of deposition temperature. The surface of thin films grew in the shape of globes and columns depending upon deposition temperature. The optical properties also showed improvement with increase of deposition temperature and the results obtained by ellipsometry reinforced the results of other techniques.

  17. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    Science.gov (United States)

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  18. Effect of deposition rate on melting point of copper film catalyst substrate at atomic scale

    Science.gov (United States)

    Marimpul, Rinaldo; Syuhada, Ibnu; Rosikhin, Ahmad; Winata, Toto

    2018-03-01

    Annealing process of copper film catalyst substrate was studied by molcular dynamics simulation. This copper film catalyst substrate was produced using thermal evaporation method. The annealing process was limited in nanosecond order to observe the mechanism at atomic scale. We found that deposition rate parameter affected the melting point of catalyst substrate. The change of crystalline structure of copper atoms was observed before it had been already at melting point. The optimum annealing temperature was obtained to get the highest percentage of fcc structure on copper film catalyst substrate.

  19. Effects of Substrate and Post-Growth Treatments on the Microstructure and Properties of ZnO Thin Films Prepared by Atomic Layer Deposition

    Science.gov (United States)

    Haseman, Micah; Saadatkia, P.; Winarski, D. J.; Selim, F. A.; Leedy, K. D.; Tetlak, S.; Look, D. C.; Anwand, W.; Wagner, A.

    2016-12-01

    Aluminum-doped zinc oxide (ZnO:Al) thin films were synthesized by atomic layer deposition on silicon, quartz and sapphire substrates and characterized by x-ray diffraction (XRD), high-resolution scanning electron microscopy, optical spectroscopy, conductivity mapping, Hall effect measurements and positron annihilation spectroscopy. XRD showed that the as-grown films are of single-phase ZnO wurtzite structure and do not contain any secondary or impurity phases. The type of substrate was found to affect the orientation and degree of crystallinity of the films but had no effect on the defect structure or the transport properties of the films. High conductivity of 10-3 Ω cm, electron mobility of 20 cm2/Vs and carrier density of 1020 cm-3 were measured in most films. Thermal treatments in various atmospheres induced a large effect on the thickness, structure and electrical properties of the films. Annealing in a Zn and nitrogen environment at 400°C for 1 h led to a 16% increase in the thickness of the film; this indicates that Zn extracts oxygen atoms from the matrix and forms new layers of ZnO. On the other hand, annealing in a hydrogen atmosphere led to the emergence of an Al2O3 peak in the XRD pattern, which implies that hydrogen and Al atoms compete to occupy Zn sites in the ZnO lattice. Only ambient air annealing had an effect on film defect density and electrical properties, generating reductions in conductivity and electron mobility. Depth-resolved measurements of positron annihilation spectroscopy revealed short positron diffusion lengths and high concentrations of defects in all as-grown films. However, these defects did not diminish the electrical conductivity in the films.

  20. Selective heteroepitaxy on deeply grooved substrate: A route to low cost semipolar GaN platforms of bulk quality

    Energy Technology Data Exchange (ETDEWEB)

    Tendille, Florian, E-mail: florian.tendille@crhea.cnrs.fr; Vennéguès, Philippe; De Mierry, Philippe [CRHEA - CNRS (Centre de Recherche sur l' Hétéro-Epitaxie et ses Applications), Rue Bernard Gregory, Parc de Sophia Antipolis, 06560 Valbonne (France); Martin, Denis; Grandjean, Nicolas [Institute of Physics, EPFL, CH-1015 Lausanne (Switzerland)

    2016-08-22

    Semipolar GaN crystal stripes larger than 100 μm with dislocation densities below 5 × 10{sup 6} cm{sup −2} are achieved using a low cost fabrication process. An original sapphire patterning procedure is proposed, enabling selective growth of semipolar oriented GaN stripes while confining the defects to specific areas. Radiative and non-radiative crystalline defects are investigated by cathodoluminescence and can be correlated to the development of crystal microstructure during the growth process. A dislocation reduction mechanism, supported by transmission electron microscopy, is proposed. This method represents a step forward toward low-cost quasi-bulk semipolar GaN epitaxial platforms with an excellent structural quality which will allow for even more efficient III-nitride based devices.

  1. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    Science.gov (United States)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  2. Catalyst free growth of CNTs by CVD on nanoscale rough surfaces of silicon substrates

    Science.gov (United States)

    Damodar, D.; Sahoo, R. K.; Jacob, C.

    2013-06-01

    Catalyst free growth of carbon nanotubes (CNT) has been achieved using atmospheric pressure chemical vapor deposition (APCVD) on surface modified Si(111) substrates. The effect of the substrate surface has been observed by partially etching with KOH (potassium hydroxide) solution which is an anisotropic etchant. Scanning electron microscopy (SEM) confirmed the formation of CNTs over most of the area of the substrate where substrates were anisotropically etched. Transmission electron microscopy (TEM) was used to observe the internal structure of the CNTs. Raman spectroscopy further confirmed the formation of the carbon nanostructures and also their graphitic crystallinity.

  3. Wetting phenomena of Al-Cu alloys on sapphire below 800 deg. C

    International Nuclear Information System (INIS)

    Klinter, Andreas J.; Leon-Patino, Carlos A.; Drew, Robin A.L.

    2010-01-01

    Using a modified dispensed drop method, a decrease in contact angle on sapphire from pure aluminum to low-copper-containing Al alloys (7-12 wt.%) was found; with higher copper additions θ transitions to the non-wetting regime. Atomic force microscopy on long-term samples showed a significantly increased surface roughness beneath the drop. Using high-resolution transmission electron microscopy, the reaction product at the interface was identified as CuAl 2 O 4 for Al-7Cu and Al 2 O 3 for an Al-99.99 drop. X-ray photoelectron spectroscopy further confirmed the formation of CuAl 2 O 4 under CuAl 2 drops. Spinel formation is caused by reaction of the alloy with residual oxygen in the furnace that is transported along the interface as modeled by thermodynamic simulations. The formation of CuAl 2 O 4 causes the reduced σ sl and hence the improved wettability of sapphire by low-copper-containing alloys compared to pure aluminum. The main reason for the increase in θ with higher copper contents is the increasing σ lv of the alloy.

  4. Low-Temperature Crystalline Titanium Dioxide by Atomic Layer Deposition for Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar

    2013-04-24

    Low-temperature processing of dye-sensitized solar cells (DSCs) is crucial to enable commercialization with low-cost, plastic substrates. Prior studies have focused on mechanical compression of premade particles on plastic or glass substrates; however, this did not yield sufficient interconnections for good carrier transport. Furthermore, such compression can lead to more heterogeneous porosity. To circumvent these problems, we have developed a low-temperature processing route for photoanodes where crystalline TiO2 is deposited onto well-defined, mesoporous templates. The TiO2 is grown by atomic layer deposition (ALD), and the crystalline films are achieved at a growth temperature of 200 C. The ALD TiO2 thickness was systematically studied in terms of charge transport and performance to lead to optimized photovoltaic performance. We found that a 15 nm TiO2 overlayer on an 8 μm thick SiO2 film leads to a high power conversion efficiency of 7.1% with the state-of-the-art zinc porphyrin sensitizer and cobalt bipyridine redox mediator. © 2013 American Chemical Society.

  5. Wet chemical deposition of single crystalline epitaxial manganite thin films with atomically flat surface

    International Nuclear Information System (INIS)

    Mishra, Amita; Dutta, Anirban; Samaddar, Sayanti; Gupta, Anjan K.

    2013-01-01

    We report the wet chemical deposition of single crystalline epitaxial thin films of the colossal magneto-resistive manganite La 0.67 Sr 0.33 MnO 3 on the lattice-matched (001)-face of a La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 substrate. Topographic images of these films taken with a scanning tunneling microscope show atomically flat terraces separated by steps of monatomic height. The resistivity of these films shows an insulator-metal transition at 310 K, nearly coincident with the Curie temperature of 340 K, found from magnetization measurements. The films show a magnetoresistance of 7% at 300 K and 1.2 T. Their saturation magnetization value at low temperatures is consistent with that of the bulk. - Highlights: ► Wet chemical deposition of La 0.67 Sr 0.33 MnO 3 (LSMO) on a lattice-matched substrate. ► Single crystalline epitaxial LSMO films obtained. ► Flat terraces separated by monatomic steps observed by scanning tunneling microscope

  6. Distance-dependent metal enhanced fluorescence by flowerlike silver nanostructures fabricated in liquid crystalline phase

    Science.gov (United States)

    Zhang, Ying; Yang, Chengliang; Zhang, Guiyang; Peng, Zenghui; Yao, Lishuang; Wang, Qidong; Cao, Zhaoliang; Mu, Quanquan; Xuan, Li

    2017-10-01

    Flowerlike silver nanostructure substrates were fabricated in liquid crystalline phase and the distance dependent property of metal enhanced fluorescence for such substrate was studied for the first time. The distance between silver nanostructures and fluorophore was controlled by the well-established layer-by-layer (LbL) technique constructing alternate layers of poly (allylamine hydrochloride) (PAH) and poly (sodium 4-styrenesulfonate) (PSS). The Rhodamine 6G (R6G) molecules were electrostatically attached to the outmost negative charged PSS layer. The fluorescence enhancement factor of flowerlike nanostructure substrate increased firstly and then decreased with the distance increasing. The best enhanced fluorescence intensity of 71 fold was obtained at a distance of 5.2 nm from the surface of flowerlike silver nanostructure. The distance for best enhancement effect is an instructive parameter for the applications of such substrates and could be used in the practical MEF applications with the flowerlike nanostructure substrates fabricated in such way which is simple, controllable and cost-effective.

  7. Ring resonator optical modes in InGaN/GaN structures grown on micro-cone-patterned sapphire substrates

    Science.gov (United States)

    Kazanov, D. R.; Pozina, G.; Jmerik, V. N.; Shubina, T. V.

    2018-03-01

    Molecular beam epitaxy (MBE) of III-nitride compounds on specially prepared cone-shaped patterned substrates is being actively developed nowadays, especially for nanophotonic applications. This type of substrates enables the successful growth of hexagonal nanorods (NRs). The insertion of an active quantum-sized region of InGaN inside a GaN NR allows us to enhance the rate of optical transitions by coupling them with resonant optical modes in the NR. However, we have observed the enhancement of emission not only from the NR but also around the circumference region of the cone-shaped base. We have studied this specific feature and demonstrated its impact on the output signal.

  8. Green-diode-pumped femtosecond Ti:Sapphire laser with up to 450 mW average power.

    Science.gov (United States)

    Gürel, K; Wittwer, V J; Hoffmann, M; Saraceno, C J; Hakobyan, S; Resan, B; Rohrbacher, A; Weingarten, K; Schilt, S; Südmeyer, T

    2015-11-16

    We investigate power-scaling of green-diode-pumped Ti:Sapphire lasers in continuous-wave (CW) and mode-locked operation. In a first configuration with a total pump power of up to 2 W incident onto the crystal, we achieved a CW power of up to 440 mW and self-starting mode-locking with up to 200 mW average power in 68-fs pulses using semiconductor saturable absorber mirror (SESAM) as saturable absorber. In a second configuration with up to 3 W of pump power incident onto the crystal, we achieved up to 650 mW in CW operation and up to 450 mW in 58-fs pulses using Kerr-lens mode-locking (KLM). The shortest pulse duration was 39 fs, which was achieved at 350 mW average power using KLM. The mode-locked laser generates a pulse train at repetition rates around 400 MHz. No complex cooling system is required: neither the SESAM nor the Ti:Sapphire crystal is actively cooled, only air cooling is applied to the pump diodes using a small fan. Because of mass production for laser displays, we expect that prices for green laser diodes will become very favorable in the near future, opening the door for low-cost Ti:Sapphire lasers. This will be highly attractive for potential mass applications such as biomedical imaging and sensing.

  9. Substrate mediated growth of organic semiconducting thin films; Templateffekte bei der Strukturierung organischer Halbleiterfilme

    Energy Technology Data Exchange (ETDEWEB)

    Goetzen, Jan

    2010-09-17

    Since electronic properties of molecular materials are closely related to their structural order a precise control of the molecular packing and crystalline orientation of thin films is of vital interest for an optimization of organic electronic devices. Of particular interest in this respect is the initial stage of film formation which is largely governed by the interplay of intermolecular and molecule-substrate interactions. One approach to control the molecular film structure is based on substrate mediated growth. In this respect we have studied structural properties of thin films of pentacene, pentacene- 5,7,12,14-tetrone and perfluoro-pentacene which were grown onto various substrates including metals, metal oxides and graphite. On metal surfaces the molecules initially form a chemisorbed monolayer where molecules even can be uniformly aligned when using appropriate substrates with twofold symmetry. Further deposition, however, is accompanied by a pronounced dewetting and formation of disjoined islands which results from a large structural mismatch between the molecular arrangement in the monolayer and the crystalline phase. In some cases it is possible to orient such islands by utilizing step mediated nucleation and decoration of step bunches which allows the preparation of azimuthally well oriented elongated islands. On single crystalline oxides the growth parallels the situation found before for SiO{sub 2} where islands of upright oriented molecules are formed. The growth on graphite is somewhat particular since the lattice provides a natural template for acenes yielding epitaxially ordered monolayer films with planar adsorption geometry like in case of metals. Interestingly, however, no dewetting occurs upon further growth and instead rather smooth films are formed. The detailed analysis for the case of pentacene showed that the substrate-molecule interaction actually is weaker than the intermolecular interaction so that multilayer films can lift the

  10. In situ surface and interface study of crystalline (3×1)-O on InAs

    Energy Technology Data Exchange (ETDEWEB)

    Qin, Xiaoye, E-mail: xxq102020@utdallas.edu; Wallace, Robert M., E-mail: rmwallace@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, Texas 75080 (United States); Wang, Wei-E.; Rodder, Mark S. [Advanced Logic Lab, Samsung Semiconductor, Inc., Austin, Texas 78754 (United States)

    2016-07-25

    The oxidation behavior of de-capped InAs (100) exposed to O{sub 2} gas at different temperatures is investigated in situ with high resolution of monochromatic x-ray photoelectron spectroscopy and low energy electron diffraction. The oxide chemical states and structure change dramatically with the substrate temperature. A (3 × 1) crystalline oxide layer on InAs is generated in a temperature range of 290–330 °C with a coexistence of In{sub 2}O and As{sub 2}O{sub 3}. The stability of the crystalline oxide upon the atomic layer deposition (ALD) of HfO{sub 2} is studied as well. It is found that the generated (3 × 1) crystalline oxide is stable upon ALD HfO{sub 2} growth at 100 °C.

  11. Micro-Structured Sapphire Fiber Sensors for Simultaneous Measurements of High-T and Dynamic Gas Pressure in Harsh Environments

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Hai [Clemson Univ., SC (United States); Tsai, Hai-Lung [Missouri Univ. of Science and Technology, Rolla, MO (United States); Dong, Junhang [Univ. of Cincinnati, OH (United States)

    2014-09-30

    This is the final report for the program “Micro-Structured Sapphire Fiber Sensors for Simultaneous Measurements of High Temperature and Dynamic Gas Pressure in Harsh Environments”, funded by NETL, and performed by Missouri University of Science and Technology, Clemson University and University of Cincinnati from October 1, 2009 to September 30, 2014. Securing a sustainable energy economy by developing affordable and clean energy from coal and other fossil fuels is a central element to the mission of The U.S. Department of Energy’s (DOE) National Energy Technology Laboratory (NETL). To further this mission, NETL funds research and development of novel sensor technologies that can function under the extreme operating conditions often found in advanced power systems. The main objective of this research program is to conduct fundamental and applied research that will lead to successful development and demonstration of robust, multiplexed, microstructured silica and single-crystal sapphire fiber sensors to be deployed into the hot zones of advanced power and fuel systems for simultaneous measurements of high temperature and gas pressure. The specific objectives of this research program include: 1) Design, fabrication and demonstration of multiplexed, robust silica and sapphire fiber temperature and dynamic gas pressure sensors that can survive and maintain fully operational in high-temperature harsh environments. 2) Development and demonstration of a novel method to demodulate the multiplexed interferograms for simultaneous measurements of temperature and gas pressure in harsh environments. 3) Development and demonstration of novel sapphire fiber cladding and low numerical aperture (NA) excitation techniques to assure high signal integrity and sensor robustness.

  12. Epitaxial structure and electronic property of β-Ga2O3 films grown on MgO (100) substrates by pulsed-laser deposition

    Science.gov (United States)

    Wakabayashi, Ryo; Yoshimatsu, Kohei; Hattori, Mai; Ohtomo, Akira

    2017-10-01

    We investigated heteroepitaxial growth of Si-doped Ga2O3 films on MgO (100) substrates by pulsed-laser deposition as a function of growth temperature (Tg) to find a strong correlation between the structural and electronic properties. The films were found to contain cubic γ-phase and monoclinic β-phase, the latter of which indicated rotational twin domains when grown at higher Tg. The formation of the metastable γ-phase and twin-domain structure in the stable β-phase are discussed in terms of the in-plane epitaxial relationships with a square MgO lattice, while crystallinity of the β-phase degraded monotonically with decreasing Tg. The room-temperature conductivity indicated a maximum at the middle of Tg, where the β-Ga2O3 layer was relatively highly crystalline and free from the twin-domain structure. Moreover, both crystallinity and conductivity of β-Ga2O3 films on the MgO substrates were found superior to those on α-Al2O3 (0001) substrates. A ratio of the conductivity, attained to the highest quantity on each substrate, was almost three orders of magnitude.

  13. Structural, Optical, and Electrical Characterization of β-Ga2O3 Thin Films Grown by Plasma-Assisted Molecular Beam Epitaxy Suitable for UV Sensing

    Directory of Open Access Journals (Sweden)

    Abraham Arias

    2018-01-01

    Full Text Available β-Ga2O3 thin films were grown on c-plane sapphire substrates by plasma-assisted molecular beam epitaxy. The films were grown using an elemental gallium source and oxygen supplied by an RF plasma source. Reflection high-energy electron diffraction (RHEED was used to monitor the surface quality in real time. Both in situ RHEED and ex situ X-ray diffraction confirmed the formation of single crystal β-phase films with excellent crystallinity on c-plane sapphire. Spectroscopic ellipsometry was used to determine the film thicknesses, giving values in the 11.6–18.8 nm range and the refractive index dispersion curves. UV-Vis transmittance measurements revealed that strong absorption of β-Ga2O3 starts at ∼270 nm. Top metal contacts were deposited by thermal evaporation for I-V characterization, which has been carried out in dark, as well as under visible and UV light illumination. The optical and electrical measurements showed that the grown thin films of β-Ga2O3 are excellent candidates for deep-ultraviolet detection and sensing.

  14. A Novel Method for Measurements of the Penetration Depth of MgB2 Superconductor Films by Using Sapphire Resonators with Short-Circuited Parallel Plates

    International Nuclear Information System (INIS)

    Jung, Ho Sang; Lee, J. H.; Cho, Y. H.; Lee, Sang Young; Seong, W. K.; Lee, N. H.; Kang, W. N.

    2009-01-01

    We introduce a measurement method that enables to measure the penetration depth(λ) of superconductor films by using a short-ended parallel plate sapphire resonator. Variations in the (λof MgB 2 films could be measured down to the lowest temperature using a sapphire resonator with a YBa 2 Cu 3 O 7-x film at the bottom. A model equation of λλ 0 [1-(T/T c ) τ ] -1/2 for MgB 2 films appeared to describe the observed variations of the resonant frequency of the sapphire resonator with temperature, with λ 0 , τ and T c used as the fitting parameters.

  15. Wetting behavior of molten In-Sn alloy on bulk amorphous and crystalline Cu40Zr44Al8Ag8

    International Nuclear Information System (INIS)

    Ma, G. F.; Zhang, H. F.; Li, H.; Hu, Z. Q.

    2007-01-01

    Using the sessile-drop method, the wettability of the molten In-Sn alloy on bulk amorphous and crystalline Cu 40 Zr 44 Al 8 Ag 8 alloy was studied at different temperatures. It was found that the equilibrium contact angle of In-Sn alloy melt on bulk amorphous substrate was smaller than that of the crystalline one. An intermetallic compound existed at the interface of In-Sn alloy on amorphous Cu 40 Zr 44 Al 8 Ag 8 , while no intermediate reaction layer was formed at the interface of In-Sn alloy on crystalline Cu 40 Zr 44 Al 8 Ag 8 in the temperature range studied

  16. Crystallinity of the epitaxial heterojunction of C60 on single crystal pentacene

    Science.gov (United States)

    Tsuruta, Ryohei; Mizuno, Yuta; Hosokai, Takuya; Koganezawa, Tomoyuki; Ishii, Hisao; Nakayama, Yasuo

    2017-06-01

    The structure of pn heterojunctions is an important subject in the field of organic semiconductor devices. In this work, the crystallinity of an epitaxial pn heterojunction of C60 on single crystal pentacene is investigated by non-contact mode atomic force microscopy and high-resolution grazing incidence x-ray diffraction. Analysis shows that the C60 molecules assemble into grains consisting of single crystallites on the pentacene single crystal surface. The in-plane mean crystallite size exceeds 0.1 μm, which is at least five time larger than the size of crystallites deposited onto polycrystalline pentacene thin films grown on SiO2. The results indicate that improvement in the crystal quality of the underlying molecular substrate leads to drastic promotion of the crystallinity at the organic semiconductor heterojunction.

  17. Enhancing osteogenic differentiation of MC3T3-E1 cells by immobilizing RGD onto liquid crystal substrate

    International Nuclear Information System (INIS)

    Wu, Shaopeng; Yang, Xiaohui; Li, Wenqiang; Du, Lin; Zeng, Rong; Tu, Mei

    2017-01-01

    To understand the effects of GRGDF modification on MC3T3-E1 cell behavior, we cultured these cells onto a biomimetic liquid crystalline matrix modified with GRGDF peptide (OPC-GA-RGD). Successful immobilization of GRGDF on the liquid crystalline surface was verified by fluorescent labeling, attenuated total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS). OPC-GA-RGDs retained its liquid crystalline feature after surface modification. The RGD-immobilized OPC substrate was hardly beneficial to initial cell adhesion but could support long-term cell survival. The enhancement in cell proliferation did not correlate with RGD density. The lower GRGDF density immobilized on the liquid crystalline OPC matrix (OPC-GA-RGD3) promoted cell adhesion, proliferation, ALP expression level and mineralization, suggesting that both the viscoelasticity-based mechanical stimuli and receptor/ligand-based biochemical cue synergistically modulate MC3T3-E1 cell behavior. - Highlight: • A novel type of GRGDF-immobilized liquid crystalline matrices was fabricated and served as a substrate for the in vitro culture of MC3T3-E1 cells. • The lower RGD density might provide a better condition for initial cell adhesion and proliferation, up-regulation of ALP expression levels, and mineralization. • The intrinsic liquid crystalline feature of OPC matrix, instead of RGD efficiency, promoted initial cell adhesion. • Properties of the liquid crystalline OPC matrix together with the stable receptor-ligand binging synergistically modulated MC3T3-E1 cell behavior.

  18. Enhancing osteogenic differentiation of MC3T3-E1 cells by immobilizing RGD onto liquid crystal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Shaopeng; Yang, Xiaohui; Li, Wenqiang; Du, Lin; Zeng, Rong; Tu, Mei, E-mail: tumei@jnu.edu.cn

    2017-02-01

    To understand the effects of GRGDF modification on MC3T3-E1 cell behavior, we cultured these cells onto a biomimetic liquid crystalline matrix modified with GRGDF peptide (OPC-GA-RGD). Successful immobilization of GRGDF on the liquid crystalline surface was verified by fluorescent labeling, attenuated total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS). OPC-GA-RGDs retained its liquid crystalline feature after surface modification. The RGD-immobilized OPC substrate was hardly beneficial to initial cell adhesion but could support long-term cell survival. The enhancement in cell proliferation did not correlate with RGD density. The lower GRGDF density immobilized on the liquid crystalline OPC matrix (OPC-GA-RGD3) promoted cell adhesion, proliferation, ALP expression level and mineralization, suggesting that both the viscoelasticity-based mechanical stimuli and receptor/ligand-based biochemical cue synergistically modulate MC3T3-E1 cell behavior. - Highlight: • A novel type of GRGDF-immobilized liquid crystalline matrices was fabricated and served as a substrate for the in vitro culture of MC3T3-E1 cells. • The lower RGD density might provide a better condition for initial cell adhesion and proliferation, up-regulation of ALP expression levels, and mineralization. • The intrinsic liquid crystalline feature of OPC matrix, instead of RGD efficiency, promoted initial cell adhesion. • Properties of the liquid crystalline OPC matrix together with the stable receptor-ligand binging synergistically modulated MC3T3-E1 cell behavior.

  19. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  20. Room temperature formation of high-mobility two-dimensional electron gases at crystalline complex oxide interfaces

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Bovet, N.; Kasama, Takeshi

    2014-01-01

    Well-controlled sub-unit-cell layer-bylayer epitaxial growth of spinel alumina is achieved at room temperature on a TiO2-terminated SrTiO3 single-crystalline substrate. By tailoring the interface redox reaction, 2D electron gases with mobilities exceeding 3000 cm 2 V−1 s−1 are achieved at this no...