Toward Annealing-Stable Molybdenum-Oxide-Based Hole-Selective Contacts For Silicon Photovoltaics
Essig, Stephanie
2018-02-21
Molybdenum oxide (MoOX) combines a high work function with broadband optical transparency. Sandwiched between a hydrogenated intrinsic amorphous silicon passivation layer and a transparent conductive oxide, this material allows a highly efficient hole-selective front contact stack for crystalline silicon solar cells. However, hole extraction from the Si wafer and transport through this stack degrades upon annealing at 190 °C, which is needed to cure the screen-printed Ag metallization applied to typical Si solar cells. Here, we show that effusion of hydrogen from the adjacent layers is a likely cause for this degradation, highlighting the need for hydrogen-lean passivation layers when using such metal-oxide-based carrier-selective contacts. Pre-MoOX-deposition annealing of the passivating a-Si:H layer is shown to be a straightforward approach to manufacturing MoOX-based devices with high fill factors using screen-printed metallization cured at 190 °C.
International Nuclear Information System (INIS)
Hwang, Hyun-Sik; Khang, Dahl-Young
2015-01-01
‘Smart’ (or selective) electrode for charge carriers, both electrons and holes, in organic bulk-heterojunction (BHJ) solar cells using insertion layers made of hydrophobically-recovered and contact-printed siloxane oligomers between electrodes and active material has been demonstrated. The siloxane oligomer insertion layer has been formed at a given interface simply by conformally-contacting a cured slab of polydimethylsiloxane stamp for less than 100 s. All the devices, either siloxane oligomer printed at one interface only or printed at both interfaces, showed efficiency enhancement when compared to non-printed ones. The possible mechanism that is responsible for the observed efficiency enhancement has been discussed based on the point of optimum symmetry and photocurrent analysis. Besides its simplicity and large-area applicability, the demonstrated contact-printing technique does not involve any vacuum or wet processing steps and thus can be very useful for the roll-based, continuous production scheme for organic BHJ solar cells. - Highlights: • Carrier-selective insertion layer in organic bulk heterojunction solar cells • Simple contact-printing of siloxane oligomers improves cell efficiency. • Printed siloxane layer reduces carrier recombination at electrode surfaces. • Siloxane insertion layer works equally well at both electrode surfaces. • Patterned PDMS stamp shortens the printing time within 100 s
Design rules for vertical interconnections by reverse offset printing
Kusaka, Yasuyuki; Kanazawa, Shusuke; Ushijima, Hirobumi
2018-03-01
Formation of vertical interconnections by reverse offset printing was investigated, particularly focusing on the transfer step, in which an ink pattern is transferred from a polydimethylsiloxane (PDMS) sheet for the step coverage of contact holes. We systematically examined the coverage of contact holes made of a tapered photoresist layer by varying the hole size, the hole depth, PDMS elasticity, PDMS thickness, printing speed, and printing indentation depth. Successful ink filling was achieved when the PDMS was softer, and the optimal PDMS thickness varied depending on the size of the contact holes. This behaviour is related to the bell-type uplift deformation of incompressible PDMS, which can be described by contact mechanics numerical simulations. Based on direct observation of PDMS/resist-hole contact behaviour, the step coverage of contact holes typically involves two steps of contact area growth: (i) the PDMS first touches the bottom of the holes and then (ii) the contact area gradually and radially widens toward the tapered sidewall. From an engineering perspective, it is pointed out that mechanical synchronisation mismatch in the roll-to-sheet type printing invokes the cracking of ink layers at the edges of contact holes. According to the above design rule, ink filling into a contact hole with thickness of 2.5 µm and radius of 10 µm was achieved. Contact chain patterns with 1386 points of vertical interconnections with the square hole size of up to 10 µm successfully demonstrated the validity of the technique presented herein.
Chen, Ting; Van Den Broeke, Doug; Hsu, Stephen; Park, Sangbong; Berger, Gabriel; Coskun, Tamer; de Vocht, Joep; Corcoran, Noel; Chen, Fung; van der Heijden, Eddy; Finders, Jo; Engelen, Andre; Socha, Robert
2006-03-01
Patterning contact-hole mask for Flash/DRAM is probably one of the most challenging tasks for design rule below 50nm due to the extreme low-k I printing conditions common in the memory designs. When combined with optical proximity corrections (OPC) to the mask, using optimized illumination has become a viable part of the production lithography process for 65nm node. At k Ipitch design rules. Here we use 6% attPSM mask for simulation and actual exposure in ASML XT 1400i (NA=0.93) and 1700i (NA=1.2) respectively. We begin with the illumination source optimization using full vector high-NA calculation (VHNA) with production resist stack and all manufacturability requirements for the source shaping diffractive optical element (DOE) are accounted for during the source optimization. Using the optimized source, IML TM technology based scattering bars (SB) placement together with model based OPC (MOPC) are applied to the original contact-hole design. In-focus printing and process latitude simulations are used to gauge the performance and manufacturability of the final optimized process, which includes the optimized mask, optimized source and required imaging settings. Our results show that for the 130nm pitch Flash contact-hole patterns, on ASML XT 1400i at NA=0.93, both optimized illumination source and immersion lithography are necessary in order to achieve manufacturability. The worst-case depth of focus (DOF) before SB and MOPC is 100-130nm at 6% EL, without common process window (PW) and with MOPC, the worst-case DOF is >200nm at 6% EL. The latter is in excellent agreement with the wafer results from ASML XT 1400i, and the predicated CDs match well with the measured at isolated, medium and dense pitch contact-holes to within 5nm. For the 120nm pitch Flash contact patterns, ASML XT 1700i at NA=1.2 must be used, together with optimized illumination source, to achieve the same or better process latitude (worst-case DOF at 6% EL), and for the Flash pattern used, further
Printed Wiring Board Cleaner Technologies Substitutes Assessment: Making Holes Conductive
This document presents comparative risk, competitiveness, and resource requirements on technologies for performing the “making holes conductive” function during printed wiring board manufacturing.
CD-SEM metrology of spike detection on sub-40 nm contact holes
Momonoi, Yoshinori; Osabe, Taro; Yamaguchi, Atsuko; Mclellan Martin, Erin; Koyanagi, Hajime; Colburn, Matthew E.; Torii, Kazuyoshi
2010-03-01
In this work, for the purpose of contact-hole process control, new metrics for contact-hole edge roughness (CER) are being proposed. The metrics are correlated to lithographic process variation which result in increased electric fields; a primary driver of time-dependent dielectric breakdown (TDDB). Electric field strength at the tip of spoke-shaped CER has been simulated; and new hole-feature metrics have been introduced. An algorithm for defining critical features like spoke angle, spoke length, etc has been defined. In addition, a method for identifying at-risk holes has been demonstrated. The number of spike holes can determine slight defocus conditions that are not detected though the conventional CER metrics. The newly proposed metrics can identify contact holes with a propensity for increased electric field concentration and are expected to improve contact-hole reliability in the sub-40-nm contact-hole process.
Influence of hole transport material/metal contact interface on perovskite solar cells
Lei, Lei; Zhang, Shude; Yang, Songwang; Li, Xiaomin; Yu, Yu; Wei, Qingzhu; Ni, Zhichun; Li, Ming
2018-06-01
Interfaces have a significant impact on the performance of perovskite solar cells. This work investigated the influence of hole transport material/metal contact interface on photovoltaic behaviours of perovskite solar devices. Different hole material/metal contact interfaces were obtained by depositing the metal under different conditions. High incident kinetic energy metal particles were proved to penetrate and embed into the hole transport material. These isolated metal particles in hole transport materials capture holes and increase the apparent carrier transport resistance of the hole transport layer. Sample temperature was found to be of great significance in metal deposition. Since metal vapour has a high temperature, the deposition process accumulated a large amount of heat. The heat evaporated the additives in the hole transport layer and decreased the hole conductivity. On the other hand, high temperature may cause iodization of the metal contact.
Characteristics of via-hole interconnections fabricated by using an inkjet printing method
International Nuclear Information System (INIS)
Yang, Yong Suk; You, In Kyu; Koo, Jae Bon; Lee, Sang Seok; Lim, Sang Chul; Kang, Seong Youl; Noh, Yong Young
2010-01-01
Inkjet printing is a familiar technique that creates and releases droplets of fluid on demand and precisely deposits those droplets on a substrate. It has received increased attention for its novelty and ability to produce patterned and template material structures. In the application of electronic interconnection fabrication, drop-on-demand inkjet printers especially offer the advantages of contactless printing and eliminat the use of a die or photomask. In this study, we created a via-hole interconnecting structure through a polymer insulator layer by using an inkjet printing. When the droplets of Ag ink were dropped onto a PMMA/Au/Cr/SiO 2 /Si area and the Ag film was annealed at high temperatures, the Ag ink containing solvents penetrated into the PMMA layer and generated the conducting paths between the top Ag and the bottom Au electrodes by partial dissolution and swelling of the polymer. The surface and the cross-sectional topologies of the formed via-holes were investigated by using an optical microscope and a field emission transmission electron microscope.
Measurement of contact angle in a clearance-fit pin-loaded hole
Prabhakaran, R.; Naik, R. A.
1986-01-01
A technique which measures load-contact variation in a clearance-fit, pin-loaded hole is presented in detail. A steel instrumented pin, which activates a make-or-break electrical circuit in the pin-hole contact region, was inserted into one aluminum and one polycarbonate specimen. The resulting load-contact variations are indicated schematically. The ability to accurately determine the arc of contact at any load was crucial to this measurement. It is noted that this simple experimental technique is applicable to both conducting and nonconducting materials.
Contact printed masks for 3D microfabrication in negative resists
DEFF Research Database (Denmark)
Häfliger, Daniel; Boisen, Anja
2005-01-01
We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded into the ......We present a process based on contact printed shadow masks for three dimensional microfabrication of soft and sensitive overhanging membranes in SU-8. A metal mask is transferred onto unexposed SU-8 from an elastomer stamp made of polydimethylsiloxane. This mask is subsequently embedded...... into the negative resist to protect buried material from UV-exposure. Unlike direct evaporation-deposition of a mask onto the SU-8, printing avoids high stress and radiation, thus preventing resist wrinkling and prepolymerization. We demonstrate effective monolithic fabrication of soft, 4-μm thick and 100-μm long...
Patterned Liquid Metal Contacts for Printed Carbon Nanotube Transistors.
Andrews, Joseph B; Mondal, Kunal; Neumann, Taylor V; Cardenas, Jorge A; Wang, Justin; Parekh, Dishit P; Lin, Yiliang; Ballentine, Peter; Dickey, Michael D; Franklin, Aaron D
2018-05-14
Flexible and stretchable electronics are poised to enable many applications that cannot be realized with traditional, rigid devices. One of the most promising options for low-cost stretchable transistors are printed carbon nanotubes (CNTs). However, a major limiting factor in stretchable CNT devices is the lack of a stable and versatile contact material that forms both the interconnects and contact electrodes. In this work, we introduce the use of eutectic gallium-indium (EGaIn) liquid metal for electrical contacts to printed CNT channels. We analyze thin-film transistors (TFTs) fabricated using two different liquid metal deposition techniques-vacuum-filling polydimethylsiloxane (PDMS) microchannel structures and direct-writing liquid metals on the CNTs. The highest performing CNT-TFT was realized using vacuum-filled microchannel deposition with an in situ annealing temperature of 150 °C. This device exhibited an on/off ratio of more than 10 4 and on-currents as high as 150 μA/mm-metrics that are on par with other printed CNT-TFTs. Additionally, we observed that at room temperature the contact resistances of the vacuum-filled microchannel structures were 50% lower than those of the direct-write structures, likely due to the poor adhesion between the materials observed during the direct-writing process. The insights gained in this study show that stretchable electronics can be realized using low-cost and solely solution processing techniques. Furthermore, we demonstrate methods that can be used to electrically characterize semiconducting materials as transistors without requiring elevated temperatures or cleanroom processes.
Harley, Gabriel; Smith, David D; Dennis, Tim; Waldhauer, Ann; Kim, Taeseok; Cousins, Peter John
2013-11-19
Contact holes of solar cells are formed by laser ablation to accomodate various solar cell designs. Use of a laser to form the contact holes is facilitated by replacing films formed on the diffusion regions with a film that has substantially uniform thickness. Contact holes may be formed to deep diffusion regions to increase the laser ablation process margins. The laser configuration may be tailored to form contact holes through dielectric films of varying thickness.
Fabrication of contacts for silicon solar cells including printing burn through layers
Ginley, David S; Kaydanova, Tatiana; Miedaner, Alexander; Curtis, Calvin J; Van Hest, Marinus Franciscus Antonius Maria
2014-06-24
A method for fabricating a contact (240) for a solar cell (200). The method includes providing a solar cell substrate (210) with a surface that is covered or includes an antireflective coating (220). For example, the substrate (210) may be positioned adjacent or proximate to an outlet of an inkjet printer (712) or other deposition device. The method continues with forming a burn through layer (230) on the coating (220) by depositing a metal oxide precursor (e.g., using an inkjet or other non-contact printing method to print or apply a volume of liquid or solution containing the precursor). The method includes forming a contact layer (240) comprising silver over or on the burn through layer (230), and then annealing is performed to electrically connect the contact layer (240) to the surface of the solar cell substrate (210) through a portion of the burn through layer (230) and the coating (220).
Sliding three-phase contact line of printed droplets for single-crystal arrays
International Nuclear Information System (INIS)
Kuang, Minxuan; Wu, Lei; Li, Yifan; Gao, Meng; Zhang, Xingye; Jiang, Lei; Song, Yanlin
2016-01-01
Controlling the behaviours of printed droplets is an essential requirement for inkjet printing of delicate three-dimensional (3D) structures or high-resolution patterns. In this work, molecular deposition and crystallization are regulated by manipulating the three-phase contact line (TCL) behaviour of the printed droplets. The results show that oriented single-crystal arrays are fabricated based on the continuously sliding TCL. Owing to the sliding of the TCL on the substrate, the outward capillary flow within the evaporating droplet is suppressed and the molecules are brought to the centre of the droplet, resulting in the formation of a single crystal. This work provides a facile strategy for controlling the structures of printed units by manipulating the TCL of printed droplets, which is significant for realizing high-resolution patterns and delicate 3D structures. (paper)
Simulations for printing contacts with near field x-rays
International Nuclear Information System (INIS)
Bourdillon, Antony J; Boothroyd, Chris B
2005-01-01
In ultra high resolution lithography, sometimes called near field x-ray lithography, Fresnel diffraction is deliberately used to increase resolution: the contraction in current occurring beyond a clear mask feature has, further, important experimentally beneficial effects that were previously overlooked. All the key features of the technique have, by now, been demonstrated and previously reported. The technique is also an enhancement of the most-developed next generation lithography. The enhancement has fundamental advantages, including an increase in mask-wafer Gap (the Gap scales as the square of the width of a clear mask feature); reduced exposure times; more easily fabricated masks; high density prints by multiple exposures; high contrast; elimination of sidebands; reduction in the effects of mask defects, compact masks, etc. We have, previously reported experimental and simulated prints from lines and more complex flag and bridge structures; here we report simulations for symmetrical contacts. More particularly, in the printing of circular features, it is shown that a demagnification factor around 7 can be routinely used to optimize mask-wafer Gap. Although the Gap is significantly extended by using larger clear mask features, finer prints can still be developed
Jiang, Lin; Song, Lixin; Yan, Li; Becht, Gregory; Zhang, Yi; Hoerteis, Matthias
2017-08-01
Passivated contacts can be used to reduce metal-induced recombination for higher energy conversion efficiency for silicon solar cells, and are obtained increasing attentions by PV industries in recent years. The reported thicknesses of passivated contact layers are mostly within tens of nanometer range, and the corresponding metallization methods are realized mainly by plating/evaporation technology. This high cost metallization cannot compete with the screen printing technology, and may affect its market potential comparing with the presently dominant solar cell technology. Very few works have been reported on screen printing metallization on passivated contact solar cells. Hence, there is a rising demand to realize screen printing metallization technology on this topic. In this work, we investigate applying screen printing metallization pastes on poly-silicon passivated contacts. The critical challenge for us is to build low contact resistance that can be competitive to standard technology while restricting the paste penetrations within the thin nano-scale passivated contact layers. The contact resistivity of 1.1mohm-cm2 and the open circuit voltages > 660mV are achieved, and the most appropriate thickness range is estimated to be around 80 150nm.
Fire-through Ag contact formation for crystalline Si solar cells using single-step inkjet printing.
Kim, Hyun-Gang; Cho, Sung-Bin; Chung, Bo-Mook; Huh, Joo-Youl; Yoon, Sam S
2012-04-01
Inkjet-printed Ag metallization is a promising method of forming front-side contacts on Si solar cells due to its non-contact printing nature and fine grid resolution. However, conventional Ag inks are unable to punch through the SiN(x) anti-reflection coating (ARC) layer on emitter Si surfaces. In this study, a novel formulation of Ag ink is examined for the formation of fire-through contacts on a SiN(x)-coated Si substrate using the single-step printing of Ag ink, followed by rapid thermal annealing at 800 degrees C. In order to formulate Ag inks with fire-through contact formation capabilities, a liquid etching agent was first formulated by dissolving metal nitrates in an organic solvent and then mixing the resulting solution with a commercial Ag nanoparticle ink at various volume ratios. During the firing process, the dissolved metal nitrates decomposed into metal oxides and acted in a similar manner to the glass frit contained in Ag pastes for screen-printed Ag metallization. The newly formulated ink with a 1 wt% loading ratio of metal oxides to Ag formed finely distributed Ag crystallites on the Si substrate after firing at 800 degrees C for 1 min.
International Nuclear Information System (INIS)
Park, Jongho; Kim, Beomjoon
2016-01-01
Continuous fabrication of micropatterns at low-cost is attracting attention in various applications within industrial fields. To meet such demands, we have demonstrated a roll contact printing technique, using roller type polydimethylsiloxane (PDMS) stamps with roll-to-flat and roll-to-roll stages. Roller type PDMS stamps for roll contact printing were fabricated using a custom-made metal support and SU-8 microstructures fabricated on concave substrates as a mold. The molding/casting method which we developed here provided faster and easier fabrication than conventional methods for roller type stamps. Next, roll contact printing was performed using fabricated roller type PDMS stamps with roll-to-flat and roll-to-roll stages. Patterns with minimum widths of 3 μm and 2.1 μm were continuously fabricated for each stage, respectively. In addition, the relationship between applied pressures and dimensional changes of roll contact printed patterns was investigated. Finally, we confirmed that roll contact printing and the new fabrication method for roller stamps presented in this study demonstrated the feasibility for industrial applications. (paper)
Saigusa, Hiroki; Suga, Yasuo; Miki, Norihisa
In this paper we propose non-photolithographic fabrication processes of micro-fluid channels with patterned SAMs (Self-Assembled-Monolayers). SAMs with a thiol group are micro-contact printed on a patterned Au/Ti layer, which is vapor-deposited through a shadow mask. Ti is an adhesion layer. Subsequently, the micro-channels are formed by bonding surface-activated PDMS onto the silicon substrate via a silanol group, producing a SAMs-functioned bottom wall of the micro-channel. No photolithographic processes are necessary and thus, the proposed processes are very simple, quick and low cost. The micro-reactors can have various functions associated with the micro-contact-printed SAMs. We demonstrate successful manufacturing of micro-reactors with two types of SAMs. The micro-reactor with patterned AUT (11-amino-1-undecanethiol) successfully trapped nano-particles with a carboxylic acid group, indicating that micro-contact-printed SAMs remain active after the manufacturing processes of the micro-reactor. AUT -functioned micro-channels are applicable to bioassay and to immobilize proteins for DNA arrays. ODT (1-octadecanethiol) makes surfaces hydrophobic with the methyl terminal group. When water was introduced into the micro-reactor with ODT-patterned surfaces, water droplets remained only in the hydrophilic areas where ODT was not patterned. ODT -functioned micro-channels are applicable to fluid handling.
Printed Nano Cu and NiSi Contacts and Metallization for Solar Cell Modules
Energy Technology Data Exchange (ETDEWEB)
Carmody, Michael John [Intrinsiq Materials Inc., Rochester, NY (United States)
2017-10-11
There has long been a desire to replace the front-side silver contacts in silicon solar cells. There are two driving forces to do this. First, silver is an expensive precious metal. Secondly, the process to use silver requires that it be formulated into screen print pastes that need a lead-containing glass frit, and the use of lead is forbidden in many parts of the world. Because of the difficulty in replacing these pastes and the attendant processes, lead exemptions have granted to solar cells. Copper has been the replacement metal of choice because it is significantly cheaper than silver and is very close to silver in electrical conductivity. Using processes which do not use lead, obviates it as an environmental contaminant. However, copper cannot be in contact with the silicon of the cell since it migrates through the silicon and causes defects which severely damage the efficiency of the cell. Hence, a conductive barrier must be placed between the copper and silicon and nickel, and especially nickel silicide, have been shown to be materials of choice. However, nickel must be sputtered and annealed to create the nickel silicide barrier, and copper has either been sputtered or plated. All of these processes require expensive, specialized equipment and plating uses environmentally unfriendly chemicals. Therefore, Intrinsiq proposed using printed nano nickel silicide ink (which we had previously invented) and printed nano copper ink to create these electrodes and barriers. We found that nano copper ink could be readily printed and sintered under a reducing atmosphere to give highly conductive grids. We further showed that nano nickel silicide ink could be readily jetted into grids on top of the silicon cell. It could then be annealed to create a barrier. However, it was found that the combination of printed NiSi and printed Cu did not give contact resistivity good enough to produce efficient cells. Only plated copper on top of the printed NiSi gave useful contact
Towards printed perovskite solar cells with cuprous oxide hole transporting layers
DEFF Research Database (Denmark)
Wang, Yan; Xia, Zhonggao; Liang, Jun
2015-01-01
Solution-processed p-type metal oxide materials have shown great promise in improving the stability of perovskite-based solar cells and offering the feasibility for a low cost printing fabrication process. Herein, we performed a device modeling study on planar perovskite solar cells with cuprous...... oxide (Cu2O) hole transporting layers (HTLs) by using a solar cell simulation program, wxAMPS. The performance of a Cu2O/perovskite solar cell was correlated to the material properties of the Cu2O HTL, such as thickness, carrier mobility, mid-gap defect, and doping...
Energy Technology Data Exchange (ETDEWEB)
Gajos, Katarzyna, E-mail: katarzyna.gajos@doctoral.uj.edu.pl [M. Smoluchowski Institute of Physics, Jagiellonian University, Łojasiewicza, 11, 30-348 Kraków (Poland); Budkowski, Andrzej [M. Smoluchowski Institute of Physics, Jagiellonian University, Łojasiewicza, 11, 30-348 Kraków (Poland); Tsialla, Zoi; Petrou, Panagiota [Institute of Nuclear & Radiological Sciences & Technology, Energy & Safety, NCSR Demokritos, P. Grigoriou & Neapoleos St., Aghia Paraksevi 15310, Athens (Greece); Awsiuk, Kamil; Dąbczyński, Paweł [M. Smoluchowski Institute of Physics, Jagiellonian University, Łojasiewicza, 11, 30-348 Kraków (Poland); Bernasik, Andrzej [Faculty of Physics and Applied Computer Science, AGH University of Science and Technology, Mickiewicza 30, 30-059 Kraków (Poland); Academic Centre for Materials and Nanotechnology, AGH University of Science and Technology, Mickiewicza 30, 30-059 Kraków (Poland); Rysz, Jakub [M. Smoluchowski Institute of Physics, Jagiellonian University, Łojasiewicza, 11, 30-348 Kraków (Poland); Misiakos, Konstantinos; Raptis, Ioannis [Department of Microelectronics, Institute of Nanoscience and Nanotechnology, NCSR Demokritos, P. Grigoriou & Neapoleos St., Aghia Paraksevi 15310, Athens (Greece); Kakabakos, Sotirios [Institute of Nuclear & Radiological Sciences & Technology, Energy & Safety, NCSR Demokritos, P. Grigoriou & Neapoleos St., Aghia Paraksevi 15310, Athens (Greece)
2017-07-15
Highlights: • Contact pin-printing of overlapping probe spots and spotting by hand are compared. • Contact pin-printing favors probe immobilization with two-fold higher surface density. • Incomplete monolayer develops to bilayer as printing solution concentration increases. • Blocking molecules complete probe monolayer but reduce probe bilayer. • Surface immunoreaction increases with probe concentration in printing solution. - Abstract: Mass fabrication of integrated biosensors on silicon chips is facilitated by contact pin-printing, applied for biofunctionalization of individual Si{sub 3}N{sub 4}-based transducers at wafer-scale. To optimize the biofunctionalization for immunochemical (competitive) detection of fungicide thiabendazole (TBZ), Si{sub 3}N{sub 4} surfaces are modified with (3-aminopropyl)triethoxysilane and examined after: immobilization of BSA-TBZ conjugate (probe) from solutions with different concentration, blocking with bovine serum albumin (BSA), and immunoreaction with a mouse monoclonal antibody against TBZ. Nanostructure, surface density, probe composition and coverage uniformity of protein layers are evaluated with Atomic Force Microscopy, Spectroscopic Ellipsometry, Time-of-Flight Secondary Ion Mass Spectrometry and X-ray Photoelectron Spectroscopy. Contact pin-printing of overlapping probe spots is compared with hand spotted areas. Contact pin-printing resulted in two-fold increase of immobilized probe surface density as compared to hand spotting. Regarding BSA-TBZ immobilization, an incomplete monolayer develops into a bilayer as the concentration of BSA-TBZ molecules in the printing solution increases from 25 to 100 μg/mL. Upon blocking, however, a complete protein monolayer is formed for all the BSA-TBZ concentrations used. Free surface sites are filled with BSA for low surface coverage with BSA-TBZ, whereas loosely bound BSA-TBZ molecules are removed from the BSA-TBZ bilayer. As a consequence immunoreaction efficiency
The formation mechanism for printed silver-contacts for silicon solar cells.
Fields, Jeremy D; Ahmad, Md Imteyaz; Pool, Vanessa L; Yu, Jiafan; Van Campen, Douglas G; Parilla, Philip A; Toney, Michael F; van Hest, Maikel F A M
2016-04-01
Screen-printing provides an economically attractive means for making Ag electrical contacts to Si solar cells, but the use of Ag substantiates a significant manufacturing cost, and the glass frit used in the paste to enable contact formation contains Pb. To achieve optimal electrical performance and to develop pastes with alternative, abundant and non-toxic materials, a better understanding the contact formation process during firing is required. Here, we use in situ X-ray diffraction during firing to reveal the reaction sequence. The findings suggest that between 500 and 650 °C PbO in the frit etches the SiNx antireflective-coating on the solar cell, exposing the Si surface. Then, above 650 °C, Ag(+) dissolves into the molten glass frit - key for enabling deposition of metallic Ag on the emitter surface and precipitation of Ag nanocrystals within the glass. Ultimately, this work clarifies contact formation mechanisms and suggests approaches for development of inexpensive, nontoxic solar cell contacting pastes.
Mujawar, L.H.; Norde, W.; Amerongen, van A.
2013-01-01
Non-contact inkjet printing technology is one of the most promising tools for producing microarrays. The quality of the microarray depends on the type of the substrate used for printing biomolecules. Various porous and non-porous substrates have been used in the past, but due to low production cost
Electro-optical properties of a polymer light-emitting diode with an injection-limited hole contact
van Woudenbergh, T; Blom, PWM; Huiberts, JN
2003-01-01
The electro-optical characteristics of a polymer light-emitting diode with a strongly reduced hole injection have been investigated. A silver contact on poly-dialkoxy-p-phenylene vinylene decreases the hole injection by five orders of magnitude, resulting in both a highly reduced light output and
Third-order particle-hole ring diagrams with contact-interactions and one-pion exchange
Energy Technology Data Exchange (ETDEWEB)
Kaiser, N. [Technische Universitaet Muenchen, Physik-Department T39, Garching (Germany)
2017-05-15
The third-order particle-hole ring diagrams are evaluated for a NN-contact interaction of the Skyrme type. The pertinent four-loop coefficients in the energy per particle anti E(k{sub f}) ∝ k{sub f}{sup 5+2n} are reduced to double integrals over cubic expressions in Euclidean polarization functions. Dimensional regularization of divergent integrals is performed by subtracting power divergences and the validity of this method is checked against the known analytical results at second order. The complete O(p{sup 2}) NN-contact interaction is obtained by adding two tensor terms and their third-order ring contributions are also calculated in detail. The third-order ring energy arising from long-range 1π-exchange is computed and it is found that direct and exchange contributions are all attractive. The very large size of the three-ring energy due to point-like 1π-exchange, anti E(k{sub f0}) ≅ -92 MeV at saturation density, is however in no way representative for that of realistic chiral NN-potentials. Moreover, the third-order (particle-particle and hole-hole) ladder diagrams are evaluated with the full O(p{sup 2}) contact interaction, and the simplest three-ring contributions to the isospin-asymmetry energy A(k{sub f}) ∝ k{sub f}{sup 5} are studied. (orig.)
Ahmad, Samir Mahmmod; Leong, Cheow Siu; Sopian, K.; Zaidi, Saleem H.
2018-03-01
Formation of an Ohmic contact requires a suitable firing temperature, appropriate doping profile, and contact dimensions within resolution limits of the screen-printing process. In this study, the role of the peak firing temperature in standard rapid thermal annealing (RTA) six-zone conveyor belt furnace (CBF) and two inexpensive alternate RTA systems [a custom-designed, three-zone, 5″-diameter quartz tube furnace (QTF) and a tabletop, 3″-diameter rapid thermal processing (RTP)] has been investigated. In addition, the role of sheet resistance and contact area in achieving low-resistance ohmic contacts has been examined. Electrical measurements of ohmic contacts between silver paste/ n +-emitter layer with varying sheet resistances and aluminum paste/ p-doped wafer were carried out in transmission line method configuration. Experimental measurements of the contact resistivity ( ρ c) exhibited the lowest values for CBF at 0.14 mΩ cm2 for Ag and 100 mΩ cm2 for Al at a peak firing temperature of 870°C. For the QTF configuration, lowest measured contact resistivities were 3.1 mΩ cm2 for Ag and 74.1 mΩ cm2 for Al at a peak firing temperature of 925°C. Finally, for the RTP configuration, lowest measured contact resistivities were 1.2 mΩ cm2 for Ag and 68.5 mΩ cm2 for Al at a peak firing temperature of 780°C. The measured contact resistivity exhibits strong linear dependence on sheet resistance. The contact resistivity for Ag decreases with contact area, while for Al the opposite behavior is observed.
Observation of fracture behavior of 3-D printed specimens under rolling contact fatigue in water
Directory of Open Access Journals (Sweden)
Mizobe Koshiro
2017-01-01
Full Text Available Polymer bearing was widely used in the corrosive conditions because of its high corrosion durability. The polymer bearing had been formed using molding and machining until the new 3-D printing method was developed. In this study, we performed the rolling contact fatigue tests of the 3-D printed specimens in water and observed the fracture behaviour of the specimens. We found that the surface cracks are related to both the rolling direction and the lamination directions.
Line printing solution-processable small molecules with uniform surface profile via ink-jet printer.
Liu, Huimin; Xu, Wei; Tan, Wanyi; Zhu, Xuhui; Wang, Jian; Peng, Junbiao; Cao, Yong
2016-03-01
Line printing offers a feasible approach to remove the pixel well structure which is widely used to confine the ink-jet printed solution. In the study, a uniform line is printed by an ink-jet printer. To achieve a uniform surface profile of the printed line, 10vol% low-volatile solvent DMA (3,4-Dimethylanisole) is mixed with high-volatile solvent Pxy (p-xylene) as the solvent. After a solution-processable small molecule is dissolved, the surface tension of DMA solution becomes lower than that of Pxy solution, which creates an inward Marangoni flow during the solvent evaporation. The inward Marangoni flow balances out the outward capillary flow, thereby forming a flat film surface. The line width of the printed line depends on the contact angle of the solution on the hole injection layer. Copyright © 2015 Elsevier Inc. All rights reserved.
Amorphous germanium as an electron or hole blocking contact on high-purity germanium detectors
International Nuclear Information System (INIS)
Hansen, W.L.; Haller, E.E.
1976-10-01
Experiments were performed in an attempt to make thin n + contacts on high-purity germanium by the solid phase/sup 1)/ epitaxial regrowth of arsenic doped amorphous germanium. After cleaning the crystal surface with argon sputtering and trying many combinations of layers, it was not found possible to induce recrystallization below 400 0 C. However, it was found that simple thermally evaporated amorphous Ge made fairly good electron or hole blocking contacts. Excellent spectrometers have been made with amorphous Ge replacing the n + contact. As presently produced, the amorphous Ge contact diodes show a large variation in high-voltage leakage current
Chen, Sun-Zen; Peng, Shiang-Hau; Ting, Tzu-Yu; Wu, Po-Shien; Lin, Chun-Hao; Chang, Chin-Yeh; Shyue, Jing-Jong; Jou, Jwo-Huei
2012-10-01
We demonstrate the feasibility of using direct contact-printing in the fabrication of monochromatic and polychromatic organic light-emitting diodes (OLEDs). Bright devices with red, green, blue, and white contact-printed light-emitting layers with a respective maximum luminance of 29 000, 29 000, 4000, and 18 000 cd/m2 were obtained with sound film integrity by blending a polymeric host into a molecular host. For the red OLED as example, the maximum luminance was decreased from 29 000 to 5000 cd/m2 as only the polymeric host was used, or decreased to 7000 cd/m2 as only the molecular host was used. The markedly improved device performance achieved in the devices with blended hosts may be attributed to the employed polymeric host that contributed a good film-forming character, and the molecular host that contributed a good electroluminescence character.
Gajos, Katarzyna; Budkowski, Andrzej; Tsialla, Zoi; Petrou, Panagiota; Awsiuk, Kamil; Dąbczyński, Paweł; Bernasik, Andrzej; Rysz, Jakub; Misiakos, Konstantinos; Raptis, Ioannis; Kakabakos, Sotirios
2017-07-01
Mass fabrication of integrated biosensors on silicon chips is facilitated by contact pin-printing, applied for biofunctionalization of individual Si3N4-based transducers at wafer-scale. To optimize the biofunctionalization for immunochemical (competitive) detection of fungicide thiabendazole (TBZ), Si3N4 surfaces are modified with (3-aminopropyl)triethoxysilane and examined after: immobilization of BSA-TBZ conjugate (probe) from solutions with different concentration, blocking with bovine serum albumin (BSA), and immunoreaction with a mouse monoclonal antibody against TBZ. Nanostructure, surface density, probe composition and coverage uniformity of protein layers are evaluated with Atomic Force Microscopy, Spectroscopic Ellipsometry, Time-of-Flight Secondary Ion Mass Spectrometry and X-ray Photoelectron Spectroscopy. Contact pin-printing of overlapping probe spots is compared with hand spotted areas. Contact pin-printing resulted in two-fold increase of immobilized probe surface density as compared to hand spotting. Regarding BSA-TBZ immobilization, an incomplete monolayer develops into a bilayer as the concentration of BSA-TBZ molecules in the printing solution increases from 25 to 100 μg/mL. Upon blocking, however, a complete protein monolayer is formed for all the BSA-TBZ concentrations used. Free surface sites are filled with BSA for low surface coverage with BSA-TBZ, whereas loosely bound BSA-TBZ molecules are removed from the BSA-TBZ bilayer. As a consequence immunoreaction efficiency increases with the printing probe concentration.
Detection and Control of Spin-Orbit Interactions in a GaAs Hole Quantum Point Contact
Srinivasan, A.; Miserev, D. S.; Hudson, K. L.; Klochan, O.; Muraki, K.; Hirayama, Y.; Reuter, D.; Wieck, A. D.; Sushkov, O. P.; Hamilton, A. R.
2017-04-01
We investigate the relationship between the Zeeman interaction and the inversion-asymmetry-induced spin-orbit interactions (Rashba and Dresselhaus SOIs) in GaAs hole quantum point contacts. The presence of a strong SOI results in the crossing and anticrossing of adjacent spin-split hole subbands in a magnetic field. We demonstrate theoretically and experimentally that the anticrossing energy gap depends on the interplay between the SOI terms and the highly anisotropic hole g tensor and that this interplay can be tuned by selecting the crystal axis along which the current and magnetic field are aligned. Our results constitute the independent detection and control of the Dresselhaus and Rashba SOIs in hole systems, which could be of importance for spintronics and quantum information applications.
Printed paper and board food contact materials as a potential source of food contamination.
Van Bossuyt, Melissa; Van Hoeck, Els; Vanhaecke, Tamara; Rogiers, Vera; Mertens, Birgit
2016-11-01
Food contact materials (FCM) are estimated to be the largest source of food contamination. Apart from plastics, the most commonly used FCM are made of printed paper and board. Unlike their plastic counterparts, these are not covered by a specific European regulation. Several contamination issues have raised concerns towards potential adverse health effects caused by exposure to substances migrating from printed paper and board FCM. In the current study, an inventory combining the substances which may be used in printed paper and board FCM, was created. More than 6000 unique compounds were identified, the majority (77%) considered non-evaluated in terms of potential toxicity. Based on a preliminary study of their physicochemical properties, it is estimated that most of the non-evaluated single substances have the potential to migrate into the food and become bioavailable after oral intake. Almost all are included in the FACET tool, indicating that their use in primary food packaging has been confirmed by industry. Importantly, 19 substances are also present in one of the lists with substances of concern compiled by the European Chemicals Agency (ECHA). To ensure consumer safety, the actual use of these substances in printed paper and board FCM should be investigated urgently. Copyright © 2016 Elsevier Inc. All rights reserved.
Transport Properties of an Electron-Hole Bilayer in Contact with a Superconductor Hybrid Junction
Bercioux, D.; Klapwijk, T. M.; Bergeret, F. S.
2017-08-01
We investigate the transport properties of a junction consisting of an electron-hole bilayer in contact with normal and superconducting leads. The electron-hole bilayer is considered as a semimetal with two electronic bands. We assume that in the region between the contacts the system hosts an exciton condensate described by a BCS-like model with a gap Γ in the quasiparticle density of states. We first discuss how the subgap electronic transport through the junction is mainly governed by the interplay between two kinds of reflection processes at the interfaces: the standard Andreev reflection at the interface between the superconductor and the exciton condensate, and a coherent crossed reflection at the semimetal-exciton-condensate interface that converts electrons from one layer into the other. We show that the differential conductance of the junction shows a minimum at voltages of the order of Γ /e . Such a minimum can be seen as a direct hallmark of the existence of the gapped excitonic state.
An investigation of highly accurate and precise robotic hole measurements using non-contact devices
Directory of Open Access Journals (Sweden)
Usman Zahid
2016-01-01
Full Text Available Industrial robots arms are widely used in manufacturing industry because of their support for automation. However, in metrology, robots have had limited application due to their insufficient accuracy. Even using error compensation and calibration methods, robots are not effective for micrometre (μm level metrology. Non-contact measurement devices can potentially enable the use of robots for highly accurate metrology. However, the use of such devices on robots has not been investigated. The research work reported in this paper explores the use of different non-contact measurement devices on an industrial robot. The aim is to experimentally investigate the effects of robot movements on the accuracy and precision of measurements. The focus has been on assessing the ability to accurately measure various geometric and surface parameters of holes despite the inherent inaccuracies of industrial robot. This involves the measurement of diameter, roundness and surface roughness. The study also includes scanning of holes for measuring internal features such as start and end point of a taper. Two different non-contact measurement devices based on different technologies are investigated. Furthermore, effects of eccentricity, vibrations and thermal variations are also assessed. The research contributes towards the use of robots for highly accurate and precise robotic metrology.
Reduced contact resistance of a-IGZO thin film transistors with inkjet-printed silver electrodes
Chen, Jianqiu; Ning, Honglong; Fang, Zhiqiang; Tao, Ruiqiang; Yang, Caigui; Zhou, Yicong; Yao, Rihui; Xu, Miao; Wang, Lei; Peng, Junbiao
2018-04-01
In this study, high performance amorphous In–Ga–Zn–O (a-IGZO) TFTs were successfully fabricated with inkjet-printed silver source-drain electrodes. The results showed that increased channel thickness has an improving trend in the properties of TFTs due to the decreased contact resistance. Compared with sputtered silver TFTs, devices with printed silver electrodes were more sensitive to the thickness of active layer. Furthermore, the devices with optimized active layer showed high performances with a maximum saturation mobility of 8.73 cm2 · V‑1 · S‑1 and an average saturation mobility of 6.97 cm2 · V‑1 · S‑1, I on/I off ratio more than 107 and subthreshold swing of 0.28 V/decade, which were comparable with the analogous devices with sputtered electrodes.
Energy Technology Data Exchange (ETDEWEB)
Ballif, C. [Fraunhofer ISE, Laboratory and Service Center Gelsenkirchen (Germany); Huljic, D.M.; Willeke, G. [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Hessler-Wyser, A. [Swiss Federal Inst. of Technology, CIME, Lausanne (Switzerland)
2002-07-01
As screen printed contacts are the predominant metallisation technique in industrial production of Si solar cells, a better understanding of their properties is necessary. In this work, we show that high-quality cross-sectional samples can be prepared, whose study by transmission electron microscopy (TEM) reveals precisely the structure of the contact between the silver fingers and the Si. On diffused [100] Si wafers, direct firing of an Ag paste results in interfaces which are mainly composed of shaped Ag crystallites penetrating the emitter up to 120 nm. These crystallites are in epitaxial relation with the Sl substrate. When firing the contacts through a SiN{sub x} layer, larger Ag crystallites are present at the interface with Si and the orientation relation is lost. In both cases, high resolution TEM imaging and EDX analyses reveal a crystalline Ag/Si interface, where neither oxide nor glass frit can be detected. The presence of a significant glass frit layer between the Ag crystallites contacting the Si and the large Ag grains forming the bulk of the fingers can partly explain why lowly doped emitters are difficult to contact by screen-printing. (orig.)
A fiber-optic technique for the measurement of contact angle in a clearance-fit pin-loaded hole
Prabhakaran, R.; Naik, R. A.
1987-01-01
A fiber-optic technique for measuring contact angle during pin loading of a specimen is proposed. The experimental design and procedures for loading a 49.8-mm-diameter instrumented pin into an quasi-isotropic graphite-epoxy specimen are described. The optical fiber was located just above the surface of the pin outer diameter in order to obtain accurate pin-hole contact-angle measurements at increasing load levels. The movement of the optical fiber through the no-contact, contact, and no-contact regions is discussed; the photodiode output decreased monotonically as the fiber moved from the no-contact to the contact region and then decreased monotonically as the fiber moved from the contact region to the no-contact region. Variations in the contact angle measurements are examined as function of applied load level. The measurements are compared to contact angle values obtained using a finite element analysis and an electrical technique; it is determined that the data correlate well.
A review of non-contact micro- and nano-printing technologies
International Nuclear Information System (INIS)
Ru, Changhai; Sun, Yu; Luo, Jun; Xie, Shaorong
2014-01-01
Printing technologies have undergone signficant development because they are an enabler in science and engineering research; they also have significant practical applications in manufacturing. Micro- and nano-printing techniques have found a number of applications in electronics, biotechnology, and material synthesis/patterning. In this review, we look at the important printing methods, including high precision traditional printing methods as well as recently emerging techniques. We also discuss the materials that are printable by these technologies, the challenges for future development, and the applications of micro- and nano-printing. (topical review)
A review of non-contact micro- and nano-printing technologies
Ru, Changhai; Luo, Jun; Xie, Shaorong; Sun, Yu
2014-05-01
Printing technologies have undergone signficant development because they are an enabler in science and engineering research; they also have significant practical applications in manufacturing. Micro- and nano-printing techniques have found a number of applications in electronics, biotechnology, and material synthesis/patterning. In this review, we look at the important printing methods, including high precision traditional printing methods as well as recently emerging techniques. We also discuss the materials that are printable by these technologies, the challenges for future development, and the applications of micro- and nano-printing.
Directory of Open Access Journals (Sweden)
R. Woehl
2008-01-01
Full Text Available One main efficiency loss in industrial solar cells is the shading of the cell caused by the metal front side contacts. With the aerosol-printing technique plus an additional light-induced plating (LIP step, not only is the geometrical contact width narrowed compared to screen-printed contacts but also the shape of the finger changes. In this work, the effective shading of different finger types is analysed with two different measurement methods. The essential parameter for characterising the finger is the effective width which can be reduced drastically compared to the geometrical width due to total internal reflection at the glass-air layer and the reflection from the roundish edges of the contact fingers into the cell. This parameter was determined with different methods. It could be shown that for aerosol-printed fingers the effective (optical width is only 38% of its geometrical width, while for standard screen-printed fingers it is 47%. The measured values are compared to a theoretical model for an aerosol-printed and plated finger and are in good agreement.
[Determination of photoinitiators in printing inks used in food contact materials].
Han, Wei; Yu, Yanjun; Li, Ningtao; Wang, Libing
2011-05-01
A new analytical method based on gas chromatography-mass spectrometry (GC-MS) techniques was developed for the determination of five photoinitiators (PIs), benzophenone (BP), 4-methylbenzophenone (MBP), ethyl-4-dimethylaminobenzoate (EDAB), 2-ethylhexyl-4-dimethylaminobenzoate (EHDAB) and 1-hydroxycyclohexyl phenyl ketone (Irgacure 184), in the printing inks used in food contact materials. The test solutions were extracted from selected food contact materials using Soxhlet extractor with ethyl acetate as the extraction solvent. By adding 50 and 200 microg/L of a standard mixture of photoinitiators into the extracts of the blank packaging materials, the recoveries obtained were in the range of 66.7%-89.4%. The repeatability of the method was assessed by determining the contents of the photoinitiators in five types of food contact materials, and the results were lower than 10%. The instrumental detection limits (IDLs) and method quantification limits (MQLs) were in the range of 2.9-6.0 microg/L and 0.0017-0.0036 mg/dm2, respectively. The method was applied in the analysis of about twenty real samples (yogurt carton, milk carton, fruit juice carton and plastic bags samples). The most significant pollutants were BP and MBP. The concentrations of Irgacure 184, EDAB and EHDAB found in three individual samples were 0.84 mg/dm2, 0.2 mg/dm2 and 1.2 mg/dm2, respectively. The work proposed a new method to analyze the migration level of initiators from the inks.
International Nuclear Information System (INIS)
Veltsistas, Panayotis G.; Prodromidis, Mamas I.; Efstathiou, Constantinos
2004-01-01
The development of all-solid-state potentiometric ion selective electrodes for monitoring of ascorbic acid, by using a screen-printed compatible solid contact is described. The applied methodology is based on the use of PVC membrane modified with some firstly-tested ionophores (triphenyltin(IV)chloride, triphenyltin(IV)hydroxide and palmitoyl-L-ascorbic acid) and a novel one synthesized in our laboratory (dibutyltin(IV) diascorbate). Synthesis protocol and some preliminary identification studies are given. A conductive graphite-based polymer thick film ink was used as an internal solid contact between the graphite electrode and the PVC membrane. The presence and the nature of the solid contact (plain or doped with lanthanum 2,6-dichlorophenolindophenol (DCPI)) seem to enhance the analytical performance of the electrodes in terms of sensitivity, dynamic range, and response time. The analytical performance of the constructed electrodes was evaluated with potentiometry, constant-current chronopotentiometry and electrochemical impedance spectroscopy (EIS). The interference effect of various compounds was also tested. The potential response of the optimized Ph 3 SnCl-based electrode was linear against ascorbic acid concentration range 0.005-5.0 mM. The applicability of the proposed sensors in real samples was also tested. The detection limit was 0.002 mM ascorbic acid (50 mM phosphate, pH 5 in 50 mM KCl). The slope of the electrodes was super-Nernstian and pH dependent, indicating a mechanism involving a combination of charge transfer and ion exchange processes. Fabrication of screen-printed ascorbate ISEs has also been demonstrated
Series Resistance Analysis of Passivated Emitter Rear Contact Cells Patterned Using Inkjet Printing
Directory of Open Access Journals (Sweden)
Martha A. T. Lenio
2012-01-01
Full Text Available For higher-efficiency solar cell structures, such as the Passivated Emitter Rear Contact (PERC cells, to be fabricated in a manufacturing environment, potentially low-cost techniques such as inkjet printing and metal plating are desirable. A common problem that is experienced when fabricating PERC cells is low fill factors due to high series resistance. This paper identifies and attempts to quantify sources of series resistance in inkjet-patterned PERC cells that employ electroless or light-induced nickel-plating techniques followed by copper light-induced plating. Photoluminescence imaging is used to determine locations of series resistance losses in these inkjet-patterned and plated PERC cells.
Photooxidation stability of microcapsules in thermochromic prints
Directory of Open Access Journals (Sweden)
Mirela Rozic
2018-03-01
Full Text Available In this paper, photochemical stability of two thermochromic prints was investigated: vegetable oil based offset and UV curing screen printing ink. The obtained preliminary results can be used for further detailed examination of prints stability. It is well known that thermochromic printing inks are very unstabile when exsposed to UV irradiance and this is why they are mainly used for applications that are not directly exposed to sunlight. The results of the study show the heterogeneous nature of photooxidative degradation of thermochromic prints, and the opposite behaviour of photooxidation can be noticed comparing examined prints. Microcapsules in the UV curable screen print by fixation with polar polymer binder can create a new products stable to photoxidation. For this reason, the areas where the microcapsules and binder are bonded together are stable. Degraded only areas where binder is not related to microcapsules. Microcapsules in offset print do not have the ability to create new stabile forms due to smaller polarity and different chemical composition of the offset oxidized binder. In the offset print, the microcapsules are the least photooxidative stable and also cause lower photooxidative stability of the binder in contact with them. Cavities are formed in the areas where microcapsules are in contact with the binder, while the areas in which the binder is not in contact with microcapsules are not degraded.
High efficient plastic solar cells fabricated with a high-throughput gravure printing method
Energy Technology Data Exchange (ETDEWEB)
Kopola, P.; Jin, H.; Tuomikoski, M.; Maaninen, A.; Hast, J. [VTT, Kaitovaeylae 1, FIN-90571 Oulu (Finland); Aernouts, T. [IMEC, Organic PhotoVoltaics, Polymer and Molecular Electronics, Kapeldreef 75, B-3001 Leuven (Belgium); Guillerez, S. [CEA-INES RDI, 50 Avenue Du Lac Leman, 73370 Le Bourget Du Lac (France)
2010-10-15
We report on polymer-based solar cells prepared by the high-throughput roll-to-roll gravure printing method. The engravings of the printing plate, along with process parameters like printing speed and ink properties, are studied to optimise the printability of the photoactive as well as the hole transport layer. For the hole transport layer, the focus is on testing different formulations to produce thorough wetting of the indium-tin-oxide (ITO) substrate. The challenge for the photoactive layer is to form a uniform layer with optimal nanomorphology in the poly-3-hexylthiophene (P3HT) and [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) blend. This results in a power conversion efficiency of 2.8% under simulated AM1.5G solar illumination for a solar cell device with gravure-printed hole transport and a photoactive layer. (author)
Energy Technology Data Exchange (ETDEWEB)
Lenio, Martha A.T. [REC Technology US, Inc., 1159 Triton Dr., Foster City, CA 94301 (United States); Lennon, A.J.; Ho-Baillie, A.; Wenham, S.R. [ARC Photovoltaics Centre of Excellence, University of NSW, Sydney, NSW 2052 (Australia)
2010-12-15
Many existing and emerging solar cell technologies rely on plated metal to form the front surface contacts, and aluminium to form the rear contact. Interactions between the metal plating solutions and the aluminium rear can have a significant impact on cell performance. This paper describes non-uniform nickel deposition on the sintered aluminium rear surface of passivated emitter and rear contacted (PERC) cells patterned using an inkjet printing technique. Rather than being plated homogeneously over the entire rear surface as is observed on an alloyed aluminium rear, the nickel is plated only in the vicinity of the point openings in the rear surface silicon dioxide dielectric layer. Furthermore, this non-uniform nickel deposition was shown to increase the contact resistance of the rear point contacts by an order of magnitude, resulting in higher series resistance values for these fabricated PERC cells. (author)
Tunable inkjet-printed slotted waveguide antenna on a ferrite substrate
Nafe, Ahmed; Farooqui, Muhammad; Shamim, Atif
2015-01-01
In this work an inkjet-printed frequency-tunable slotted waveguide antenna on a ferrite substrate is reported. Unlike the typical substrate integrated waveguide approach with via holes, a true 3D rectangular waveguide is realized by inkjet-printing
Measuring contact area in a sliding human finger-pad contact.
Liu, X; Carré, M J; Zhang, Q; Lu, Z; Matcher, S J; Lewis, R
2018-02-01
The work outlined in this paper was aimed at achieving further understanding of skin frictional behaviour by investigating the contact area between human finger-pads and flat surfaces. Both the static and the dynamic contact areas (in macro- and micro-scales) were measured using various techniques, including ink printing, optical coherence tomography (OCT) and Digital Image Correlation (DIC). In the studies of the static measurements using ink printing, the experimental results showed that the apparent and the real contact area increased with load following a piecewise linear correlation function for a finger-pad in contact with paper sheets. Comparisons indicated that the OCT method is a reliable and effective method to investigate the real contact area of a finger-pad and allow micro-scale analysis. The apparent contact area (from the DIC measurements) was found to reduce with time in the transition from the static phase to the dynamic phase while the real area of contact (from OCT) increased. The results from this study enable the interaction between finger-pads and contact object surface to be better analysed, and hence improve the understanding of skin friction. © 2017 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.
International Nuclear Information System (INIS)
Pace, Giuseppina; Grimoldi, Andrea; Sampietro, Marco; Natali, Dario; Caironi, Mario
2015-01-01
Photodetectors convert light pulses into electrical signals and are fundamental building blocks for any opto-electronic system adopting light as a probe or information carrier. They have widespread technological applications, from telecommunications to sensors in industrial, medical and civil environments. Further opportunities are plastic short-range communications systems, interactive large-area surfaces and light-weight, flexible, digital imagers. These applications would greatly benefit from the cost-effective fabrication processes enabled by printing technology. While organic semiconductors are the most investigated materials for printed photodetectors, and are the main focus of the present review, there are notable examples of other inorganic or hybrid printable semiconductors for opto-electronic systems, such as quantum-dots and nanowires. Here we propose an overview on printed photodetectors, including three-terminal phototransistors. We first give a brief account of the working mechanism of these light sensitive devices, and then we review the recent progress achieved with scalable printing techniques such as screen-printing, inkjet and other non-contact technologies in the development of all-printed or hybrid systems. (paper)
Pace, Giuseppina; Grimoldi, Andrea; Sampietro, Marco; Natali, Dario; Caironi, Mario
2015-10-01
Photodetectors convert light pulses into electrical signals and are fundamental building blocks for any opto-electronic system adopting light as a probe or information carrier. They have widespread technological applications, from telecommunications to sensors in industrial, medical and civil environments. Further opportunities are plastic short-range communications systems, interactive large-area surfaces and light-weight, flexible, digital imagers. These applications would greatly benefit from the cost-effective fabrication processes enabled by printing technology. While organic semiconductors are the most investigated materials for printed photodetectors, and are the main focus of the present review, there are notable examples of other inorganic or hybrid printable semiconductors for opto-electronic systems, such as quantum-dots and nanowires. Here we propose an overview on printed photodetectors, including three-terminal phototransistors. We first give a brief account of the working mechanism of these light sensitive devices, and then we review the recent progress achieved with scalable printing techniques such as screen-printing, inkjet and other non-contact technologies in the development of all-printed or hybrid systems.
Detection of latent prints by Raman imaging
Lewis, Linda Anne [Andersonville, TN; Connatser, Raynella Magdalene [Knoxville, TN; Lewis, Sr., Samuel Arthur
2011-01-11
The present invention relates to a method for detecting a print on a surface, the method comprising: (a) contacting the print with a Raman surface-enhancing agent to produce a Raman-enhanced print; and (b) detecting the Raman-enhanced print using a Raman spectroscopic method. The invention is particularly directed to the imaging of latent fingerprints.
Noda, Kei; Wada, Yasuo; Toyabe, Toru
2015-10-28
Effects of contact-area-limited doping for pentacene thin-film transistors with a bottom-gate, top-contact configuration were investigated. The increase in the drain current and the effective field-effect mobility was achieved by preparing hole-doped layers underneath the gold contact electrodes by coevaporation of pentacene and 2,3,5,6-tetrafluoro-7,7,8,8-tetracyanoquinodimethane (F4TCNQ), confirmed by using a thin-film organic transistor advanced simulator (TOTAS) incorporating Schottky contact with a thermionic field emission (TFE) model. Although the simulated electrical characteristics fit the experimental results well only in the linear regime of the transistor operation, the barrier height for hole injection and the gate-voltage-dependent hole mobility in the pentacene transistors were evaluated with the aid of the device simulation. This experimental data analysis with the simulation indicates that the highly-doped semiconducting layers prepared in the contact regions can enhance the charge carrier injection into the active semiconductor layer and concurrent trap filling in the transistor channel, caused by the mitigation of a Schottky energy barrier. This study suggests that both the contact-area-limited doping and the device simulation dealing with Schottky contact are indispensable in designing and developing high-performance organic thin-film transistors.
International Nuclear Information System (INIS)
Jeon, Eun Beom; Kim, Hak Sung; Takahashi, Kosuke
2014-01-01
An addressable conducting network (ACN) makes it possible to monitor the condition of a structure using the electrical resistance between electrodes on the surface of a carbon fiber reinforced plastics (CFRP) structure. To improve the damage detection reliability of the ACN, the contact resistances between the electrodes and CFRP laminates needs to be minimized. In this study, silver nanoparticle electrodes were fabricated via printed electronics techniques on a CFRP composite. The contact resistance between the silver electrodes and CFRP were measured with respect to various fabrication conditions such as the sintering temperature of the silver nano-ink and the surface roughness of the CFRP laminates. The interfaces between the silver electrode and carbon fibers were observed using a scanning electron microscope (SEM). Based on this study, it was found that the lowest contact resistance of 0.3664Ω could be achieved when the sintering temperature of the silver nano-ink and surface roughness were 120 degree C and 0.230 a, respectively.
Burkert, Klaus; Neumann, Thomas; Wang, Jianjun; Jonas, Ulrich; Knoll, Wolfgang; Ottleben, Holger
2007-03-13
Photonic crystals and photonic band gap materials with periodic variation of the dielectric constant in the submicrometer range exhibit unique optical properties such as opalescence, optical stop bands, and photonic band gaps. As such, they represent attractive materials for the active elements in sensor arrays. Colloidal crystals, which are 3D gratings leading to Bragg diffraction, are one potential precursor of such optical materials. They have gained particular interest in many technological areas as a result of their specific properties and ease of fabrication. Although basic techniques for the preparation of regular patterns of colloidal crystals on structured substrates by self-assembly of mesoscopic particles are known, the efficient fabrication of colloidal crystal arrays by simple contact printing has not yet been reported. In this article, we present a spotting technique used to produce a microarray comprising up to 9600 single addressable sensor fields of colloidal crystal structures with dimensions down to 100 mum on a microfabricated substrate in different formats. Both monodisperse colloidal crystals and binary colloidal crystal systems were prepared by contact printing of polystyrene particles in aqueous suspension. The array morphology was characterized by optical light microscopy and scanning electron microscopy, which revealed regularly ordered crystalline structures for both systems. In the case of binary crystals, the influence of the concentration ratio of the large and small particles in the printing suspension on the obtained crystal structure was investigated. The optical properties of the colloidal crystal arrays were characterized by reflection spectroscopy. To examine the stop bands of the colloidal crystal arrays in a high-throughput fashion, an optical setup based on a CCD camera was realized that allowed the simultaneous readout of all of the reflection spectra of several thousand sensor fields per array in parallel. In agreement with
Energy Technology Data Exchange (ETDEWEB)
Tietun Sun; Jianmin Miao; Rongming Lin; Yongqing Fu [Nanyang Technological Univ., Micromachines Lab., Singapore (Singapore)
2005-01-01
In this paper, Ag-based paste was screen-printed on polished as well as on textured p-type (100) single crystalline silicon wafers. Three types of baking processes were studied: the tube furnace, the belt furnace and the hot plate baking. The effective contact areas of Ag/Si system were measured with a novel method, namely metal insulator semiconductor structure measurement. The results show that after baking on the hot plate at 400 deg C for 5 min, the size and number of pores in the Ag film layer as well as at the interface between silver layer and silicon decreases significantly, the effective contact area also increases about 20%, particularly on the textured silicon substrate. (Author)
Energy Technology Data Exchange (ETDEWEB)
Sun, Tietun; Miao, Jianmin; Lin, Rongming; Fu, Yongqing [Micromachines Laboratory, School of Mechanical and Production Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)
2005-01-01
In this paper, Ag-based paste was screen-printed on the polished as well as on the textured p-type (100) single crystalline silicon wafers. Three types of baking processes were studied: the tube furnace, the belt furnace and the hot plate baking. The effective contact areas of Ag/Si system were measured with a novel method, namely metal insulator semiconductor structure measurement. The results show that after baking on the hot plate at 400{sup o}C for 5min, the size and number of pores in the Ag film layer as well as at the interface between silver layer and silicon decreases significantly, the effective contact area also increases about 20%, particularly on the textured silicon substrate.
Warshaw, Erin M; Hagen, Solveig L; Belsito, Donald V; DeKoven, Joel G; Maibach, Howard I; Mathias, C G Toby; Zug, Kathryn A; Sasseville, Denis; Zirwas, Matthew J; Fowler, Joseph F; Fransway, Anthony F; DeLeo, Vincent A; Marks, James G; Pratt, Melanie D; Taylor, James S
Little is known about the epidemiology of contact dermatitis (CD) in print machine operators (PMOs). The aims of this study were to estimate the prevalence of CD and characterize clinically relevant and occupationally related allergens among PMOs undergoing patch testing. This was a retrospective cross-sectional analysis of the North American Contact Dermatitis Group data from 1998 to 2014. Of 39,332 patch-tested patients, 132 (0.3%) were PMOs. Among PMOs, most were male (75.0%) and white (92.4%). The majority were printing press operators (85.6%). The most frequent sites of dermatitis were hands (63.6%), arms (29.5%), and face/scalp (24.2%). More than half had an occupationally related skin condition (56.1%). Final diagnoses were most commonly allergic CD (58.3%) and irritant CD (33.3%). Cobalt (20.8%), carba mix (12.5%), thiuram mix (8.3%), and formaldehyde (8.3%) were the most frequent occupationally related allergens. The top allergen sources included inks (22.9%), gloves (20.8%), and coatings/dye/copy/photographic chemicals (14.6%). Allergic CD, irritant CD, and involvement of exposed body areas were common among PMOs. Common allergens included rubber accelerators, metals, and preservatives.
Varepo, L. G.; Trapeznikova, O. V.; Panichkin, A. V.; Roev, B. A.; Kulikov, G. B.
2018-04-01
In the framework of standardizing the process of offset printing, one of the most important tasks is the correct selection of the printing system components, taking into account the features of their interaction and behavior in the printing process. The program allows to calculate the transfer of ink on the printed material between the contacting cylindrical surfaces of the sheet-fed offset printing apparatus with the boundaries deformation. A distinctive feature of this software product is the modeling of the liquid flow having free boundaries and causing deformation of solid boundaries when flowing between the walls of two cylinders.
Balkan Print Forum – Dynamic Balkan Print Media Community
Directory of Open Access Journals (Sweden)
Rossitza Velkova
2011-11-01
Full Text Available Founded in October 2006, the Balkan Print Forum is gradually becoming an important regional institution. Its main targets are to share experiences and know-how,to initiate and intensify contacts and to support joint projects in the Balkan region.Since drupa 2008 there are 11 member countries of the Balkan Print Forum:Albania, Bosnia and Herzegovina, Bulgaria, Croatia, Former Yugoslav Republic of Macedonia, Greece, Hungary, Romania, Serbia, Slovenia and Turkey. Partners of BPF are some companies and universities from Russia and Ukraine.
Yi, He; Bao, Xin-Yu; Tiberio, Richard; Wong, H-S Philip
2015-02-11
Directed self-assembly (DSA) is a promising lithography candidate for technology nodes beyond 14 nm. Researchers have shown contact hole patterning for random logic circuits using DSA with small physical templates. This paper introduces an alphabet approach that uses a minimal set of small physical templates to pattern all contacts configurations on integrated circuits. We illustrate, through experiments, a general and scalable template design strategy that links the DSA material properties to the technology node requirements.
Discharge of viscous UV-curable resin droplets by screen printing for UV nanoimprint lithography
Tanabe, Akira; Uehara, Takuya; Nagase, Kazuro; Ikedo, Hiroaki; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru
2016-06-01
We demonstrated a coating method of screen printing for discharging droplets of a high-viscosity resin on a substrate for ultraviolet (UV) nanoimprint lithography (NIL). Compared with a spin-coated resin film on a silicon substrate, discharged resin droplets on a silicon substrate were effective in terms of the uniformity of residual layer thickness (RLT) in contact with a mold with various pattern densities. Fluorescence microscope observations with a fluorescent-dye-containing UV-curable resin enabled the evaluation of the shapes of resin droplets discharged on a substrate surface. Widely used screen mesh plates composed of a stainless mesh covered with a patterned emulsion film caused defects of undischarged parts, whereas defects-free resin droplets with a narrow size distribution were discharged by mesh-free plates prepared with laser ablation. The pitch-to-diameter ratio in the configuration of 10-µm-diameter holes needs to be larger than 2.5 times for printing a resin having a viscosity of 12,800 mPa s.
Cibachrome testing. [photographic processing and printing materials
Weinstein, M. S.
1974-01-01
The use of Cibachrome products as a solution to problems encountered when contact printing Kodak film type SO-397 onto Kodak Ektrachrome color reversal paper type 1993 is investigated. A roll of aerial imagery consisting of Kodak film types SO-397 and 2443 was contact printed onto Cibachrome and Kodak materials and compared in terms of color quality, resolution, cost, and compatibility with existing equipment and techniques. Objective measurements are given in terms of resolution and sensitometric response. Comparison prints and transparencies were viewed and ranked according to overall quality and aesthetic appeal. It is recommended that Cibachrome Print material be used in place of Kodak Ektachrome paper because it is more easily processed, the cost is equivalent, and it provides improved resolution, color quality, and image fade resistance.
Lao, Zhao-Xin; Hu, Yan-Lei; Pan, Deng; Wang, Ren-Yan; Zhang, Chen-Chu; Ni, Jin-Cheng; Xu, Bing; Li, Jia-Wen; Wu, Dong; Chu, Jia-Ru
2017-06-01
Long microchannels with thin walls, small width, and nanoholes or irregular shaped microgaps, which are similar to capillaries or cancerous vessels, are urgently needed to simulate the physiological activities in human body. However, the fabrication of such channels remains challenging. Here, microchannels with designable holes are manufactured by combining laser printing with line-contact capillary-force assembly. Two microwalls are first printed by femtosecond laser direct-writing, and subsequently driven to collapse into a channel by the capillary force that arises in the evaporation of developer. The channel can remain stable in solvent due to the enhanced Van der Waals' force caused by the line-contact of microwalls. Microchannels with controllable nanoholes and almost arbitrary patterns can be fabricated without any bonding or multistep processes. As-prepared microchannels, with wall thicknesses less than 1 µm, widths less than 3 µm, lengths more than 1 mm, are comparable with human capillaries. In addition, the prepared channels also exhibit the ability to steer the flow of liquid without any external pump. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
International Nuclear Information System (INIS)
Heller, A.
1986-01-01
This paper discusses the effects of hydrogen on electron and hole transport at metal support contacts during electrocatalysis. When hydrogen dissolves in high work function metals such as Pt, Rh or Ru the contact forms between the semiconductor and the hydrogenated metal, which has a work function that is lower than that of the pure metal. Thus by changing the gaseous atmosphere that envelopes metal-substrate contacts, it is possible to reversibly change their diode characteristics. In some cases, such as Pt on n-TiO/sub 2/, Rh on n-TiO/sub 2/ and Ru on n-TiO/sub 2/, it is even possible to reversibly convert Schottky diodes into ohmic contacts by changing the atmosphere from air to hydrogen. In contacts between hydrogen dissolving group VIII metals and semiconducting substrates, one can test for interfacial reaction of the catalysts and the substrate by examining the electrical characteristics of the contacts in air (oxygen) and in hydrogen. In the absence of interfacial reaction, large hydrogen induced variation in the barrier heights is observed and the hydrogenated contacts, approach ideality (i.e. their non-ideality factor is close to unity). When a group VIII metal and a substrate do react, the reaction often produces a phase that blocks hydrogen transport to the interface between the substrate and the reaction product. In this case the hydrogen effect is reduced or absent. Furthermore, because such reaction often introduces defects into the surface of the semiconductor, the contacts have non-ideal diode characteristics
Xu, Jixian; Voznyy, Oleksandr; Comin, Riccardo; Gong, Xiwen; Walters, Grant; Liu, Min; Kanjanaboos, Pongsakorn; Lan, Xinzheng; Sargent, Edward H
2016-04-13
A crosslinked hole-extracting electrical contact is reported, which simultaneously improves the stability and lowers the hysteresis of perovskite solar cells. Polymerizable monomers and crosslinking processes are developed to obviate in situ degradation of the under lying perovskite. The crosslinked material is band-aligned with perovskite. The required free carrier density is induced by a high-work-function metal oxide layer atop the device, following a remote-doping strategy. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Energy Technology Data Exchange (ETDEWEB)
Makrygianni, M. [National Technical University of Athens, Physics Department, Iroon Polytehneiou 9, 15780 Zografou (Greece); National Technical University of Athens, Electrical and Computer Engineering Department, Iroon Polytehneiou 9, 15780 Zografou (Greece); Ainsebaa, A. [Ecole Nationale Supérieure des Mines de Saint-Etienne, Department of Flexible Electronics, CMP-EMSE, MOC, 13541 Gardanne (France); Nagel, M. [EMPA Swiss Federal Lab. for Materials Science and Technology, Laboratory for Functional Polymers, Überlandstrasse 129, 8600 Dubendorf (Switzerland); Sanaur, S. [Ecole Nationale Supérieure des Mines de Saint-Etienne, Department of Flexible Electronics, CMP-EMSE, MOC, 13541 Gardanne (France); Raptis, Y.S. [National Technical University of Athens, Physics Department, Iroon Polytehneiou 9, 15780 Zografou (Greece); Zergioti, I., E-mail: zergioti@central.ntua.gr [National Technical University of Athens, Physics Department, Iroon Polytehneiou 9, 15780 Zografou (Greece); Tsamakis, D. [National Technical University of Athens, Electrical and Computer Engineering Department, Iroon Polytehneiou 9, 15780 Zografou (Greece)
2016-12-30
Highlights: • Smooth printing of semiconducting π-conjugated polymer patterns for BG-BC OTFTs. • Well-ordering of PQT-12 when diluted in a high-boiling-point solvent yielding good interface properties. • No significant change in polymer chain orientation observed between LIFT printed patterns. • Reliable solid phase printing technique for thin, organic large area electronics applications, in a well-defined manner. - Abstract: In this work, we report on the effect of laser printed Poly (3,3‴-didodecyl quarter thiophene) on its optical, structural and electrical properties for bottom-gate/bottom-contact organic thin-film transistors applications. This semiconducting π-conjugated polymer was solution-deposited (spin-coated) on a donor substrate and transferred by means of solid phase laser-induced forward transfer (LIFT) technique on SiO{sub 2}/Si receiver substrates to form the active material. This article presents a detailed study of the electrical properties of the fabricated transistors by measuring the parasitic resistances for gold (Au) and platinum (Pt) as source-drain electrodes, for optimizing OTFTs in terms of contacts. In addition, X-ray diffraction patterns revealed that it is possible to control the polymer microstructure through the choice of solvent. Also, no significant change in polymer chain orientation was observed between two printed patterns at 90 and 130 mJ/cm{sup 2} as confirmed by Raman spectra. The results demonstrate hole mobility values of (2.6 ± 1.3) × 10{sup −2} cm{sup 2}/Vs, and lower parasitic resistance for dielectric surface roughness around 1.2 nm and Pt electrodes. Higher performances are correlated to i) the well-ordering of PQT-12 surface when a high-boiling-point solvent is used and ii) the less limitating Pt source/drain electrodes. This analytical study proves that solid phase LIFT printing is a reliable technology for the fabrication of thin, organic large area electronics in a well-defined manner.
International Nuclear Information System (INIS)
Makrygianni, M.; Ainsebaa, A.; Nagel, M.; Sanaur, S.; Raptis, Y.S.; Zergioti, I.; Tsamakis, D.
2016-01-01
Highlights: • Smooth printing of semiconducting π-conjugated polymer patterns for BG-BC OTFTs. • Well-ordering of PQT-12 when diluted in a high-boiling-point solvent yielding good interface properties. • No significant change in polymer chain orientation observed between LIFT printed patterns. • Reliable solid phase printing technique for thin, organic large area electronics applications, in a well-defined manner. - Abstract: In this work, we report on the effect of laser printed Poly (3,3‴-didodecyl quarter thiophene) on its optical, structural and electrical properties for bottom-gate/bottom-contact organic thin-film transistors applications. This semiconducting π-conjugated polymer was solution-deposited (spin-coated) on a donor substrate and transferred by means of solid phase laser-induced forward transfer (LIFT) technique on SiO_2/Si receiver substrates to form the active material. This article presents a detailed study of the electrical properties of the fabricated transistors by measuring the parasitic resistances for gold (Au) and platinum (Pt) as source-drain electrodes, for optimizing OTFTs in terms of contacts. In addition, X-ray diffraction patterns revealed that it is possible to control the polymer microstructure through the choice of solvent. Also, no significant change in polymer chain orientation was observed between two printed patterns at 90 and 130 mJ/cm"2 as confirmed by Raman spectra. The results demonstrate hole mobility values of (2.6 ± 1.3) × 10"−"2 cm"2/Vs, and lower parasitic resistance for dielectric surface roughness around 1.2 nm and Pt electrodes. Higher performances are correlated to i) the well-ordering of PQT-12 surface when a high-boiling-point solvent is used and ii) the less limitating Pt source/drain electrodes. This analytical study proves that solid phase LIFT printing is a reliable technology for the fabrication of thin, organic large area electronics in a well-defined manner.
Drilling miniature holes, Part III
Energy Technology Data Exchange (ETDEWEB)
Gillespie, L.K.
1978-07-01
Miniature components for precision electromechanical mechanisms such as switches, timers, and actuators typically require a number of small holes. Because of the precision required, the workpiece materials, and the geometry of the parts, most of these holes must be produced by conventional drilling techniques. The use of such techniques is tedious and often requires considerable trial and error to prevent drill breakage, minimize hole mislocation and variations in hole diameter. This study of eight commercial drill designs revealed that printed circuit board drills produced better locational and size repeatability than did other drills when centerdrilling was not used. Boring holes 1 mm in dia, or less, as a general rule did not improve hole location in brass or stainless steel. Hole locations of patterns of 0.66-mm holes can be maintained within 25.4-..mu..m diametral positional tolerance if setup misalignments can be eliminated. Size tolerances of +- 3.8 ..mu..m can be maintained under some conditions when drilling flat plates. While these levels of precision are possible with existing off-the-shelf drills, they may not be practical in many cases.
Directory of Open Access Journals (Sweden)
Yuxiao Guo
2018-02-01
Full Text Available A hysteresis-less inverted perovskite solar cell (PSC with power conversion efficiency (PCE of 13.57% was successfully achieved based on the thermal-decomposed NiOx hole contact layer, possessing better electron blocking and hole extraction properties for its suitable work function and high-conduction band edge position. Herein, the transparent and high-crystalline NiOx film is prepared by thermal-decomposing of the solution-derived Ni(OH2 film in our study, which is then employed as hole transport layer (HTL of the organic–inorganic hybrid PSCs. Reasonably, the post-annealing treatment, especially for the annealing temperature, could greatly affect the Ni(OH2 decomposition process and the quality of decomposed NiOx nanoparticles. The vital NiOx HTLs with discrepant morphology, crystallinity and transmission certainly lead to a wide range of device performance. As a result, an annealing process of 400∘C/2h significantly promotes the photovoltaic properties of the NiOx layer and the further device performance.
DEFF Research Database (Denmark)
Alberti, Mark; la Cour, Morten
2018-01-01
PURPOSE: To compare gas-foveal contact in face-down positioning (FDP) and nonsupine positioning (NSP), to analyze causes of gas-foveal separation and to determine how gas-foveal contact affects clinical outcome after idiopathic macular hole repair. METHODS: Single center, randomized controlled...... study. Participants with an idiopathic macular hole were allocated to either FDP or NSP. Primary outcome was gas-foveal contact, calculated by analyzing positioning in relation to intraocular gas fill. Positioning was measured with an electronic device recording positioning for 72 hours postoperatively....... RESULTS: Positioning data were available for 33/35 in the FDP group and 35/37 in the NSP group, thus results are based on 68 analyzed participants. Median gas-foveal contact was 99.82% (range 73.6-100.0) in the FDP group and 99.57% (range 85.3-100.0) in the NSP group (P = 0.22). In a statistical model...
The role of contacts in semiconductor gamma radiation detectors
International Nuclear Information System (INIS)
Lachish, U.
1998-01-01
It is proposed that the operation of semiconductor gamma radiation detectors, equipped with ohmic contacts, which allow free electron flow between the contacts and bulk material, will not be sensitive to low hole mobility, hole collection efficiency, or hole trapping. Such fast-operating detectors may be readily integrated into monolithic arrays. The detection mechanism and various material aspects are discussed and compared to those of blocking contact detectors. Some suggestions for detector realization are presented. (orig.)
ACTIVATED ADSORPTION ON CLAY OF MICROPOLLUTANTS FROM PAPER PRINTING INDUSTRY
Directory of Open Access Journals (Sweden)
DIANA C. MIRILĂ
2018-03-01
Full Text Available The paper presents a preliminary study of chemisorption onto anionic and cationic clays, in order to reduce the content of pollutants from a paper printing effluent, collected after technological step named: printing of paper fabric manufacturing. The procedure of filtration fallowed by adsorption process is an effective, fast and low cost technique for treatment of black effluent resulting from paper printing industry. The key parameters tested to achieve a high efficiency for the movement of micropollutants from printing fluid were substrate dose and contact time. The highest treatment performance was obtained for cationic substrate at pH = 6.80, in contact and agitated magnetically for 30 respectively 90 minutes at room temperature.
Uniformity of fully gravure printed organic field-effect transistors
International Nuclear Information System (INIS)
Hambsch, M.; Reuter, K.; Stanel, M.; Schmidt, G.; Kempa, H.; Fuegmann, U.; Hahn, U.; Huebler, A.C.
2010-01-01
Fully mass-printed organic field-effect transistors were made completely by means of gravure printing. Therefore a special printing layout was developed in order to avoid register problems in print direction. Upon using this layout, contact pads for source-drain electrodes of the transistors are printed together with the gate electrodes in one and the same printing run. More than 50,000 transistors have been produced and by random tests a yield of approximately 75% has been determined. The principle suitability of the gravure printed transistors for integrated circuits has been shown by the realization of ring oscillators.
Method for Cleaning Laser-Drilled Holes on Printed Wiring Boards by Plasma Treatment
Hirogaki, Toshiki; Aoyama, Eiichi; Minagi, Ryu; Ogawa, Keiji; Katayama, Tsutao; Matsuoka, Takashi; Inoue, Hisahiro
We propose a new method for cleaning blind via holes after laser drilling of PWBs using oxygen plasma treatment. This report dealt with three kinds of PWB materials: epoxy resin and two kinds of aramid fiber reinforced plastics (AFRP: Technora or Kevlar fiber reinforcement). We observed the drilled holes after plasma treatment using both an optical and a scanning electric microscope (SEM). It was confirmed that adequate etching took place in the drilled holes by plasma treatment. We also compared the hole wall and hole bottom after plasma treatment with ones after chemical etching. It was clear that there was no damage to the aramid fiber tip on the hole wall, and that a smooth roughness of the hole wall was obtained by means of plasma treatment. As a result, we demonstrated that the plasma treatment is effective in cleaning the laser drilled holes of PWBs.
Versatile Molecular Silver Ink Platform for Printed Flexible Electronics.
Kell, Arnold J; Paquet, Chantal; Mozenson, Olga; Djavani-Tabrizi, Iden; Deore, Bhavana; Liu, Xiangyang; Lopinski, Gregory P; James, Robert; Hettak, Khelifa; Shaker, Jafar; Momciu, Adrian; Ferrigno, Julie; Ferrand, Olivier; Hu, Jian Xiong; Lafrenière, Sylvie; Malenfant, Patrick R L
2017-05-24
A silver molecular ink platform formulated for screen, inkjet, and aerosol jet printing is presented. A simple formulation comprising silver neodecanoate, ethyl cellulose, and solvent provides improved performance versus that of established inks, yet with improved economics. Thin, screen-printed traces with exceptional electrical (molecular ink platform enables an aerosol jet-compatible ink that yields conductive features on glass with 2× bulk resistivity and strong adhesion to various plastic substrates. An inkjet formulation is also used to print top source/drain contacts and demonstrate printed high-mobility thin film transistors (TFTs) based on semiconducting single-walled carbon nanotubes. TFTs with mobility values of ∼25 cm 2 V -1 s -1 and current on/off ratios >10 4 were obtained, performance similar to that of evaporated metal contacts in analogous devices.
Wei, Xiao-Ran; Zhang, Yu-He; Geng, Guo-Hua
2016-09-01
In this paper, we examined how printing the hollow objects without infill via fused deposition modeling, one of the most widely used 3D-printing technologies, by partitioning the objects to shell parts. More specifically, we linked the partition to the exact cover problem. Given an input watertight mesh shape S, we developed region growing schemes to derive a set of surfaces that had inside surfaces that were printable without support on the mesh for the candidate parts. We then employed Monte Carlo tree search over the candidate parts to obtain the optimal set cover. All possible candidate subsets of exact cover from the optimal set cover were then obtained and the bounded tree was used to search the optimal exact cover. We oriented each shell part to the optimal position to guarantee the inside surface was printed without support, while the outside surface was printed with minimum support. Our solution can be applied to a variety of models, closed-hollowed or semi-closed, with or without holes, as evidenced by experiments and performance evaluation on our proposed algorithm.
Lee, Sang Hoon; Lee, Dong Geun; Jung, Hoeryong; Lee, Sangyoon
2018-05-01
Interface between the channel and the gate dielectric of organic thin film transistors (OTFTs) needs to be smoothed in order to improve the electrical characteristics. In this study, an optimized calendering process was proposed to improve the surface roughness of the channel. Top-gate, bottom-contact structural p-type OTFT samples were fabricated using roll-to-roll gravure printing (source/drain, channel), spin coating (gate dielectric), and inkjet printing (gate electrode). The calendering process was optimized using the grey-based Taguchi method. The channel surface roughness and electrical characteristics of calendered and non-calendered samples were measured and compared. As a result, the average improvement in the surface roughness of the calendered samples was 26.61%. The average on–off ratio and field-effect mobility of the calendered samples were 3.574 × 104 and 0.1113 cm2 V‑1 s‑1, respectively, which correspond to the improvements of 16.72 and 10.20%, respectively.
Van Den Broeke, Douglas J.; Laidig, Thomas L.; Chen, J. Fung; Wampler, Kurt E.; Hsu, Stephen D.; Shi, Xuelong; Socha, Robert J.; Dusa, Mircea V.; Corcoran, Noel P.
2004-08-01
Imaging contact and via layers continues to be one of the major challenges to be overcome for 65nm node lithography. Initial results of using ASML MaskTools' CPL Technology to print contact arrays through pitch have demonstrated the potential to further extend contact imaging to a k1 near 0.30. While there are advantages and disadvantages for any potential RET, the benefits of not having to solve the phase assignment problem (which can lead to unresolvable phase conflicts), of it being a single reticle - single exposure technique, and its application to multiple layers within a device (clear field and dark field) make CPL an attractive, cost effective solution to low k1 imaging. However, real semiconductor circuit designs consist of much more than regular arrays of contact holes and a method to define the CPL reticle design for a full chip circuit pattern is required in order for this technique to be feasible in volume manufacturing. Interference Mapping Lithography (IML) is a novel approach for defining optimum reticle patterns based on the imaging conditions that will be used when the wafer is exposed. Figure 1 shows an interference map for an isolated contact simulated using ASML /1150 settings of 0.75NA and 0.92/0.72/30deg Quasar illumination. This technique provides a model-based approach for placing all types features (scattering bars, anti-scattering bars, non-printing assist features, phase shifted and non-phase shifted) for the purpose of enhancing the resolution of the target pattern and it can be applied to any reticle type including binary (COG), attenuated phase shifting mask (attPSM), alternating aperture phase shifting mask (altPSM), and CPL. In this work, we investigate the application of IML to generate CPL reticle designs for random contact patterns that are typical for 65nm node logic devices. We examine the critical issues related to using CPL with Interference Mapping Lithography including controlling side lobe printing, contact patterns with
Design of electrohydrodynamic lens for stabilizing of eletrohydrodynamic jet printing
International Nuclear Information System (INIS)
Park, Sung-Eun; Lee, Dae-Young; Kim, Sang-Yoon; Shin, Yoon-Soo; Hwang, Jungho; Yu, Tae-U
2008-01-01
The generation of micro patterns from conductive material suspensions is gaining significant interests for its usages in the fabrication of flexible display elements and flexible printed circuit boards. In this paper, we presented the results of line patterns of silver nanoparticles obtained by using various types of focusing lenses for electrohydrodynamic jet printing. The pattern widths were measured as 80∼100 μm when the electrohydrodynamic lens having a cone-type inner hole and a cone-type outer wall was used. Electric field strengths were calculated by a commercial solver packages for the four types of electrohydrodynamic lenses. A lens having a cone-type inner hole and a cone-type outer wall of thinner thickness was found to be the best design.
Realization of superconductive films by screen printing
International Nuclear Information System (INIS)
Baudry, H.
1988-01-01
Screen printing is a promising method to manufacture superconductive lines making use of superconductive ceramics. An ink has been realized with YBa 2 Cu 3 0 7-x' and the process conditions defined by thermal analysis. A superconductive transition is observed after screen printing on MgO. The firing of the layer is made at 920 0 C followed by a reoxidation step at 420 0 C. The silver electrical contacts are also screen printed [fr
Assessing Ink Transfer Performance of Gravure-Offset Fine-Line Circuitry Printing
Cheng, Hsien-Chie; Chen, You-Wei; Chen, Wen-Hwa; Lu, Su-Tsai; Lin, Shih-Ming
2018-03-01
In this study, the printing mechanism and performance of gravure-offset fine-line circuitry printing technology are investigated in terms of key printing parameters through experimental and theoretical analyses. First, the contact angles of the ink deposited on different substrates, blankets, and gravure metal plates are experimentally determined; moreover, their temperature and solvent content dependences are analyzed. Next, the ink solvent absorption and evaporation behaviors of the blankets at different temperatures, times, and numbers of printing repetitions are characterized by conducting experiments. In addition, while printing repeatedly, the surface characteristics of the blankets, such as the contact angle, vary with the amount of absorbed ink solvent, further affecting the ink transfer performance (ratio) and printing quality. Accordingly, the surface effect of the blanket due to ink solvent absorption on the ink contact angle is analyzed. Furthermore, the amount of ink transferred from the gravure plate to the blanket in the "off process" and from the blanket to the substrate in the "set process" is evaluated by conducting a simplified plate-to-plate experiment. The influences of loading rate (printing velocity), temperature, and solvent content on the ink transfer performance are addressed. Finally, the ink transfer mechanism is theoretically analyzed for different solvent contents using Surface Evolver. The calculation results are compared with those of the experiment.
The effect of thermal annealing on pentacene thin film transistor with micro contact printing.
Shin, Hong-Sik; Yun, Ho-Jin; Baek, Kyu-Ha; Ham, Yong-Hyun; Park, Kun-Sik; Kim, Dong-Pyo; Lee, Ga-Won; Lee, Hi-Deok; Lee, Kijun; Do, Lee-Mi
2012-07-01
We used micro contact printing (micro-CP) to fabricate inverted coplanar pentacene thin film transistors (TFTs) with 1-microm channels. The patterning of micro-scale source/drain electrodes without etch process was successfully achieved using Polydimethylsiloxane (PDMS) elastomer stamp. We used the Ag nano particle ink as an electrode material, and the sheet resistance and surface roughness of the Ag electrodes were effectively reduced with the 2-step thermal annealing on a hotplate, which improved the mobility, the on-off ratio, and the subthreshold slope (SS) of the pentacene TFTs. In addition, the device annealing on a hotplate in a N2 atmosphere for 30 sec can enhance the off-current and the mobility properties of OTFTs without damaging the pentacene thin films and increase the adhesion between pentacene and dielectric layer (SiO2), which was investigated with the pentacene films phase change of the XRD spectrum after device annealing.
3D-printed coded apertures for x-ray backscatter radiography
Muñoz, André A. M.; Vella, Anna; Healy, Matthew J. F.; Lane, David W.; Jupp, Ian; Lockley, David
2017-09-01
Many different mask patterns can be used for X-ray backscatter imaging using coded apertures, which can find application in the medical, industrial and security sectors. While some of these patterns may be considered to have a self-supporting structure, this is not the case for some of the most frequently used patterns such as uniformly redundant arrays or any pattern with a high open fraction. This makes mask construction difficult and usually requires a compromise in its design by drilling holes or adopting a no two holes touching version of the original pattern. In this study, this compromise was avoided by 3D printing a support structure that was then filled with a radiopaque material to create the completed mask. The coded masks were manufactured using two different methods, hot cast and cold cast. Hot casting involved casting a bismuth alloy at 80°C into the 3D printed acrylonitrile butadiene styrene mould which produced an absorber with density of 8.6 g cm-3. Cold casting was undertaken at room temperature, when a tungsten/epoxy composite was cast into a 3D printed polylactic acid mould. The cold cast procedure offered a greater density of around 9.6 to 10 g cm-3 and consequently greater X-ray attenuation. It was also found to be much easier to manufacture and more cost effective. A critical review of the manufacturing procedure is presented along with some typical images. In both cases the 3D printing process allowed square apertures to be created avoiding their approximation by circular holes when conventional drilling is used.
Ink-Jet Printer Forms Solar-Cell Contacts
Alexander, Paul, Jr.; Vest, R. W.; Binford, Don A.; Tweedell, Eric P.
1988-01-01
Contacts formed in controllable patterns with metal-based inks. System forms upper metal contact patterns on silicon photovoltaic cells. Uses metallo-organic ink, decomposes when heated, leaving behind metallic, electrically conductive residue in printed area.
Dynamics of wetting explored with inkjet printing
Directory of Open Access Journals (Sweden)
Völkel Simeon
2017-01-01
Full Text Available An inkjet printer head, which is capable of depositing liquid droplets with a resolution of 22 picoliters and high repeatability, is employed to investigate the wetting dynamics of drops printed on a horizontal plane as well as on a granular monolayer. For a sessile drop on a horizontal plane, we characterize the contact angle hysteresis, drop volume and contact line dynamics from side view images. We show that the evaporation rate scales with the dimension of the contact line instead of the surface area of the drop. We demonstrate that the system evolves into a closed cycle upon repeating the depositing-evaporating process, owing to the high repeatability of the printing facility. Finally, we extend the investigation to a granular monolayer in order to explore the interplay between liquid deposition and granular particles.
International Nuclear Information System (INIS)
Kang, Dongwoo; Lee, Eonseok; Kim, Hyunchang; Choi, Young-Man; Lee, Seunghyun; Kim, Inyoung; Yoon, Dukkyun; Jo, Jeongdai; Kim, Bongmin; Lee, Taik-Min
2014-01-01
Offset printing processes are promising candidates for producing printed electronics due to their capacity for fine patterning and suitability for mass production. To print high-resolution patterns with good overlay using offset printing, the velocities of two contact surfaces, which ink is transferred between, should be synchronized perfectly. However, an exact velocity of the contact surfaces is unknown due to several imperfections, including tolerances, blanket swelling, and velocity ripple, which prevents the system from being operated in the synchronized condition. In this paper, a novel method of measurement based on the sticking model of friction force was proposed to determine the best synchronized condition, i.e., the condition in which the rate of synchronization error is minimized. It was verified by experiment that the friction force can accurately represent the rate of synchronization error. Based on the measurement results of the synchronization error, the allowable margin of synchronization error when printing high-resolution patterns was investigated experimentally using reverse offset printing. There is a region where the patterning performance is unchanged even though the synchronization error is varied, and this may be viewed as indirect evidence that printability performance is secured when there is no slip at the contact interface. To understand what happens at the contact surfaces during ink transfer, the deformation model of the blanket's surface was developed. The model estimates how much deformation on the blanket's surface can be borne by the synchronization error when there is no slip at the contact interface. In addition, the model shows that the synchronization error results in scale variation in the machine direction (MD), which means that the printing registration in the MD can be adjusted actively by controlling the synchronization if there is a sufficient margin of synchronization error to guarantee printability. The effect of
Towards flexible asymmetric MSM structures using Si microwires through contact printing
Khan, S.; Lorenzelli, L.; Dahiya, R.
2017-08-01
This paper presents development of flexible metal-semiconductor-metal devices using silicon (Si) microwires. Monocrystalline Si in the shape of microwires are used which are developed through standard photolithography and etching. These microwires are assembled on secondary flexible substrates through a dry transfer printing by using a polydimethylsiloxane stamp. The conductive patterns on Si microwires are printed using a colloidal silver nanoparticles based solution and an organic conductor i.e. poly (3,4-ethylene dioxthiophene) doped with poly (styrene sulfonate). A custom developed spray coating technique is used for conductive patterns on Si microwires. A comparative study of the current-voltage (I-V) responses is carried out in flat and bent orientations as well as the response to the light illumination of the wires is explored. Current variations as high as 17.1 μA are recorded going from flat to bend conditions, while the highest I on/I off ratio i.e. 43.8 is achieved with light illuminations. The abrupt changes in the current response due to light-on/off conditions validates these devices for fast flexible photodetector switches. These devices are also evaluated based on transfer procedure i.e. flip-over and stamp-assisted transfer printing for manipulating Si microwires and their subsequent post-processing. These new developments were made to study the most feasible approach for transfer printing of Si microwires and to harvest their capabilities such as photodetection and several other applications in the shape of metal-semiconductor-metal structures.
Pharmaceutical 3D printing: Design and qualification of a single step print and fill capsule.
Smith, Derrick M; Kapoor, Yash; Klinzing, Gerard R; Procopio, Adam T
2018-06-10
Fused deposition modeling (FDM) 3D printing (3DP) has a potential to change how we envision manufacturing in the pharmaceutical industry. A more common utilization for FDM 3DP is to build upon existing hot melt extrusion (HME) technology where the drug is dispersed in the polymer matrix. However, reliable manufacturing of drug-containing filaments remains a challenge along with the limitation of active ingredients which can sustain the processing risks involved in the HME process. To circumvent this obstacle, a single step FDM 3DP process was developed to manufacture thin-walled drug-free capsules which can be filled with dry or liquid drug product formulations. Drug release from these systems is governed by the combined dissolution of the FDM capsule 'shell' and the dosage form encapsulated in these shells. To prepare the shells, the 3D printer files (extension '.gcode') were modified by creating discrete zones, so-called 'zoning process', with individual print parameters. Capsules printed without the zoning process resulted in macroscopic print defects and holes. X-ray computed tomography, finite element analysis and mechanical testing were used to guide the zoning process and printing parameters in order to manufacture consistent and robust capsule shell geometries. Additionally, dose consistencies of drug containing liquid formulations were investigated in this work. Copyright © 2018 Elsevier B.V. All rights reserved.
Air-structured optical fibre drawn from a 3D-printed preform
Cook, Kevin; Canning, John; Leon-Saval, Sergio; Reid, Zane; Hossain, Md Arafat; Comatti, Jade-Edouard; Luo, Yanhua; Peng, Gang-Ding
2016-01-01
A structured optical fibre is drawn from a 3D-printed structured preform. Preforms containing a single ring of holes around the core are fabricated using filament made from a modified butadiene polymer. More broadly, 3D printers capable of processing soft glasses, silica and other materials are likely to come on line in the not-so distant future. 3D printing of optical preforms signals a new milestone in optical fibre manufacture.
Effect of hydrophobic microstructured surfaces on conductive ink printing
International Nuclear Information System (INIS)
Kim, Seunghwan; Kang, Hyun Wook; Lee, Kyung Heon; Sung, Hyung Jin
2011-01-01
Conductive ink was printed on various microstructured substrates to measure the printing quality. Poly-dimethylsiloxane (PDMS) substrates were used to test the printability of the hydrophobic surface material. Microstructured arrays of 10 µm regular PDMS cubes were prepared using the MEMS fabrication technique. The gap distance between the cubes was varied from 10 to 40 µm. The printing wettability of the microstructured surfaces was determined by measuring the contact angle of a droplet of silver conductive ink. Screen-printing methods were used in the conductive line printing experiment. Test line patterns with finely varying widths (30–250 µm) were printed repeatedly, and the conductivity of the printed lines was measured. The printability, which was defined as the ratio of the successfully printed patterns to the total number of printed patterns, was analyzed as a function of the linewidth and the gap distance of the microstructured surfaces
Energy Technology Data Exchange (ETDEWEB)
Wu, Keping; Yan, Yonggao; Zhang, Jian; Mao, Yu; Xie, Hongyao; Zhang, Qingjie; Tang, Xinfeng [State Key Laboratory of Advanced Technology for Materials Synthesis and Processing, Wuhan University of Technology, Wuhan, Hubei (China); Yang, Jihui [Department of Materials Science and Engineering, University of Washington, Seattle, WA (United States); Uher, Ctirad [Department of Physics, University of Michigan, Ann Arbor, MI (United States)
2017-06-15
The manufacturing cost has been a bottle neck for broader applications of thermoelectric (TE) modules. We have developed a rapid, facile, and low cost method that combines non-contact dispenser printing with selective laser melting (SLM) and we demonstrate it on n-type Bi{sub 2}Te{sub 3}-based materials. Using this approach, single phase n-type Bi{sub 2}Te{sub 2.7}Se{sub 0.3} thin layers with the Seebeck coefficient of -152 μV K{sup -1} at 300 K have been prepared. Assembling such thin layers on top of each other, the performance of thus prepared bulk sample is comparable to Bi{sub 2}Te{sub 3}-based materials fabricated by the conventional techniques. Dispenser printing combined with SLM is a promising manufacturing process for TE materials. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
3D printing X-Ray Quality Control Phantoms. A Low Contrast Paradigm
Kapetanakis, I.; Fountos, G.; Michail, C.; Valais, I.; Kalyvas, N.
2017-11-01
Current 3D printing technology products may be usable in various biomedical applications. Such an application is the creation of X-ray quality control phantoms. In this work a self-assembled 3D printer (geeetech i3) was used for the design of a simple low contrast phantom. The printing material was Polylactic Acid (PLA) (100% printing density). Low contrast scheme was achieved by creating air-holes with different diameters and thicknesses, ranging from 1mm to 9mm. The phantom was irradiated at a Philips Diagnost 93 fluoroscopic installation at 40kV-70kV with the semi-automatic mode. The images were recorded with an Agfa cr30-x CR system and assessed with ImageJ software. The best contrast value observed was approximately 33%. In low contrast detectability check it was found that the 1mm diameter hole was always visible, for thickness larger or equal to 4mm. A reason for not being able to distinguish 1mm in smaller thicknesses might be the presence of printing patterns on the final image, which increased the structure noise. In conclusion the construction of a contrast resolution phantom with a 3D printer is feasible. The quality of the final product depends upon the printer accuracy and the material characteristics.
Van Bossuyt, Melissa; Van Hoeck, Els; Raitano, Giuseppa; Manganelli, Serena; Braeken, Els; Ates, Gamze; Vanhaecke, Tamara; Van Miert, Sabine; Benfenati, Emilio; Mertens, Birgit; Rogiers, Vera
2017-04-01
Over the last years, more stringent safety requirements for an increasing number of chemicals across many regulatory fields (e.g. industrial chemicals, pharmaceuticals, food, cosmetics, …) have triggered the need for an efficient screening strategy to prioritize the substances of highest concern. In this context, alternative methods such as in silico (i.e. computational) techniques gain more and more importance. In the current study, a new prioritization strategy for identifying potentially mutagenic substances was developed based on the combination of multiple (quantitative) structure-activity relationship ((Q)SAR) tools. Non-evaluated substances used in printed paper and board food contact materials (FCM) were selected for a case study. By applying our strategy, 106 out of the 1723 substances were assigned 'high priority' as they were predicted mutagenic by 4 different (Q)SAR models. Information provided within the models allowed to identify 53 substances for which Ames mutagenicity prediction already has in vitro Ames test results. For further prioritization, additional support could be obtained by applying local i.e. specific models, as demonstrated here for aromatic azo compounds, typically found in printed paper and board FCM. The strategy developed here can easily be applied to other groups of chemicals facing the same need for priority ranking. Copyright © 2017 Elsevier Ltd. All rights reserved.
Chromium Trioxide Hole-Selective Heterocontacts for Silicon Solar Cells.
Lin, Wenjie; Wu, Weiliang; Liu, Zongtao; Qiu, Kaifu; Cai, Lun; Yao, Zhirong; Ai, Bin; Liang, Zongcun; Shen, Hui
2018-04-25
A high recombination rate and high thermal budget for aluminum (Al) back surface field are found in the industrial p-type silicon solar cells. Direct metallization on lightly doped p-type silicon, however, exhibits a large Schottky barrier for the holes on the silicon surface because of Fermi-level pinning effect. As a result, low-temperature-deposited, dopant-free chromium trioxide (CrO x , x solar cell as a hole-selective contact at the rear surface. By using 4 nm CrO x between the p-type silicon and Ag, we achieve a reduction of the contact resistivity for the contact of Ag directly on p-type silicon. For further improvement, we utilize a CrO x (2 nm)/Ag (30 nm)/CrO x (2 nm) multilayer film on the contact between Ag and p-type crystalline silicon (c-Si) to achieve a lower contact resistance (40 mΩ·cm 2 ). The low-resistivity Ohmic contact is attributed to the high work function of the uniform CrO x film and the depinning of the Fermi level of the SiO x layer at the silicon interface. Implementing the advanced hole-selective contacts with CrO x /Ag/CrO x on the p-type silicon solar cell results in a power conversion efficiency of 20.3%, which is 0.1% higher than that of the cell utilizing 4 nm CrO x . Compared with the commercialized p-type solar cell, the novel CrO x -based hole-selective transport material opens up a new possibility for c-Si solar cells using high-efficiency, low-temperature, and dopant-free deposition techniques.
Foncy, Julie; Estève, Aurore; Degache, Amélie; Colin, Camille; Cau, Jean Christophe; Malaquin, Laurent; Vieu, Christophe; Trévisiol, Emmanuelle
2018-01-01
Biomolecule microarrays are generally produced by conventional microarrayer, i.e., by contact or inkjet printing. Microcontact printing represents an alternative way of deposition of biomolecules on solid supports but even if various biomolecules have been successfully microcontact printed, the production of biomolecule microarrays in routine by microcontact printing remains a challenging task and needs an effective, fast, robust, and low-cost automation process. Here, we describe the production of biomolecule microarrays composed of extracellular matrix protein for the fabrication of cell microarrays by using an automated microcontact printing device. Large scale cell microarrays can be reproducibly obtained by this method.
Contacting graphene in a 200 mm wafer silicon technology environment
Lisker, Marco; Lukosius, Mindaugas; Kitzmann, Julia; Fraschke, Mirko; Wolansky, Dirk; Schulze, Sebastian; Lupina, Grzegorz; Mai, Andreas
2018-06-01
Two different approaches for contacting graphene in a 200 mm wafer silicon technology environment were tested. The key is the opportunity to create a thin SiN passivation layer on top of the graphene protecting it from the damage by plasma processes. The first approach uses pure Ni contacts with a thickness of 200 nm. For the second attempt, Ni is used as the contact metal which substitutes the Ti compared to a standard contact hole filling process. Accordingly, the contact hole filling of this "stacked via" approach is Ni/TiN/W. We demonstrate that the second "stacked Via" is beneficial and shows contact resistances of a wafer scale process with values below 200 Ohm μm.
Catalytic microcontact printing without ink
Li, X.; Péter, M.; Huskens, Jurriaan; Reinhoudt, David
2003-01-01
A novel microcontact printing technique is described that does not require ink. Patterns were created by direct contact of oxidized PDMS stamps with silyl ether-derivatized, acid-labile SAMs on gold. The surface of the stamps was oxidized by oxygen plasma to give a layer of silicon oxide. These
3D-Printing Electrolytes for Solid-State Batteries.
McOwen, Dennis W; Xu, Shaomao; Gong, Yunhui; Wen, Yang; Godbey, Griffin L; Gritton, Jack E; Hamann, Tanner R; Dai, Jiaqi; Hitz, Gregory T; Hu, Liangbing; Wachsman, Eric D
2018-05-01
Solid-state batteries have many enticing advantages in terms of safety and stability, but the solid electrolytes upon which these batteries are based typically lead to high cell resistance. Both components of the resistance (interfacial, due to poor contact with electrolytes, and bulk, due to a thick electrolyte) are a result of the rudimentary manufacturing capabilities that exist for solid-state electrolytes. In general, solid electrolytes are studied as flat pellets with planar interfaces, which minimizes interfacial contact area. Here, multiple ink formulations are developed that enable 3D printing of unique solid electrolyte microstructures with varying properties. These inks are used to 3D-print a variety of patterns, which are then sintered to reveal thin, nonplanar, intricate architectures composed only of Li 7 La 3 Zr 2 O 12 solid electrolyte. Using these 3D-printing ink formulations to further study and optimize electrolyte structure could lead to solid-state batteries with dramatically lower full cell resistance and higher energy and power density. In addition, the reported ink compositions could be used as a model recipe for other solid electrolyte or ceramic inks, perhaps enabling 3D printing in related fields. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Ding, Yuzhe; Huang, Eric; Lam, Kit S; Pan, Tingrui
2013-05-21
Biopatterning has been increasingly used for well-defined cellular microenvironment, patterned surface topology, and guided biological cues; however, it meets challenges on biocompatibility, thermal and chemical sensitivity, as well as limited availability of reagents. In this paper, we aim at combining the desired features from non-contact inkjet printing and dot-matrix impact printing to establish a versatile multiplexed micropatterning platform, referred to as Microfluidic Impact Printer (MI-Printer), for emerging biomedical applications. Using this platform, we can achieve the distinct features of no cross-contamination, sub-microliter ink loading with a minimal dead volume, high-throughput printing, biocompatible non-contact processing, sequential patterning with self-alignment, wide adaptability for complex media (e.g., cell suspension or colloidal solutions), interchangeable/disposable cartridge design, and simple assembly and configuration, all highly desirable towards laboratory-based research and development. Specifically, the printing resolution of the MI-printer platform has been experimentally characterized and theoretically analysed. Optimal printing resolution of 80 μm has been repeatedly obtained. Furthermore, two useful functions of the MI-printer, multiplexed printing and combinatorial printing, have been experimentally demonstrated with less than 10 μm misalignment. Moreover, molecular and biological patterning, utilizing the multiplexed and combinatorial printing, has been implemented to illustrate the utility of this versatile printing technique for emerging biomedical applications.
Nomura, Ken-Ichi; Kaji, Ryosaku; Iwata, Shiro; Otao, Shinobu; Imawaka, Naoto; Yoshino, Katsumi; Mitsui, Ryosuke; Sato, Junya; Takahashi, Seiya; Nakajima, Shin-Ichiro; Ushijima, Hirobumi
2016-01-01
We describe a flexible capacitance-type sensor that can detect an approaching human without contact, fabricated by developing and applying duplex conductive-ink printing to a film substrate. The results of our calculations show that the difference in size between the top and bottom electrodes of the sensor allows for the spatial extension of the electric field distribution over the electrodes. Hence, such a component functions as a proximity sensor. This thin and light device with a large form factor can be arranged at various places, including curved surfaces and the back of objects such that it is unnoticeable. In our experiment, we attached it to the back of a bed, and found that our device successfully detected the breathing of a subject on the bed without contacting his body. This should contribute to reducing the physical and psychological discomfort among patients during medical checks, or when their condition is being monitored.
Nomura, Ken-ichi; Kaji, Ryosaku; Iwata, Shiro; Otao, Shinobu; Imawaka, Naoto; Yoshino, Katsumi; Mitsui, Ryosuke; Sato, Junya; Takahashi, Seiya; Nakajima, Shin-ichiro; Ushijima, Hirobumi
2016-01-22
We describe a flexible capacitance-type sensor that can detect an approaching human without contact, fabricated by developing and applying duplex conductive-ink printing to a film substrate. The results of our calculations show that the difference in size between the top and bottom electrodes of the sensor allows for the spatial extension of the electric field distribution over the electrodes. Hence, such a component functions as a proximity sensor. This thin and light device with a large form factor can be arranged at various places, including curved surfaces and the back of objects such that it is unnoticeable. In our experiment, we attached it to the back of a bed, and found that our device successfully detected the breathing of a subject on the bed without contacting his body. This should contribute to reducing the physical and psychological discomfort among patients during medical checks, or when their condition is being monitored.
Electron and Hole Transport Layers: Their Use in Inverted Bulk Heterojunction Polymer Solar Cells
Directory of Open Access Journals (Sweden)
Sandro Lattante
2014-03-01
Full Text Available Bulk heterojunction polymer solar cells (BHJ PSCs are very promising organic-based devices for low-cost solar energy conversion, compatible with roll-to-roll or general printing methods for mass production. Nevertheless, to date, many issues should still be addressed, one of these being the poor stability in ambient conditions. One elegant way to overcome such an issue is the so-called “inverted” BHJ PSC, a device geometry in which the charge collection is reverted in comparison with the standard geometry device, i.e., the electrons are collected by the bottom electrode and the holes by the top electrode (in contact with air. This reverted geometry allows one to use a high work function top metal electrode, like silver or gold (thus avoiding its fast oxidation and degradation, and eliminates the need of a polymeric hole transport layer, typically of an acidic nature, on top of the transparent metal oxide bottom electrode. Moreover, this geometry is fully compatible with standard roll-to-roll manufacturing in air and is less demanding for a good post-production encapsulation process. To date, the external power conversion efficiencies of the inverted devices are generally comparable to their standard analogues, once both the electron transport layer and the hole transport layer are fully optimized for the particular device. Here, the most recent results on this particular optimization process will be reviewed, and a general outlook regarding the inverted BHJ PSC will be depicted.
High-resolution direct 3D printed PLGA scaffolds: print and shrink
International Nuclear Information System (INIS)
Chia, Helena N; Wu, Benjamin M
2015-01-01
Direct three-dimensional printing (3DP) produces the final part composed of the powder and binder used in fabrication. An advantage of direct 3DP is control over both the microarchitecture and macroarchitecture. Prints which use porogen incorporated in the powder result in high pore interconnectivity, uniform porosity, and defined pore size after leaching. The main limitations of direct 3DP for synthetic polymers are the use of organic solvents which can dissolve polymers used in most printheads and limited resolution due to unavoidable spreading of the binder droplet after contact with the powder. This study describes a materials processing strategy to eliminate the use of organic solvent during the printing process and to improve 3DP resolution by shrinking with a non-solvent plasticizer. Briefly, poly(lactic-co-glycolic acid) (PLGA) powder was prepared by emulsion solvent evaporation to form polymer microparticles. The printing powder was composed of polymer microparticles dry mixed with sucrose particles. After printing with a water-based liquid binder, the polymer microparticles were fused together to form a network by solvent vapor in an enclosed vessel. The sucrose is removed by leaching and the resulting scaffold is placed in a solution of methanol. The methanol acts as a non-solvent plasticizer and allows for polymer chain rearrangement and efficient packing of polymer chains. The resulting volumetric shrinkage is ∼80% at 90% methanol. A complex shape (honey-comb) was designed, printed, and shrunken to demonstrate isotropic shrinking with the ability to reach a final resolution of ∼400 μm. The effect of type of alcohol (i.e. methanol or ethanol), concentration of alcohol, and temperature on volumetric shrinking was studied. This study presents a novel materials processing strategy to overcome the main limitations of direct 3DP to produce high resolution PLGA scaffolds. (paper)
High-resolution direct 3D printed PLGA scaffolds: print and shrink.
Chia, Helena N; Wu, Benjamin M
2014-12-17
Direct three-dimensional printing (3DP) produces the final part composed of the powder and binder used in fabrication. An advantage of direct 3DP is control over both the microarchitecture and macroarchitecture. Prints which use porogen incorporated in the powder result in high pore interconnectivity, uniform porosity, and defined pore size after leaching. The main limitations of direct 3DP for synthetic polymers are the use of organic solvents which can dissolve polymers used in most printheads and limited resolution due to unavoidable spreading of the binder droplet after contact with the powder. This study describes a materials processing strategy to eliminate the use of organic solvent during the printing process and to improve 3DP resolution by shrinking with a non-solvent plasticizer. Briefly, poly(lactic-co-glycolic acid) (PLGA) powder was prepared by emulsion solvent evaporation to form polymer microparticles. The printing powder was composed of polymer microparticles dry mixed with sucrose particles. After printing with a water-based liquid binder, the polymer microparticles were fused together to form a network by solvent vapor in an enclosed vessel. The sucrose is removed by leaching and the resulting scaffold is placed in a solution of methanol. The methanol acts as a non-solvent plasticizer and allows for polymer chain rearrangement and efficient packing of polymer chains. The resulting volumetric shrinkage is ∼80% at 90% methanol. A complex shape (honey-comb) was designed, printed, and shrunken to demonstrate isotropic shrinking with the ability to reach a final resolution of ∼400 μm. The effect of type of alcohol (i.e. methanol or ethanol), concentration of alcohol, and temperature on volumetric shrinking was studied. This study presents a novel materials processing strategy to overcome the main limitations of direct 3DP to produce high resolution PLGA scaffolds.
Ngo, Chi-Vinh; Chun, Doo-Man
2016-11-08
In this work, a new and facile dry printing method was developed for the direct fabrication of superhydrophobic patterns based on silica nanoparticles. Mixtures of hydrophobic fumed silica nanoparticles and toner powder were printed on paper and polymer sheets using a commercial laser printer to produce the superhydrophobic patterns. The mixing ratio of the toner powder (for the laser printer) to hydrophobic silica was also investigated to optimize both the printing quality and the superhydrophobicity of the printed areas. The proper mixing ratio was then used to print various superhydrophobic patterns, including triangular, square, circular, and complex arrangements, to demonstrate that superhydrophobic surfaces with different patterns can be fabricated in a few seconds without any post-processing. The superhydrophobicity of each sample was evaluated by contact angle measurements, and all printed areas showed contact angles greater than 150°. The research described here opens the possibility of rapid production of superhydrophobic surfaces with various patterns. Ultimately, the obtained findings may have a significant impact on applications related to self-cleaning, control of water geometry and position, fluid mixing and fluid transport.
Ngo, Chi-Vinh; Chun, Doo-Man
2016-11-01
In this work, a new and facile dry printing method was developed for the direct fabrication of superhydrophobic patterns based on silica nanoparticles. Mixtures of hydrophobic fumed silica nanoparticles and toner powder were printed on paper and polymer sheets using a commercial laser printer to produce the superhydrophobic patterns. The mixing ratio of the toner powder (for the laser printer) to hydrophobic silica was also investigated to optimize both the printing quality and the superhydrophobicity of the printed areas. The proper mixing ratio was then used to print various superhydrophobic patterns, including triangular, square, circular, and complex arrangements, to demonstrate that superhydrophobic surfaces with different patterns can be fabricated in a few seconds without any post-processing. The superhydrophobicity of each sample was evaluated by contact angle measurements, and all printed areas showed contact angles greater than 150°. The research described here opens the possibility of rapid production of superhydrophobic surfaces with various patterns. Ultimately, the obtained findings may have a significant impact on applications related to self-cleaning, control of water geometry and position, fluid mixing and fluid transport.
Welsh, Aaron
This thesis describes the utilization and optimization of the soft lithographic technique, microcontact printing, to additively pattern ferroelectric lead zirconate titanate (PZT) thin films for application in microelectromechanical systems (MEMS). For this purpose, the solution wetting, pattern transfer, printing dynamics, stamp/substrate configurations, and processing damages were optimized for incorporation of PZT thin films into a bio-mass sensor application. This patterning technique transfers liquid ceramic precursors onto a device stack in a desired configuration either through pattern definition in the stamp, substrate or both surfaces. It was determined that for ideal transfer of the pattern from the stamp to the substrate surface, wetting between the solution and the printing surface is paramount. To this end, polyurethane-based stamp surfaces were shown to be wet uniformly by polar solutions. Patterned stamp surfaces revealed that printing from raised features onto flat substrates could be accomplished with a minimum feature size of 5 mum. Films patterned by printing as a function of thickness (0.1 to 1 mum) showed analogous functional properties to continuous films that were not patterned. Specifically, 1 mum thick PZT printed features had a relative permittivity of 1050 +/- 10 and a loss tangent of 2.0 +/- 0.4 % at 10 kHz; remanent polarization was 30 +/- 0.4 muC/cm 2 and the coercive field was 45 +/- 1 kV/cm; and a piezoelectric coefficient e31,f of -7 +/- 0.4 C/m2. No pinching in the minor hysteresis loops or splitting of the first order reversal curve (FORC) distributions was observed. Non-uniform distribution of the solution over the printed area becomes more problematic as feature size is decreased. This resulted in solutions printed from 5 mum wide raised features exhibiting a parabolic shape with sidewall angles of ˜ 1 degree. As an alternative, printing solutions from recesses in the stamp surface resulted in more uniform solution thickness
3D printing utility for surgical treatment of acetabular fractures.
Chana Rodríguez, F; Pérez Mañanes, R; Narbona Cárceles, F J; Gil Martínez, P
2018-05-25
Preoperative 3D modelling enables more effective diagnosis and simulates the surgical procedure. We report twenty cases of acetabular fractures with preoperative planning performed by pre-contouring synthesis plates on a 3D printed mould obtained from a computarized tomography (CT) scan. The mould impression was made with the DaVinci 1.0 printer model (XYZ Printing). After obtaining the printed hemipelvis, we proceeded to select the implant size (pelvic Matta system, Stryker ® ) that matched the characteristics of the fracture and the approach to be used. Printing the moulds took a mean of 385minutes (322-539), and 238grams of plastic were used to print the model (180-410). In all cases, anatomic reduction was obtained and intra-operative changes were not required in the initial contouring of the plates. The time needed to perform the full osteosynthesis, once the fracture had been reduced was 16.9minutes (10-24). In one case fixed with two plates, a postoperative CT scan showed partial contact of the implant with the surface of the quadrilateral plate. In the remaining cases, the contact was complete. In conclusion, our results suggest that the use of preoperative planning, by printing 3D mirror imaging models of the opposite hemipelvis and pre-contouring plates over the mould, might effectively achieve a predefined surgical objective and reduce the inherent risks in these difficult procedures. Copyright © 2018. Publicado por Elsevier España, S.L.U.
Inkjet printing of aligned single-walled carbon-nanotube thin films
Takagi, Yuki; Nobusa, Yuki; Gocho, Shota; Kudou, Hikaru; Yanagi, Kazuhiro; Kataura, Hiromichi; Takenobu, Taishi
2013-04-01
We report a method for the inkjet printing of aligned single-walled carbon-nanotube (SWCNT) films by combining inkjet technology with the strong wettability contrast between hydrophobic and hydrophilic areas based on the patterning of self-assembled monolayers. Both the drying process control using the strong wettability boundary and the coffee-stain effect strongly promote the aggregation of SWCNTs along the contact line of a SWCNT ink droplet, thereby demonstrating our achievement of inkjet-printed aligned SWCNT films. This method could open routes for developing high-performance and environmentally friendly SWCNT printed electronics.
R2R-printed inverted OPV modules - towards arbitrary patterned designs
Välimäki, M.; Apilo, P.; Po, R.; Jansson, E.; Bernardi, A.; Ylikunnari, M.; Vilkman, M.; Corso, G.; Puustinen, J.; Tuominen, J.; Hast, J.
2015-05-01
We describe the fabrication of roll-to-roll (R2R) printed organic photovoltaic (OPV) modules using gravure printing and rotary screen-printing processes. These two-dimensional printing techniques are differentiating factors from coated OPVs enabling the direct patterning of arbitrarily shaped and sized features into visual shapes and, increasing the freedom to connect the cells in modules. The inverted OPV structures comprise five layers that are either printed or patterned in an R2R printing process. We examined the rheological properties of the inks used and their relationship with the printability, the compatibility between the processed inks, and the morphology of the R2R-printed layers. We also evaluate the dimensional accuracy of the printed pattern, which is an important consideration in designing arbitrarily-shaped OPV structures. The photoactive layer and top electrode exhibited excellent cross-dimensional accuracy corresponding to the designed width. The transparent electron transport layer extended 300 µm beyond the designed values, whereas the hole transport layer shrank 100 µm. We also examined the repeatability of the R2R fabrication process when the active area of the module varied from 32.2 cm2 to 96.5 cm2. A thorough layer-by-layer optimization of the R2R printing processes resulted in realization of R2R-printed 96.5 cm2 sized modules with a maximum power conversion efficiency of 2.1% (mean 1.8%) processed with high functionality.
Inkjet-printed p-type nickel oxide thin-film transistor
Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan
2018-05-01
High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.
Hole states in diamond p-delta-doped field effect transistors
International Nuclear Information System (INIS)
Martinez-Orozco, J C; Rodriguez-Vargas, I; Mora-Ramos, M E
2009-01-01
The p-delta-doping in diamond allows to create high density two-dimensional hole gases. This technique has already been applied in the design and fabrication of diamond-based field effect transistors. Consequently, the knowledge of the electronic structure is of significant importance to understand the transport properties of diamond p-delta-doped systems. In this work the hole subbands of diamond p-type delta-doped quantum wells are studied within the framework of a local-density Thomas-Fermi-based approach for the band bending profile. The calculation incorporates an independent three-hole-band scheme and considers the effects of the contact potential, the delta-channel to contact distance, and the ionized impurity density.
Hole states in diamond p-delta-doped field effect transistors
Energy Technology Data Exchange (ETDEWEB)
Martinez-Orozco, J C; Rodriguez-Vargas, I [Unidad Academica de Fisica, Universidad Autonoma de Zacatecas, Calzada Solidaridad Esquina con Paseo la Bufa S/N, CP 98060 Zacatecas, ZAC. (Mexico); Mora-Ramos, M E, E-mail: jcmover@correo.unam.m [Facultad de Ciencias, Universidad Autonoma del Estado de Morelos, Av. Universidad 1001, Col. Chamilpa, CP 62209 Cuernavaca, MOR. (Mexico)
2009-05-01
The p-delta-doping in diamond allows to create high density two-dimensional hole gases. This technique has already been applied in the design and fabrication of diamond-based field effect transistors. Consequently, the knowledge of the electronic structure is of significant importance to understand the transport properties of diamond p-delta-doped systems. In this work the hole subbands of diamond p-type delta-doped quantum wells are studied within the framework of a local-density Thomas-Fermi-based approach for the band bending profile. The calculation incorporates an independent three-hole-band scheme and considers the effects of the contact potential, the delta-channel to contact distance, and the ionized impurity density.
Screen printing technology applied to silicon solar cell fabrication
Thornhill, J. W.; Sipperly, W. E.
1980-01-01
The process for producing space qualified solar cells in both the conventional and wraparound configuration using screen printing techniques was investigated. Process modifications were chosen that could be easily automated or mechanized. Work was accomplished to optimize the tradeoffs associated with gridline spacing, gridline definition and junction depth. An extensive search for possible front contact metallization was completed. The back surface field structures along with the screen printed back contacts were optimized to produce open circuit voltages of at least an average of 600 millivolts. After all intended modifications on the process sequence were accomplished, the cells were exhaustively tested. Electrical tests at AMO and 28 C were made before and after boiling water immersion, thermal shock, and storage under conditions of high temperature and high humidity.
Silver inkjet printing with control of surface energy and substrate temperature
International Nuclear Information System (INIS)
Lee, S-H; Shin, K-Y; Hwang, J Y; Kang, K T; Kang, H S
2008-01-01
The characteristics of silver inkjet printing were intensively investigated with control of surface energy and substrate temperature. A fluorocarbon (FC) film was spincoated on a silicon (Si) substrate to obtain a hydrophobic surface, and an ultraviolet (UV)/ozone (O 3 ) treatment was performed to control the surface wettability of the FC film surface. To characterize the surface changes, we performed measurements of the static and dynamic contact angles and calculated the surface energy by Wu's harmonic mean model. The surface energy of the FC film increased with the UV/O 3 treatment time, while the contact angles decreased. In silver inkjet printing, the hydrophobic FC film could reduce the diameter of the printed droplets. Merging of deposited droplets was observed when the substrate was kept at room temperature. Substrate heating was effective in preventing the merging phenomenon among the deposited droplets, and in reducing the width of printed lines. The merging phenomenon of deposited droplets was also prevented by increasing the UV/O 3 treatment time. Continuous silver lines in the width range of 48.04–139.21 µm were successfully achieved by inkjet printing on the UV/O 3 -treated hydrophobic FC films at substrate temperatures below 90 °C
Energy Technology Data Exchange (ETDEWEB)
Jung, Sung-Hoon [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Kim, Jang-Joo, E-mail: jjkim@snu.ac.kr [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Kim, Hyong-Jun, E-mail: hkim@kongju.ac.kr [Department of Chemical Engineering, Kongju National University, Cheonan, 330-717 (Korea, Republic of)
2012-09-30
High efficiency phosphorescent organic light emitting diodes (OLEDs) are realized by inkjet printing based on small molecules commonly used in vacuum processes in spite of the limitation of the limited solubility. The OLEDs used the inkjet printed 5 wt.% tris(2-phenylpyridine)iridium(III) (Ir(ppy){sub 3}) doped in 4,4 Prime -Bis(carbazol-9-yl)biphenyl (CBP) as the light emitting layer on various small molecule based hole transporting layers, which are widely used in the fabrication of OLEDs by vacuum processes. The OLEDs resulted in the high power and the external quantum efficiencies of 29.9 lm/W and 11.7%, respectively, by inkjet printing the CBP:Ir(ppy){sub 3} on a 40 nm thick 4,4 Prime ,4 Double-Prime -tris(carbazol-9-yl)triphenylamine layer. The performance was very close to a vacuum deposited device with a similar structure. - Highlights: Black-Right-Pointing-Pointer Effective inkjet printed organic light emitting diode (OLED) technique is explored. Black-Right-Pointing-Pointer Solution process on commonly used hole transporting material (HTM) is demonstrated. Black-Right-Pointing-Pointer Triplet energy overlap of HTM and emitting material is the key to the performance. Black-Right-Pointing-Pointer Simple inkjet printed OLED provides the high current efficiency of 40 cd/A.
Energy Technology Data Exchange (ETDEWEB)
Tala-Ighil, R.; Boumaour, M.; Maallemi, A.; Melhani, K. [Laboratoire des Cellules Photovoltaiques, Unite de Developpement de la Technologie du Silicium ? UDTS, 2 Bd, F. Fanon, BP 399 Alger-Gare, Alger (Algerie); Belkaid, M.S. [Laboratoire de Microelectronique Appliquee, Universite Mouloud Mammeri, BP 453 Tizi-Ouzou (Algerie); Iratni, A. [Laboratoire des mineraux et materiaux composites, LMMC, Universite Mhamed Bougerra, Avenue de l' independance, 35000 Boumerdes (Algerie)
2006-07-24
In order to improve the solar cell conversion efficiency, a thin film of doped tin oxide (SnO{sub 2}: F) has been deposited by the spray-pyrolysis technique on a monocrystalline diffused silicon wafer. Subsequently, the layer must undergo the firing step of screen-printed contacts with temperatures up to 830{sup o}C. After annealing, one notices with the naked eye the appearance of speckles disturbing the uniformity of the as-deposited blue-coloured SnO{sub 2}:F. Characterizations such as XPS, FTIR, RBS, XRD, SEM, Hall Effect, four point probe...etc, are all consistent to reveal a net increase of the SnO{sub 2}:F layer resistivity which leads to efficiency degradation. Annealing the thin films under CO and 90% N{sub 2}-10% H{sub 2} atmospheres was investigated to seek possibilities to preserve the expected improvements. Unlike forming gas, CO reducing ambient was found to be very effective for the high temperature contact firing with no thin film conductivity deterioration. (author)
FEM-based Printhead Intelligent Adjusting Method for Printing Conduct Material
Directory of Open Access Journals (Sweden)
Liang Xiaodan
2017-01-01
Full Text Available Ink-jet printing circuit board has some advantage, such as non-contact manufacture, high manufacture accuracy, and low pollution and so on. In order to improve the and printing precision, the finite element technology is adopted to model the piezoelectric print heads, and a new bacteria foraging algorithm with a lifecycle strategy is proposed to optimize the parameters of driving waveforms for getting the desired droplet characteristics. Results of numerical simulation show such algorithm has a good performance. Additionally, the droplet jetting simulation results and measured results confirmed such method precisely gets the desired droplet characteristics.
Occlusion properties of prosthetic contact lenses for the treatment of amblyopia.
Collins, Randall S; McChesney, Megan E; McCluer, Craig A; Schatz, Martha P
2008-12-01
The efficacy of opaque contact lenses as occlusion therapy for amblyopia has been established in the literature. Prosthetic contact lenses use similar tints to improve cosmesis in scarred or deformed eyes and may be an alternative in occlusion therapy. To test this idea, we determined the degree of vision penalization elicited by prosthetic contact lenses and their effect on peripheral fusion. We tested 19 CIBA Vision DuraSoft 3 Prosthetic soft contact lenses with varying iris prints, underprints, and opaque pupil sizes in 10 volunteers with best-corrected Snellen distance visual acuity of 20/20 or better in each eye. Snellen visual acuity and peripheral fusion using the Worth 4-Dot test at near were measured on each subject wearing each of the 19 lenses. Results were analyzed with 3-factor analysis of variance. Mean visual acuity through the various lenses ranged from 20/79 to 20/620. Eight lenses allowed preservation of peripheral fusion in 50% or more of the subjects tested. Iris print pattern and opaque pupil size were significant factors in determining visual acuity (p occlusion with prosthetic contact lenses a viable therapy for amblyopia. The degree of penalization can be varied and different iris print patterns and pupil sizes, using peripheral fusion, can be preserved with some lenses. Prosthetic contact lenses can be more cosmetically appealing and more tolerable than other amblyopia treatment modalities. These factors may improve compliance in occlusion therapy.
Inkjet-Printed Biofunctional Thermo-Plasmonic Interfaces for Patterned Neuromodulation.
Kang, Hongki; Lee, Gu-Haeng; Jung, Hyunjun; Lee, Jee Woong; Nam, Yoonkey
2018-02-27
Localized heat generation by the thermo-plasmonic effect of metal nanoparticles has great potential in biomedical engineering research. Precise patterning of the nanoparticles using inkjet printing can enable the application of the thermo-plasmonic effect in a well-controlled way (shape and intensity). However, a universally applicable inkjet printing process that allows good control in patterning and assembly of nanoparticles with good biocompatibility is missing. Here we developed inkjet-printing-based biofunctional thermo-plasmonic interfaces that can modulate biological activities. We found that inkjet printing of plasmonic nanoparticles on a polyelectrolyte layer-by-layer substrate coating enables high-quality, biocompatible thermo-plasmonic interfaces across various substrates (rigid/flexible, hydrophobic/hydrophilic) by induced contact line pinning and electrostatically assisted nanoparticle assembly. We experimentally confirmed that the generated heat from the inkjet-printed thermo-plasmonic patterns can be applied in micrometer resolution over a large area. Lastly, we demonstrated that the patterned thermo-plasmonic effect from the inkjet-printed gold nanorods can selectively modulate neuronal network activities. This inkjet printing process therefore can be a universal method for biofunctional thermo-plasmonic interfaces in various bioengineering applications.
WE-AB-204-03: A Novel 3D Printed Phantom for 4D PET/CT Imaging and SIB Radiotherapy Verification
International Nuclear Information System (INIS)
Soultan, D; Murphy, J; Moiseenko, V; Cervino, L; Gill, B
2015-01-01
Purpose: To construct and test a 3D printed phantom designed to mimic variable PET tracer uptake seen in lung tumor volumes. To assess segmentation accuracy of sub-volumes of the phantom following 4D PET/CT scanning with ideal and patient-specific respiratory motion. To plan, deliver and verify delivery of PET-driven, gated, simultaneous integrated boost (SIB) radiotherapy plans. Methods: A set of phantoms and inserts were designed and manufactured for a realistic representation of lung cancer gated radiotherapy steps from 4D PET/CT scanning to dose delivery. A cylindrical phantom (40x 120 mm) holds inserts for PET/CT scanning. The novel 3D printed insert dedicated to 4D PET/CT mimics high PET tracer uptake in the core and lower uptake in the periphery. This insert is a variable density porous cylinder (22.12×70 mm), ABS-P430 thermoplastic, 3D printed by uPrint SE Plus with inner void volume (5.5×42 mm). The square pores (1.8×1.8 mm2 each) fill 50% of outer volume, resulting in a 2:1 SUV ratio of PET-tracer in the void volume with respect to porous volume. A matching in size cylindrical phantom is dedicated to validate gated radiotherapy. It contains eight peripheral holes matching the location of the porous part of the 3D printed insert, and one central hole. These holes accommodate adaptors for Farmer-type ion chamber and cells vials. Results: End-to-end test were performed from 4D PET/CT scanning to transferring data to the planning system and target volume delineation. 4D PET/CT scans were acquired of the phantom with different respiratory motion patterns and gating windows. A measured 2:1 18F-FDG SUV ratio between inner void and outer volume matched the 3D printed design. Conclusion: The novel 3D printed phantom mimics variable PET tracer uptake typical of tumors. Obtained 4D PET/CT scans are suitable for segmentation, treatment planning and delivery in SIB gated treatments of NSCLC
Electrical Contacts in Monolayer Arsenene Devices.
Wang, Yangyang; Ye, Meng; Weng, Mouyi; Li, Jingzhen; Zhang, Xiuying; Zhang, Han; Guo, Ying; Pan, Yuanyuan; Xiao, Lin; Liu, Junku; Pan, Feng; Lu, Jing
2017-08-30
Arsenene, arsenic analogue of graphene, as an emerging member of two-dimensional semiconductors (2DSCs), is quite promising in next-generation electronic and optoelectronic applications. The metal electrical contacts play a vital role in the charge transport and photoresponse processes of nanoscale 2DSC devices and even can mask the intrinsic properties of 2DSCs. Here, we present a first comprehensive study of the electrical contact properties of monolayer (ML) arsenene with different electrodes by using ab initio electronic calculations and quantum transport simulations. Schottky barrier is always formed with bulk metal contacts owing to the Fermi level pinning (pinning factor S = 0.33), with electron Schottky barrier height (SBH) of 0.12, 0.21, 0.25, 0.35, and 0.50 eV for Sc, Ti, Ag, Cu, and Au contacts and hole SBH of 0.75 and 0.78 eV for Pd and Pt contacts, respectively. However, by contact with 2D graphene, the Fermi level pinning effect can be reduced due to the suppression of metal-induced gap states. Remarkably, a barrier free hole injection is realized in ML arsenene device with graphene-Pt hybrid electrode, suggestive of a high device performance in such a ML arsenene device. Our study provides a theoretical foundation for the selection of favorable electrodes in future ML arsenene devices.
Low-cost multicrystalline back-contact silicon solar cells with screen printed metallization
International Nuclear Information System (INIS)
Neu, W.; Kress, A.; Jooss, W.; Fath, P.; Bucher, E.
2002-01-01
Adaptation to market requirements is a permanent challenge in industrial solar-cell production. Both increase of cell efficiency as well as lowering costs is demanded. Back-contacted solar cells offer multiple advantages in terms of reducing module assembling costs and enhanced cell efficiency. The investigated emitter-wrap-through (EWT) design [1] has a collecting emitter on front and rear side. These emitter areas are electrically connected by small holes. Due to the double-sided collecting junction, this cell design is favourable for materials with a low-minority charge carrier diffusion length leading to a higher short circuit current density. Until now most investigations on EWT solar cells were performed on Cz or even FZ silicon. This was justified as long as different processing techniques had to be developed and compared. But as an industrially applicable process sequence has recently been developed [2], the advantages of the EWT concept compared to conventionally processed cells have to be shown on multicrystalline material. In the following, a manufacturing process of EWT solar cells is presented which is especially adapted to the requirements of multicrystalline silicon. Effective surface texturization was reached by mechanical V-texturization and bulk passivation by a hydrogen plasma treatment. The efficiency of the best solar cells within this process reached 14.2% which is the highest efficiency reported so far for mc-Si 10x10 cm 2 EWT solar cells [3]. (author)
Vijayan, Ramachandran Ammapet
2018-02-14
Silicon heterojunction solar cells enable high conversion efficiencies, thanks to their passivating contacts which consist of layered stacks of intrinsic and doped amorphous silicon. However, such contacts may reduce the photo current, when present on the illuminated side of the cell. This motivates the search for wider bandgap contacting materials, such as metal oxides. In this paper, we elucidate the precise impact of the material parameters of MoO
Digital laser printing of metal/metal-oxide nano-composites with tunable electrical properties
International Nuclear Information System (INIS)
Zenou, M; Kotler, Z; Sa’ar, A
2016-01-01
We study the electrical properties of aluminum structures printed by the laser forward transfer of molten, femtoliter droplets in air. The resulting printed material is an aluminum/aluminum-oxide nano-composite. By controlling the printing conditions, and thereby the droplet volume, its jetting velocity and duration, it is possible to tune the electrical resistivity to a large extent. The material resistivity depends on the degree of oxidation which takes place during jetting and on the formation of electrical contact points as molten droplets impact the substrate. Evidence for these processes is provided by FIB cross sections of printed structures. (paper)
Fully Printed, Flexible, Phased Array Antenna for Lunar Surface Communication
Subbaraman, Harish; Hen, Ray T.; Lu, Xuejun; Chen, Maggie Yihong
2013-01-01
NASAs future exploration missions focus on the manned exploration of the Moon, Mars, and beyond, which will rely heavily on the development of a reliable communications infrastructure from planetary surface-to-surface, surface-to-orbit, and back to Earth. Flexible antennas are highly desired in many scenarios. Active phased array antennas (active PAAs) with distributed control and processing electronics at the surface of an antenna aperture offer numerous advantages for radar communications. Large-area active PAAs on flexible substrates are of particular interest in NASA s space radars due to their efficient inflatable package that can be rolled up during transportation and deployed in space. Such an inflatable package significantly reduces stowage volume and mass. Because of these performance and packaging advantages, large-area inflatable active PAAs are highly desired in NASA s surface-to-orbit and surface-to-relay communications. To address the issues of flexible electronics, a room-temperature printing process of active phased-array antennas on a flexible Kapton substrate was developed. Field effect transistors (FETs) based on carbon nanotubes (CNTs), with many unique physical properties, were successfully proved feasible for the PAA system. This innovation is a new type of fully inkjet-printable, two-dimensional, high-frequency PAA on a flexible substrate at room temperature. The designed electronic circuit components, such as the FET switches in the phase shifter, metal interconnection lines, microstrip transmission lines, etc., are all printed using a special inkjet printer. Using the developed technology, entire 1x4, 2x2, and 4x4 PAA systems were developed, packaged, and demonstrated at 5.3 GHz. Several key solutions are addressed in this work to solve the fabrication issues. The source/drain contact is developed using droplets of silver ink printed on the source/drain areas prior to applying CNT thin-film. The wet silver ink droplets allow the silver to
Study of aerosol jet printing with dry nanoparticles synthesized by spark discharge
Efimov, A. A.; Arsenov, P. V.; Volkov, I. A.; Urazov, M. N.; Ivanov, V. V.
2017-11-01
A new method of aerosol jet printing utilizing dry (solvent-free) airborne nanoparticles generated by spark discharge is proposed. This method was applied to fabricate thin conducting lines (60-160 μm) composed of silver nanoparticles on the surface of glass substrates. It has been demonstrated that the line width is determined by a sheath flow rate, while its thickness and cross-sectional area can be scaled up by a number of printing runs. The resistivity of printed lines after the annealing was found to be five times higher than that of bulk silver that is attributed to the porosity and the interparticle contact resistance. The proposed method holds promise for the application in technologies of printed electronics.
Propylene glycol dermatitis in the printing industry: the fundamental role of a workplace visit.
Noiles, Kristin; Kudla, Irena; DeKoven, Joel
2010-01-01
Workers in the printing industry serve as an example of a working population that is at high risk of developing occupational skin disease. Daily exposures include both irritants and sensitizing agents. While many substances have been associated with occupational contact dermatitis in this population, no detailed cases of allergic contact dermatitis (ACD) from propylene glycol (PG) have been reported to date. We present a case of a printing tradesman who developed work-related ACD from PG and who was subsequently able to return to work after a multidisciplinary team assessment that included a comprehensive worksite visit by a clinical occupational hygienist.
Kotadiya, Naresh B; Lu, Hao; Mondal, Anirban; Ie, Yutaka; Andrienko, Denis; Blom, Paul W M; Wetzelaer, Gert-Jan A H
2018-04-01
Barrier-free (Ohmic) contacts are a key requirement for efficient organic optoelectronic devices, such as organic light-emitting diodes, solar cells, and field-effect transistors. Here, we propose a simple and robust way of forming an Ohmic hole contact on organic semiconductors with a high ionization energy (IE). The injected hole current from high-work-function metal-oxide electrodes is improved by more than an order of magnitude by using an interlayer for which the sole requirement is that it has a higher IE than the organic semiconductor. Insertion of the interlayer results in electrostatic decoupling of the electrode from the semiconductor and realignment of the Fermi level with the IE of the organic semiconductor. The Ohmic-contact formation is illustrated for a number of material combinations and solves the problem of hole injection into organic semiconductors with a high IE of up to 6 eV.
Alssabbagh, Moayyad; Tajuddin, Abd Aziz; Abdulmanap, Mahayuddin; Zainon, Rafidah
2017-06-01
Recently, the three-dimensional printer has started to be utilized strongly in medical industries. In the human body, many parts or organs can be printed from 3D images to meet accurate organ geometries. In this study, five common 3D printing materials were evaluated in terms of their elementary composition and the mass attenuation coefficients. The online version of XCOM photon cross-section database was used to obtain the attenuation values of each material. The results were compared with the attenuation values of the thyroid listed in the International Commission on Radiation Units and Measurements - ICRU 44. Two original thyroid models (hollow-inside and solid-inside) were designed from scratch to be used in nuclear medicine, diagnostic radiology and radiotherapy for dosimetry and image quality purposes. Both designs have three holes for installation of radiation dosimeters. The hollow-inside model has more two holes in the top for injection the radioactive materials. The attenuation properties of the Polylactic Acid (PLA) material showed a very good match with the thyroid tissue, which it was selected to 3D print the phantom using open source RepRap, Prusa i3 3D printer. The scintigraphy images show that the phantom simulates a real healthy thyroid gland and thus it can be used for image quality purposes. The measured CT numbers of the PA material after the 3D printing show a close match with the human thyroid CT numbers. Furthermore, the phantom shows a good accommodation of the TLD dosimeters inside the holes. The 3D fabricated thyroid phantom simulates the real shape of the human thyroid gland with a changeable geometrical shape-size feature to fit different age groups. By using 3D printing technology, the time required to fabricate the 3D phantom was considerably shortened compared to the longer conventional methods, where it took only 30 min to print out the model. The 3D printing material used in this study is commercially available and cost
Oxygen permeability of the pigmented material used in cosmetic daily disposable contact lenses
Directory of Open Access Journals (Sweden)
Galas S
2016-12-01
Full Text Available Stephen Galas, Lenora L Copper Johnson & Johnson Vision Care Inc., Jacksonville, FL, USA Purpose: To evaluate the individual contributions of pigment colorant and packing solution containing polyvinyl pyrrolidone (PVP on the oxygen permeability (Dk of a cosmetic printed etafilcon A daily disposable contact lens packaged with PVP. Method: The oxygen transport of a contact lens is evaluated through the central optical zone of the lens. Cosmetic printed contact lenses contain pigment colorant in the periphery or mid-periphery of the lens. Therefore, to assess the impact of cosmetic print on oxygen permeability, special lenses need to be produced that contain the colorant within the central optical zone. This technique was used to obtain multiple measurements of nonedge-corrected Dk/t of both the center pigmented lens and its nonpigmented equivalent, using a polarographic measurement described in International Organization for Standardization (ISO 18369-4:2006(E, and the Dk derived for each measurement is corrected for edge effect. In addition, the edge-corrected Dk values of lenses made from the same monomer batch were measured. The lenses were packaged and autoclaved with and without proprietary technology which embeds PVP in the contact lens during autoclaving. The resulting Dk value of the printed lens material was then used with thickness data to generate true Dk/t profiles for a given lens power. Results: The edge-corrected Dk of the printed etafilcon A lens with offset pigment colorant was measured to be 19.7×10-11 (cm2/s (mL O2/mL·mmHg at 35°C. This was within ±20% tolerance range as specified in ISO 18369-2:2012(E for the edge-corrected Dk of the nonpigmented etafilcon A control lens evaluated during the same session, 19.5×10-11 (cm2/s (mL O2/mL·mmHg. The edge-corrected Dk values of the lenses packaged with PVP (mean 20.1, standard deviation [SD] 0.3 were also within the ±20% tolerance range compared to those packaged without PVP
The role of printing techniques for large-area dye sensitized solar cells
International Nuclear Information System (INIS)
Mariani, Paolo; Vesce, Luigi; Di Carlo, Aldo
2015-01-01
The versatility of printing technologies and their intrinsic ability to outperform other techniques in large-area deposition gives scope to revolutionize the photovoltaic (PV) manufacturing field. Printing methods are commonly used in conventional silicon-based PVs to cover part of the production process. Screen printing techniques, for example, are applied to deposit electrical contacts on the silicon wafer. However, it is with the advent of third generation PVs that printing/coating techniques have been extensively used in almost all of the manufacturing processes. Among all the third generation PVs, dye sensitized solar cell (DSSC) technology has been developed up to commercialization levels. DSSCs and modules can be fabricated by adopting all of the main printing techniques on both rigid and flexible substrates. This allows an easy tuning of cell/module characteristics to the desired application. Transparency, colour, shape, layout and other DSSC’s features can be easily varied by changing the printing parameters and paste/ink formulations used in the printing process. This review focuses on large-area printing/coating technologies for the fabrication of DSSCs devices. The most used and promising techniques are presented underlining the process parameters and applications. (paper)
The role of printing techniques for large-area dye sensitized solar cells
Mariani, Paolo; Vesce, Luigi; Di Carlo, Aldo
2015-10-01
The versatility of printing technologies and their intrinsic ability to outperform other techniques in large-area deposition gives scope to revolutionize the photovoltaic (PV) manufacturing field. Printing methods are commonly used in conventional silicon-based PVs to cover part of the production process. Screen printing techniques, for example, are applied to deposit electrical contacts on the silicon wafer. However, it is with the advent of third generation PVs that printing/coating techniques have been extensively used in almost all of the manufacturing processes. Among all the third generation PVs, dye sensitized solar cell (DSSC) technology has been developed up to commercialization levels. DSSCs and modules can be fabricated by adopting all of the main printing techniques on both rigid and flexible substrates. This allows an easy tuning of cell/module characteristics to the desired application. Transparency, colour, shape, layout and other DSSC’s features can be easily varied by changing the printing parameters and paste/ink formulations used in the printing process. This review focuses on large-area printing/coating technologies for the fabrication of DSSCs devices. The most used and promising techniques are presented underlining the process parameters and applications.
International Nuclear Information System (INIS)
Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik
2014-01-01
Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%
Contact angle control of sessile drops on a tensioned web
Park, Janghoon; Kim, Dongguk; Lee, Changwoo
2018-04-01
In this study, the influence of the change of tension applied to flexible and thin web substrate on the contact angle of sessile drop in roll-to-roll system was investigated. Graphene oxide and deionized water solutions were used in the experiments. Tension was changed to 29, 49, and 69 N, and the casting distance of the micropipette and the material was set to 10, 20, and 40 mm, and the droplet volume was set to 10, 20, and 30 μL, respectively. Statistical analysis of three variables and analysis of the variance methodology showed that the casting distance was most significant for the contact angle change, and the most interesting tension variable was also affected. The change in tension caused the maximum contact angle to change by 5.5°. The tension was not uniform in the width direction. When the droplet was applied in the same direction in the width direction, it was confirmed that the tension unevenness had great influence on the contact angle up to 11°. Finally, the casting distance, which has a large effect on the contact angle, was calibrated in the width direction to reduce the width direction contact angle deviation to 1%. This study can be applied to fine patterning research using continuous inkjet printing and aerosol jet printing, which are roll-to-roll processes based on droplet handling.
International Nuclear Information System (INIS)
Kim, Bongjun; Jang, Seonpil; Dodabalapur, Ananth; Geier, Michael L.; Prabhumirashi, Pradyumna L.; Hersam, Mark C.
2014-01-01
We report ambipolar field-effect transistors (FETs) consisting of inkjet printed semiconductor bilayer heterostructures utilizing semiconducting single-walled carbon nanotubes (SWCNTs) and amorphous zinc tin oxide (ZTO). The bilayer structure allows for electron transport to occur principally in the amorphous oxide layer and hole transport to occur exclusively in the SWCNT layer. This results in balanced electron and hole mobilities exceeding 2 cm 2 V −1 s −1 at low operating voltages ( 10). This work provides a pathway for realizing solution processable, inkjet printable, large area electronic devices, and systems based on SWCNT-amorphous oxide heterostructures
Hybrid emitter all back contact solar cell
Loscutoff, Paul; Rim, Seung
2016-04-12
An all back contact solar cell has a hybrid emitter design. The solar cell has a thin dielectric layer formed on a backside surface of a single crystalline silicon substrate. One emitter of the solar cell is made of doped polycrystalline silicon that is formed on the thin dielectric layer. The other emitter of the solar cell is formed in the single crystalline silicon substrate and is made of doped single crystalline silicon. The solar cell includes contact holes that allow metal contacts to connect to corresponding emitters.
Soft Somatosensitive Actuators via Embedded 3D Printing.
Truby, Ryan L; Wehner, Michael; Grosskopf, Abigail K; Vogt, Daniel M; Uzel, Sebastien G M; Wood, Robert J; Lewis, Jennifer A
2018-04-01
Humans possess manual dexterity, motor skills, and other physical abilities that rely on feedback provided by the somatosensory system. Herein, a method is reported for creating soft somatosensitive actuators (SSAs) via embedded 3D printing, which are innervated with multiple conductive features that simultaneously enable haptic, proprioceptive, and thermoceptive sensing. This novel manufacturing approach enables the seamless integration of multiple ionically conductive and fluidic features within elastomeric matrices to produce SSAs with the desired bioinspired sensing and actuation capabilities. Each printed sensor is composed of an ionically conductive gel that exhibits both long-term stability and hysteresis-free performance. As an exemplar, multiple SSAs are combined into a soft robotic gripper that provides proprioceptive and haptic feedback via embedded curvature, inflation, and contact sensors, including deep and fine touch contact sensors. The multimaterial manufacturing platform enables complex sensing motifs to be easily integrated into soft actuating systems, which is a necessary step toward closed-loop feedback control of soft robots, machines, and haptic devices. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Energy Technology Data Exchange (ETDEWEB)
Brondijk, J.J.; Li, X.; Akkerman, H.B.; Blom, P.W.M.; Boer, B. de [University of Groningen, Molecular Electronics, Zernike Institute for Advanced Materials, Groningen (Netherlands)
2009-04-15
By patterning a self-assembled monolayer (SAM) of thiolated molecules with opposing dipole moments on a gold anode of a polymer light-emitting diode (PLED), the charge injection and, therefore, the light-emission of the device can be controlled with a micrometer-scale resolution. Gold surfaces were modified with SAMs based on alkanethiols and perfluorinated alkanethiols, applied by microcontact printing, and their work functions have been measured. The molecules form a chemisorbed monolayer of only {proportional_to}1.5 nm on the gold surface, thereby locally changing the work function of the metal. Kelvin probe measurements show that the local work function can be tuned from 4.3 to 5.5 eV, which implies that this anode can be used as a hole blocking electrode or as a hole injecting electrode, respectively, in PLEDs based on poly(p-phenylene vinylene) (PPV) derivatives. By microcontact printing of SAMs with opposing dipole moments, the work function was locally modified and the charge injection in the PLED could be controlled down to the micrometer length scale. Consequently, the local light-emission exhibits a high contrast. Microcontact printing of SAMs is a simple and inexpensive method to pattern, with micrometer resolution, the light-emission for low-end applications like static displays. (orig.)
Brondijk, J. J.; Li, X.; Akkerman, H. B.; Blom, P. W. M.; de Boer, B.
2009-04-01
By patterning a self-assembled monolayer (SAM) of thiolated molecules with opposing dipole moments on a gold anode of a polymer light-emitting diode (PLED), the charge injection and, therefore, the light-emission of the device can be controlled with a micrometer-scale resolution. Gold surfaces were modified with SAMs based on alkanethiols and perfluorinated alkanethiols, applied by microcontact printing, and their work functions have been measured. The molecules form a chemisorbed monolayer of only ˜1.5 nm on the gold surface, thereby locally changing the work function of the metal. Kelvin probe measurements show that the local work function can be tuned from 4.3 to 5.5 eV, which implies that this anode can be used as a hole blocking electrode or as a hole injecting electrode, respectively, in PLEDs based on poly( p-phenylene vinylene) (PPV) derivatives. By microcontact printing of SAMs with opposing dipole moments, the work function was locally modified and the charge injection in the PLED could be controlled down to the micrometer length scale. Consequently, the local light-emission exhibits a high contrast. Microcontact printing of SAMs is a simple and inexpensive method to pattern, with micrometer resolution, the light-emission for low-end applications like static displays.
22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector
Energy Technology Data Exchange (ETDEWEB)
Geissbühler, Jonas, E-mail: jonas.geissbuehler@epfl.ch; Werner, Jérémie; Martin de Nicolas, Silvia; Hessler-Wyser, Aïcha; Tomasi, Andrea; Niesen, Bjoern; De Wolf, Stefaan [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); Barraud, Loris; Despeisse, Matthieu; Nicolay, Sylvain [CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland); Ballif, Christophe [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland)
2015-08-24
Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide-bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p-type amorphous silicon with molybdenum oxide films. In this article, we evidence that annealing above 130 °C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited copper front metallization and demonstrate a silicon heterojunction solar cell with molybdenum oxide hole collector, featuring a fill factor value higher than 80% and certified energy conversion efficiency of 22.5%.
Stanislav Bolanča; Igor Majnarić; Kristijan Golubović
2015-01-01
Printing packaging covers today about 50% of all the printing products. Among the printing products there are printing on labels, printing on flexible packaging, printing on folding boxes, printing on the boxes of corrugated board, printing on glass packaging, synthetic and metal ones. The mentioned packaging are printed in flexo printing technique, offset printing technique, intaglio halftone process, silk – screen printing, ink ball printing, digital printing and hybrid printing process. T...
Freeze-drying wet digital prints: An option for salvage?
International Nuclear Information System (INIS)
Juergens, M C; Schempp, N
2010-01-01
On the occasion of the collapse of the Historical Archive of the City of Cologne in March 2009 and the ensuing salvage effort, questions were raised about the use of freeze-drying for soaked digital prints, a technique that has not yet been evaluated for these materials. This study examines the effects of immersion, air-drying, drying in a blotter stack, freezing and freeze-drying on 35 samples of major digital printing processes. The samples were examined visually before, during and after testing; evaluation of the results was qualitative. Results show that some prints were already damaged by immersion alone (e.g. bleeding inks and soluble coatings) to the extent that the subsequent choice of drying method made no significant difference any more. For those samples that did survive immersion, air-drying proved to be crucial for water-sensitive prints, since any contact with the wet surface caused serious damage. Less water-sensitive prints showed no damage throughout the entire procedure, regardless of drying method. Some prints on coated media suffered from minor surface disruption up to total delamination of the surface coating due to the formation of ice crystals during shock-freezing. With few exceptions, freeze-drying did not cause additional damage to any of the prints that hadn't already been damaged by freezing. It became clear that an understanding of the process and materials is important for choosing an appropriate drying method.
Direct Fabrication of Inkjet-Printed Dielectric Film for Metal-Insulator-Metal Capacitors
Cho, Cheng-Lin; Kao, Hsuan-ling; Wu, Yung-Hsien; Chang, Li-Chun; Cheng, Chun-Hu
2018-01-01
In this study, an inkjet-printed dielectric film that used a polymer-based SU-8 ink was fabricated for use in a metal-insulator-metal (MIM) capacitor. Thermal treatment of the inkjet-printed SU-8 polymer film affected its surface morphology, chemical structure, and surface wettability. A 20-min soft-bake at 60°C was applied to eliminate inkjet-printed bubbles and ripples. The ultraviolet-exposed SU-8 polymer film was crosslinked at temperatures between 120°C and 220°C and became disordered at 270°C, demonstrated using Fourier-transform infrared spectroscopy. A maximum SU-8 polymer film hard-bake temperature of 120°C was identified, and a printing process was subsequently employed because the appropriate water contact angle of the printed film was 79°. Under the appropriate inkjet printing conditions, the two-transmission-line method was used to extract the dielectric and electrical properties of the SU-8 polymer film, and the electrical behavior of the fabricated MIM capacitor was also characterized.
Droplet printing through bubble contact in the laser forward transfer of liquids
International Nuclear Information System (INIS)
Duocastella, M.; Fernandez-Pradas, J.M.; Morenza, J.L.; Serra, P.
2011-01-01
The deposition process of the laser-induced forward transfer of liquids at high laser fluences is analyzed through time-resolved imaging. It has been found that, at these conditions, sessile droplets are deposited due to the contact of a generated cavitation bubble with the receptor substrate, in contrast to the jet contact mechanism observed at low and moderate laser fluences. The bubble contact results in droplets with a larger diameter, a smaller contact angle and a lower uniformity than those of the jet mechanism. Therefore, in order to attain a high degree of resolution this mechanism should be prevented.
Droplet printing through bubble contact in the laser forward transfer of liquids
Energy Technology Data Exchange (ETDEWEB)
Duocastella, M. [Universitat de Barcelona, Departament de Fisica Aplicada i Optica, Marti i Franques 1, E-08028 Barcelona (Spain); Fernandez-Pradas, J.M., E-mail: jmfernandez@ub.edu [Universitat de Barcelona, Departament de Fisica Aplicada i Optica, Marti i Franques 1, E-08028 Barcelona (Spain); Morenza, J.L.; Serra, P. [Universitat de Barcelona, Departament de Fisica Aplicada i Optica, Marti i Franques 1, E-08028 Barcelona (Spain)
2011-01-15
The deposition process of the laser-induced forward transfer of liquids at high laser fluences is analyzed through time-resolved imaging. It has been found that, at these conditions, sessile droplets are deposited due to the contact of a generated cavitation bubble with the receptor substrate, in contrast to the jet contact mechanism observed at low and moderate laser fluences. The bubble contact results in droplets with a larger diameter, a smaller contact angle and a lower uniformity than those of the jet mechanism. Therefore, in order to attain a high degree of resolution this mechanism should be prevented.
The prevalence of occupational dermatitis in the UK printing industry.
Livesley, E J; Rushton, L; English, J S; Williams, H C
2002-07-01
To quantify occupational ill health resulting from dermatitis in the UK printing industry and to explore links with particular processes and activities. Approximately 2600 members of the Graphical, Paper and Media Union living in Nottinghamshire were sent a self completion questionnaire. A sample of respondents, both those who reported current skin problems and those who did not, were invited for a short dermatological examination. The overall response rate was 62%. A total of 1189 respondents were directly involved in the printing industry and categorised according to work in pre-press (25%), printing (46%), or finishing (42%) processes. A total of 490 respondents (41%) self reported having a skin complaint at some time. Prevalence was highest in males (43%) and those working in printing (49%), in particular those who cleaned rollers and cylinders or who came into contact with substances containing isocyanates on a daily basis. The most commonly affected areas reported were the fingers and webs between the fingers. Twenty six per cent of the 490 reported a current problem on the hand. Reported symptoms included itching (61%), rash (58%), and dry skin (56%). Although certain printing industry substances were thought by respondents to aggravate their condition, constant washing and friction was most often cited. Reported use of protective equipment and cleansing products was generally high, particularly by printers. Clinical examination confirmed the high self reported prevalence and also identified a substantial proportion of mild cases which were not reported. The overall prevalence of occupationally related skin complaints is estimated to be 40%. A much higher prevalence of dermatitis has been identified than from routine surveillance schemes. The use of good quality records from unions with high membership facilitated access to workers across a range of company sites and printing processes. Validation of self reported symptoms through clinical examination was
Oxygen permeability of the pigmented material used in cosmetic daily disposable contact lenses.
Galas, Stephen; Copper, Lenora L
2016-01-01
To evaluate the individual contributions of pigment colorant and packing solution containing polyvinyl pyrrolidone (PVP) on the oxygen permeability (Dk) of a cosmetic printed etafilcon A daily disposable contact lens packaged with PVP. The oxygen transport of a contact lens is evaluated through the central optical zone of the lens. Cosmetic printed contact lenses contain pigment colorant in the periphery or mid-periphery of the lens. Therefore, to assess the impact of cosmetic print on oxygen permeability, special lenses need to be produced that contain the colorant within the central optical zone. This technique was used to obtain multiple measurements of nonedge-corrected Dk/t of both the center pigmented lens and its nonpigmented equivalent, using a polarographic measurement described in International Organization for Standardization (ISO) 18369-4:2006(E), and the Dk derived for each measurement is corrected for edge effect. In addition, the edge-corrected Dk values of lenses made from the same monomer batch were measured. The lenses were packaged and autoclaved with and without proprietary technology which embeds PVP in the contact lens during autoclaving. The resulting Dk value of the printed lens material was then used with thickness data to generate true Dk/t profiles for a given lens power. The edge-corrected Dk of the printed etafilcon A lens with offset pigment colorant was measured to be 19.7×10 -11 (cm 2 /s) (mL O 2 /mL·mmHg) at 35°C. This was within ±20% tolerance range as specified in ISO 18369-2:2012(E) for the edge-corrected Dk of the nonpigmented etafilcon A control lens evaluated during the same session, 19.5×10 -11 (cm 2 /s) (mL O 2 /mL·mmHg). The edge-corrected Dk values of the lenses packaged with PVP (mean 20.1, standard deviation [SD] 0.3) were also within the ±20% tolerance range compared to those packaged without PVP (mean 20.0, SD 0.3). The pigment colorant and PVP embedded in the contact lens during autoclaving were not found to
Print Quality of Ink Jet Printed PVC Foils
Directory of Open Access Journals (Sweden)
Nemanja Kašiković
2015-09-01
Full Text Available Digital printing technique is used for a wide variety of substrates, one of which are PVC foils. Samples used in this research were printed by digital ink jet printing technique using Mimaki JV22 printing machine and J-Eco Subly Nano inks. As printing substrates, two different types of materials were used (ORACAL 640 - Print Vinyl and LG Hausys LP2712. A test card consisting of fields of CMYK colours was created and printed, varying the number of ink layers applied. Samples were exposed to light after the printing process. Spectrophotometric measurements were conducted before and after the light treatment. Based on spectrophotometricaly obtained data, colour differences ΔE2000 were calculated. Results showed that increasing number of layers, as well as the right choice of substrates, can improve the behaviour of printed product during exploitation.
Deposition of bi-dispersed particles in inkjet-printed evaporating colloidal drops
Sun, Ying; Joshi, Abhijit; Chhasatia, Viral
2010-11-01
In this study, the deposition behaviors of inkjet-printed evaporating colloidal drops consisting of bi-dispersed micro and nano-sized particles are investigated by fluorescence microscopy and SEM. The results on hydrophilic glass substrates show that, evaporatively-driven outward flow drives the nanoparticles to deposit close to the pinned contact line while an inner ring deposition is formed by microparticles. This size-induced particle separation is consistent with the existence of a wedge-shaped drop edge near the contact line region of an evaporating drop on a hydrophilic substrate. The replenishing evaporatively-driven flow assembles nanoparticles closer to the pinned contact line forming an outer ring of nanoparticles and this particle jamming further enhances the contact line pinning. Microparticles are observed to form an inner ring inside the nano-sized deposits. This size-induced particle separation presents a new challenge to the uniformity of functional materials in bioprinting applications where nanoparticles and micro-sized cells are mixed together. On the other hand, particle self-assembly based on their sizes provides enables easy and well-controlled pattern formation. The effects of particle size contrast, particle volume fraction, substrate surface energy, and relative humidity of the printing environment on particle separation are examined in detail.
Improved Manufacturing Performance of Screen Printed Carbon Electrodes through Material Formulation.
Jewell, Eifion; Philip, Bruce; Greenwood, Peter
2016-06-27
Printed carbon graphite materials are the primary common component in the majority of screen printed sensors. Screen printing allows a scalable manufacturing solution, accelerating the means by which novel sensing materials can make the transition from laboratory material to commercial product. A common bottleneck in any thick film printing process is the controlled drying of the carbon paste material. A study has been undertaken which examines the interaction between material solvent, printed film conductivity and process consistency. The study illustrates that it is possible to reduce the solvent boiling point to significantly increase process productivity while maintaining process consistency. The lower boiling point solvent also has a beneficial effect on the conductivity of the film, reducing the sheet resistance. It is proposed that this is a result of greater film stressing increasing charge percolation through greater inter particle contact. Simulations of material performance and drying illustrate that a multi layered printing provides a more time efficient manufacturing method. The findings have implications for the volume manufacturing of the carbon sensor electrodes but also have implications for other applications where conductive carbon is used, such as electrical circuits and photovoltaic devices.
Surface functionalization of 3D-printed plastics via initiated chemical vapor deposition
Directory of Open Access Journals (Sweden)
Christine Cheng
2017-08-01
Full Text Available 3D printing is a useful fabrication technique because it offers design flexibility and rapid prototyping. The ability to functionalize the surfaces of 3D-printed objects allows the bulk properties, such as material strength or printability, to be chosen separately from surface properties, which is critical to expanding the breadth of 3D printing applications. In this work, we studied the ability of the initiated chemical vapor deposition (iCVD process to coat 3D-printed shapes composed of poly(lactic acid and acrylonitrile butadiene styrene. The thermally insulating properties of 3D-printed plastics pose a challenge to the iCVD process due to large thermal gradients along the structures during processing. In this study, processing parameters such as the substrate temperature and the filament temperature were systematically varied to understand how these parameters affect the uniformity of the coatings along the 3D-printed objects. The 3D-printed objects were coated with both hydrophobic and hydrophilic polymers. Contact angle goniometry and X-ray photoelectron spectroscopy were used to characterize the functionalized surfaces. Our results can enable the use of iCVD to functionalize 3D-printed materials for a range of applications such as tissue scaffolds and microfluidics.
Energy Technology Data Exchange (ETDEWEB)
Wang, Xianghua, E-mail: xhwang@hfut.edu.cn [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Yuan, Miao [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science & Applied Physics, Hefei University of Technology, Hefei 230009 (China); Xiong, Xianfeng; Chen, Mengjie [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Qin, Mengzhi [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science & Applied Physics, Hefei University of Technology, Hefei 230009 (China); Qiu, Longzhen; Lu, Hongbo; Zhang, Guobing; Lv, Guoqiang [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Choi, Anthony H.W. [Department of Electrical and Electronic Engineering, The University of Hong Kong, Hong Kong (China)
2015-03-02
Inkjet printing of 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-PEN), a small molecule organic semiconductor, is performed on two types of substrates. Hydrophilic SiO{sub 2} substrates prepared by a combination of surface treatments lead to either a smaller size or a coffee-ring profile of the single-drop film. A hydrophobic surface with dominant dispersive component of surface energy such as that of a spin-coated poly(4-vinylphenol) film favors profile formation with uniform thickness of the printed semiconductor owing to the strong dispersion force between the semiconductor molecules and the hydrophobic surface of the substrate. With a hydrophobic dielectric as the substrate and via a properly selected solvent, high quality TIPS-PEN films were printed at a very low substrate temperature of 35 °C. Saturated field-effect mobility measured with top-contact thin-film transistor structure shows a narrow distribution and a maximum of 0.78 cm{sup 2}V{sup −1} s{sup −1}, which confirmed the film growth on the hydrophobic substrate with increased crystal coverage and continuity under the optimized process condition. - Highlights: • Hydrophobic substrates were employed to inhibit the coffee-ring effect. • Contact-line pinning is primarily controlled by the dispersion force. • Solvent selection is critical to crystal coverage of the printed film. • High performance and uniformity are achieved by process optimization.
Directory of Open Access Journals (Sweden)
Stanislav Bolanča
2015-12-01
Full Text Available Printing packaging covers today about 50% of all the printing products. Among the printing products there are printing on labels, printing on flexible packaging, printing on folding boxes, printing on the boxes of corrugated board, printing on glass packaging, synthetic and metal ones. The mentioned packaging are printed in flexo printing technique, offset printing technique, intaglio halftone process, silk – screen printing, ink ball printing, digital printing and hybrid printing process. The possibilities of particular printing techniques for optimal production of the determined packaging were studied in the paper. The problem was viewed from the technological and economical aspect. The possible printing quality and the time necessary for the printing realization were taken as key parameters. An important segment of the production and the way of life is alocation value and it had also found its place in this paper. The events in the field of packaging printing in the whole world were analyzed. The trends of technique developments and the printing technology for packaging printing in near future were also discussed.
Wet microcontact printing (µCP) for micro-reservoir drug delivery systems
International Nuclear Information System (INIS)
Lee, Hong-Pyo; Ryu, WonHyoung
2013-01-01
When micro-reservoir-type drug delivery systems are fabricated, loading solid drugs in drug reservoirs at microscale is often a non-trivial task. This paper presents a simple and effective solution to load a small amount of drug solution at microscale using ‘wet’ microcontact printing (µCP). In this wet µCP, a liquid solution containing drug molecules (methylene blue and tetracycline HCl) dissolved in a carrier solvent was transferred to a target surface (drug reservoir) by contact printing process. In particular, we have investigated the dependence of the quantity and morphology of transferred drug molecules on the stamp size, concentration, printing times, solvent types and surfactant concentration. It was also found that the repetition of printing using a non-volatile solvent such as polyethylene glycol (PEG) as a drug carrier material actually increased the transferred amount of drug molecules in proportion to the printing times based on asymmetric liquid bridge formation. Utilizing this wet µCP, drug delivery devices containing different quantity of drugs in micro-reservoirs were fabricated and their performance as controlled drug delivery devices was demonstrated. (paper)
Laser printing of 3D metallic interconnects
Beniam, Iyoel; Mathews, Scott A.; Charipar, Nicholas A.; Auyeung, Raymond C. Y.; Piqué, Alberto
2016-04-01
The use of laser-induced forward transfer (LIFT) techniques for the printing of functional materials has been demonstrated for numerous applications. The printing gives rise to patterns, which can be used to fabricate planar interconnects. More recently, various groups have demonstrated electrical interconnects from laser-printed 3D structures. The laser printing of these interconnects takes place through aggregation of voxels of either molten metal or of pastes containing dispersed metallic particles. However, the generated 3D structures do not posses the same metallic conductivity as a bulk metal interconnect of the same cross-section and length as those formed by wire bonding or tab welding. An alternative is to laser transfer entire 3D structures using a technique known as lase-and-place. Lase-and-place is a LIFT process whereby whole components and parts can be transferred from a donor substrate onto a desired location with one single laser pulse. This paper will describe the use of LIFT to laser print freestanding, solid metal foils or beams precisely over the contact pads of discrete devices to interconnect them into fully functional circuits. Furthermore, this paper will also show how the same laser can be used to bend or fold the bulk metal foils prior to transfer, thus forming compliant 3D structures able to provide strain relief for the circuits under flexing or during motion from thermal mismatch. These interconnect "ridges" can span wide gaps (on the order of a millimeter) and accommodate height differences of tens of microns between adjacent devices. Examples of these laser printed 3D metallic bridges and their role in the development of next generation electronics by additive manufacturing will be presented.
Applications of laser printing for organic electronics
Delaporte, Ph.; Ainsebaa, A.; Alloncle, A.-P.; Benetti, M.; Boutopoulos, C.; Cannata, D.; Di Pietrantonio, F.; Dinca, V.; Dinescu, M.; Dutroncy, J.; Eason, R.; Feinaugle, M.; Fernández-Pradas, J.-M.; Grisel, A.; Kaur, K.; Lehmann, U.; Lippert, T.; Loussert, C.; Makrygianni, M.; Manfredonia, I.; Mattle, T.; Morenza, J.-L.; Nagel, M.; Nüesch, F.; Palla-Papavlu, A.; Rapp, L.; Rizvi, N.; Rodio, G.; Sanaur, S.; Serra, P.; Shaw-Stewart, J.; Sones, C. L.; Verona, E.; Zergioti, I.
2013-03-01
The development of organic electronic requires a non contact digital printing process. The European funded e-LIFT project investigated the possibility of using the Laser Induced Forward Transfer (LIFT) technique to address this field of applications. This process has been optimized for the deposition of functional organic and inorganic materials in liquid and solid phase, and a set of polymer dynamic release layer (DRL) has been developed to allow a safe transfer of a large range of thin films. Then, some specific applications related to the development of heterogeneous integration in organic electronics have been addressed. We demonstrated the ability of LIFT process to print thin film of organic semiconductor and to realize Organic Thin Film Transistors (OTFT) with mobilities as high as 4 10-2 cm2.V-1.s-1 and Ion/Ioff ratio of 2.8 105. Polymer Light Emitting Diodes (PLED) have been laser printed by transferring in a single step process a stack of thin films, leading to the fabrication of red, blue green PLEDs with luminance ranging from 145 cd.m-2 to 540 cd.m-2. Then, chemical sensors and biosensors have been fabricated by printing polymers and proteins on Surface Acoustic Wave (SAW) devices. The ability of LIFT to transfer several sensing elements on a same device with high resolution allows improving the selectivity of these sensors and biosensors. Gas sensors based on the deposition of semiconducting oxide (SnO2) and biosensors for the detection of herbicides relying on the printing of proteins have also been realized and their performances overcome those of commercial devices. At last, we successfully laser-printed thermoelectric materials and realized microgenerators for energy harvesting applications.
Tunable inkjet-printed slotted waveguide antenna on a ferrite substrate
Nafe, Ahmed
2015-04-13
In this work an inkjet-printed frequency-tunable slotted waveguide antenna on a ferrite substrate is reported. Unlike the typical substrate integrated waveguide approach with via holes, a true 3D rectangular waveguide is realized by inkjet-printing of nano-particle based conductive ink on the broad faces as well as on sides of the substrate. The operating frequency of the antenna can be tuned by applying a variable static bias magnetic field that controls the permeability of the host ferrite substrate. The antenna operates about a center frequency of approximately 14 GHz with an instantaneous impedance bandwidth of 75 MHz. A fabricated prototype has demonstrated a tuning range of 10% (1.5 GHz) using an applied bias magnetic field of 3 kOe yielding it especially attractive for tunable and reconfigurable yet low cost microwave systems.
A function-driven characterization of printed conductors on PV cells
Bellotti, Roberto; Furin, Valentina; Maras, Claire; Bartolo Picotto, Gian; Ribotta, Luigi
2018-06-01
Nowadays the development in photovoltaic (PV) cells manufacturing requires increasingly sophisticated technologies, and in order to avoid efficiency losses in PV cell, printing techniques of the front contacts have to be well controlled. To this purpose, printed linear conductors (PLCs) on a PV standard cell are characterized by morphology- and resistance-based measurements, creating a well-calibrated test structure towards the development of an application-oriented material measure. It can be noticed that morphology and texture parameters determined by stylus and optical profilers are well in agreement, and the resistance calculated from the reconstructed cross-section area matches quite well the measured resistance of fingers. Uncertainties of about 14% to 17% are estimated for local measurements of morphology-based and measured resistance of finger segments up to 5 mm length. Fingers characterized by somewhat larger roughness/waviness values (, , ) show some local irregularities, which may degrade the electrical contact of the PV front surface.
Extrusion-Based 3D Printing of Hierarchically Porous Advanced Battery Electrodes.
Lacey, Steven D; Kirsch, Dylan J; Li, Yiju; Morgenstern, Joseph T; Zarket, Brady C; Yao, Yonggang; Dai, Jiaqi; Garcia, Laurence Q; Liu, Boyang; Gao, Tingting; Xu, Shaomao; Raghavan, Srinivasa R; Connell, John W; Lin, Yi; Hu, Liangbing
2018-03-01
A highly porous 2D nanomaterial, holey graphene oxide (hGO), is synthesized directly from holey graphene powder and employed to create an aqueous 3D printable ink without the use of additives or binders. Stable dispersions of hydrophilic hGO sheets in water (≈100 mg mL -1 ) can be readily achieved. The shear-thinning behavior of the aqueous hGO ink enables extrusion-based printing of fine filaments into complex 3D architectures, such as stacked mesh structures, on arbitrary substrates. The freestanding 3D printed hGO meshes exhibit trimodal porosity: nanoscale (4-25 nm through-holes on hGO sheets), microscale (tens of micrometer-sized pores introduced by lyophilization), and macroscale (benefit of (nano)porosity and structurally conscious designs, the additive-free architectures are demonstrated as the first 3D printed lithium-oxygen (Li-O 2 ) cathodes and characterized alongside 3D printed GO-based materials without nanoporosity as well as nanoporous 2D vacuum filtrated films. The results indicate the synergistic effect between 2D nanomaterials, hierarchical porosity, and overall structural design, as well as the promise of a freeform generation of high-energy-density battery systems. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Itoh, Eiji; Sakai, Shota; Fukuda, Katsutoshi
2018-03-01
We studied the effects of a hole buffer layer [molybdenum oxide (MoO3) and natural copper oxide layer] and a low-temperature-processed electron buffer layer on the performance of inverted bulk-heterojunction organic solar cells in a device consisting of indium-tin oxide (ITO)/poly(ethylene imine) (PEI)/titanium oxide nanosheet (TiO-NS)/poly(3-hexylthiopnehe) (P3HT):phenyl-C61-butyric acid methylester (PCBM)/oxide/anode (Ag or Cu). The insertion of ultrathin TiO-NS (˜1 nm) and oxide hole buffer layers improved the open circuit voltage V OC, fill factor, and rectification properties owing to the effective hole blocking and electron transport properties of ultrathin TiO-NS, and to the enhanced work function difference between TiO-NS and the oxide hole buffer layer. The insertion of the TiO-NS contributed to the reduction in the potential barrier at the ITO/PEI/TiO-NS/active layer interface for electrons, and the insertion of the oxide hole buffer layer contributed to the reduction in the potential barrier for holes. The marked increase in the capacitance under positive biasing in the capacitance-voltage characteristics revealed that the combination of TiO-NS and MoO3 buffer layers contributes to the selective transport of electrons and holes, and blocks counter carriers at the active layer/oxide interface. The natural oxide layer of the copper electrode also acts as a hole buffer layer owing to the increase in the work function of the Cu surface in the inverted cells. The performance of the cell with evaporated MoO3 and Cu layers that were transfer-printed to the active layer was almost comparable to that of the cell with MoO3 and Ag layers directly evaporated onto the active layer. We also demonstrated comparable device performance in the cell with all-printed MoO3 and low-temperature-processed silver nanoparticles as an anode.
Towards low-dimensional hole systems in Be-doped GaAs nanowires
DEFF Research Database (Denmark)
Ullah, A. R.; Gluschke, J. G.; Jeppesen, Peter Krogstrup
2017-01-01
-gates produced using GaAs nanowires with three different Be-doping densities and various AuBe contact processing recipes. We show that contact annealing only brings small improvements for the moderately doped devices under conditions of lower anneal temperature and short anneal time. We only obtain good......GaAs was central to the development of quantum devices but is rarely used for nanowire-based quantum devices with InAs, InSb and SiGe instead taking the leading role. p-type GaAs nanowires offer a path to studying strongly confined 0D and 1D hole systems with strong spin–orbit effects, motivating...... our development of nanowire transistors featuring Be-doped p-type GaAs nanowires, AuBe alloy contacts and patterned local gate electrodes towards making nanowire-based quantum hole devices. We report on nanowire transistors with traditional substrate back-gates and EBL-defined metal/oxide top...
International Nuclear Information System (INIS)
Alssabbagh, Moayyad; Tajuddin, Abd Aziz; Abdulmanap, Mahayuddin; Zainon, Rafidah
2017-01-01
Recently, the three-dimensional printer has started to be utilized strongly in medical industries. In the human body, many parts or organs can be printed from 3D images to meet accurate organ geometries. In this study, five common 3D printing materials were evaluated in terms of their elementary composition and the mass attenuation coefficients. The online version of XCOM photon cross-section database was used to obtain the attenuation values of each material. The results were compared with the attenuation values of the thyroid listed in the International Commission on Radiation Units and Measurements - ICRU 44. Two original thyroid models (hollow-inside and solid-inside) were designed from scratch to be used in nuclear medicine, diagnostic radiology and radiotherapy for dosimetry and image quality purposes. Both designs have three holes for installation of radiation dosimeters. The hollow-inside model has more two holes in the top for injection the radioactive materials. The attenuation properties of the Polylactic Acid (PLA) material showed a very good match with the thyroid tissue, which it was selected to 3D print the phantom using open source RepRap, Prusa i3 3D printer. The scintigraphy images show that the phantom simulates a real healthy thyroid gland and thus it can be used for image quality purposes. The measured CT numbers of the PA material after the 3D printing show a close match with the human thyroid CT numbers. Furthermore, the phantom shows a good accommodation of the TLD dosimeters inside the holes. The 3D fabricated thyroid phantom simulates the real shape of the human thyroid gland with a changeable geometrical shape-size feature to fit different age groups. By using 3D printing technology, the time required to fabricate the 3D phantom was considerably shortened compared to the longer conventional methods, where it took only 30 min to print out the model. The 3D printing material used in this study is commercially available and cost
Segmentation of the Outer Contact on P-Type Coaxial Germanium Detectors
Energy Technology Data Exchange (ETDEWEB)
Hull, Ethan L.; Pehl, Richard H.; Lathrop, James R.; Martin, Gregory N.; Mashburn, R. B.; Miley, Harry S.; Aalseth, Craig E.; Hossbach, Todd W.
2006-09-21
Germanium detector arrays are needed for low-level counting facilities. The practical applications of such user facilities include characterization of low-level radioactive samples. In addition, the same detector arrays can also perform important fundamental physics measurements including the search for rare events like neutrino-less double-beta decay. Coaxial germanium detectors having segmented outer contacts will provide the next level of sensitivity improvement in low background measurements. The segmented outer detector contact allows performance of advanced pulse shape analysis measurements that provide additional background reduction. Currently, n-type (reverse electrode) germanium coaxial detectors are used whenever a segmented coaxial detector is needed because the outer boron (electron barrier) contact is thin and can be segmented. Coaxial detectors fabricated from p-type germanium cost less, have better resolution, and are larger than n-type coaxial detectors. However, it is difficult to reliably segment p-type coaxial detectors because thick (~1 mm) lithium-diffused (hole barrier) contacts are the standard outside contact for p-type coaxial detectors. During this Phase 1 Small Business Innovation Research (SBIR) we have researched the possibility of using amorphous germanium contacts as a thin outer contact of p-type coaxial detectors that can be segmented. We have developed amorphous germanium contacts that provide a very high hole barrier on small planar detectors. These easily segmented amorphous germanium contacts have been demonstrated to withstand several thousand volts/cm electric fields with no measurable leakage current (<1 pA) from charge injection over the hole barrier. We have also demonstrated that the contact can be sputter deposited around and over the curved outside surface of a small p-type coaxial detector. The amorphous contact has shown good rectification properties on the outside of a small p-type coaxial detector. These encouraging
Direct microcontact printing of oligonucleotides for biochip applications
Directory of Open Access Journals (Sweden)
Trévisiol E
2005-07-01
Full Text Available Abstract Background A critical step in the fabrication of biochips is the controlled placement of probes molecules on solid surfaces. This is currently performed by sequential deposition of probes on a target surface with split or solid pins. In this article, we present a cost-effective procedure namely microcontact printing using stamps, for a parallel deposition of probes applicable for manufacturing biochips. Results Contrary to a previous work, we showed that the stamps tailored with an elastomeric poly(dimethylsiloxane material did not require any surface modification to be able to adsorb oligonucleotides or PCR products. The adsorbed DNA molecules are subsequently printed efficiently on a target surface with high sub-micron resolution. Secondly, we showed that successive stamping is characterized by an exponential decay of the amount of transferred DNA molecules to the surface up the 4th print, then followed by a second regime of transfer that was dependent on the contact time and which resulted in reduced quality of the features. Thus, while consecutive stamping was possible, this procedure turned out to be less reproducible and more time consuming than simply re-inking the stamps between each print. Thirdly, we showed that the hybridization signals on arrays made by microcontact printing were 5 to 10-times higher than those made by conventional spotting methods. Finally, we demonstrated the validity of this microcontact printing method in manufacturing oligonucleotides arrays for mutations recognition in a yeast gene. Conclusion The microcontact printing can be considered as a new potential technology platform to pattern DNA microarrays that may have significant advantages over the conventional spotting technologies as it is easy to implement, it uses low cost material to make the stamp, and the arrays made by this technology are 10-times more sensitive in term of hybridization signals than those manufactured by conventional spotting
Non-Newtonian ink transfer in gravure-offset printing
International Nuclear Information System (INIS)
Ghadiri, Fatemeh; Ahmed, Dewan Hasan; Sung, Hyung Jin; Shirani, Ebrahim
2011-01-01
The inks used in gravure-offset printing are non-Newtonian fluids with higher viscosities and lower surface tensions than Newtonian fluids. This paper examines the transfer of a non-Newtonian ink between a flat plate and a groove when the plate is moved upward with a constant velocity while the groove is held fixed. Numerical simulations were carried out with the Carreau model to explore the behavior of this non-Newtonian ink in gravure-offset printing. The volume of fluid (VOF) method was implemented to capture the interface during the ink transfer process. The effects of varying the contact angle of the ink on the flat plate and groove walls and geometrical parameters such as the groove angle and the groove depth on the breakup time of the liquid filament that forms between the plate and the groove and the ink transfer ratio were determined. Our results indicate that increasing the groove contact angle and decreasing the flat plate contact angle enhance the ink transfer ratio and the breakup time. However, increasing the groove depth and the groove angle decreases the transfer ratio and the breakup time. By optimizing these parameters, it is possible to achieve an ink transfer from the groove to the flat plate of approximately 92%. Moreover, the initial width and the vertical velocity of the neck of the ink filament have significant influences on the ink transfer ratio and the breakup time.
Quantum conductance staircase of holes in silicon nanosandwiches
Directory of Open Access Journals (Sweden)
Nikolay T. Bagraev
2017-03-01
Full Text Available The results of studying the quantum conductance staircase of holes in one-dimensional channels obtained by the split-gate method inside silicon nanosandwiches that are the ultra-narrow quantum well confined by the delta barriers heavily doped with boron on the n-type Si (100 surface are reported. Since the silicon quantum wells studied are ultra-narrow (~2 nm and confined by the delta barriers that consist of the negative-U dipole boron centers, the quantized conductance of one-dimensional channels is observed at relatively high temperatures (T>77 K. Further, the current-voltage characteristic of the quantum conductance staircase is studied in relation to the kinetic energy of holes and their sheet density in the quantum wells. The results show that the quantum conductance staircase of holes in p-Si quantum wires is caused by independent contributions of the one-dimensional (1D subbands of the heavy and light holes. In addition, the field-related inhibition of the quantum conductance staircase is demonstrated in the situation when the energy of the field-induced heating of the carriers become comparable to the energy gap between the 1D subbands. The use of the split-gate method made it possible to detect the effect of a drastic increase in the height of the quantum conductance steps when the kinetic energy of holes is increased; this effect is most profound for quantum wires of finite length, which are not described under conditions of a quantum point contact. In the concluding section of this paper we present the findings for the quantum conductance staircase of holes that is caused by the edge channels in the silicon nanosandwiches prepared within frameworks of the Hall geometry. This longitudinal quantum conductance staircase, Gxx, is revealed by the voltage applied to the Hall contacts, with the plateaus and steps that bring into correlation respectively with the odd and even fractional values.
On the Hole Injection for III-Nitride Based Deep Ultraviolet Light-Emitting Diodes.
Li, Luping; Zhang, Yonghui; Xu, Shu; Bi, Wengang; Zhang, Zi-Hui; Kuo, Hao-Chung
2017-10-24
The hole injection is one of the bottlenecks that strongly hinder the quantum efficiency and the optical power for deep ultraviolet light-emitting diodes (DUV LEDs) with the emission wavelength smaller than 360 nm. The hole injection efficiency for DUV LEDs is co-affected by the p-type ohmic contact, the p-type hole injection layer, the p-type electron blocking layer and the multiple quantum wells. In this report, we review a large diversity of advances that are currently adopted to increase the hole injection efficiency for DUV LEDs. Moreover, by disclosing the underlying device physics, the design strategies that we can follow have also been suggested to improve the hole injection for DUV LEDs.
Holey carbon micro-arrays for transmission electron microscopy: A microcontact printing approach
International Nuclear Information System (INIS)
Chester, David W.; Klemic, James F.; Stern, Eric; Sigworth, Fred J.; Klemic, Kathryn G.
2007-01-01
We have used a microcontact printing approach to produce high quality and inexpensive holey carbon micro-arrays. Fabrication involves: (1) micromolding a poly(dimethylsiloxane) (PDMS) elastomer stamp from a microfabricated master that contains the desired array pattern; (2) using the PDMS stamp for microcontact printing a thin sacrificial plastic film that contains an array of holes; (3) floating the plastic film onto TEM grids; (4) evaporating carbon onto the plastic film and (5) removing the sacrificial plastic film. The final holey carbon micro-arrays are ready for use as support films in TEM applications with the fidelity of the original microfabricated pattern. This approach is cost effective as both the master and the stamps have long-term reusability. Arbitrary array patterns can be made with microfabricated masters made through a single-step photolithographic process
Mourits, Daphne L; Remmers, Jelmer S; Tan, Stevie H; Moll, Annette C; Hartong, Dyonne T
2018-04-03
To introduce a novel technique to design individually customized conformers for postenucleation sockets with dermis fat implants. We use a 3-dimensional scan of the frontal face/orbit and eyelid contour to design an individualized conformer. This polymethylmetacrylate printed conformer is adapted to patients' socket, palpebral fissures, horizontal eyelid aperture, curvature of the eyelids, and mean diameter of patients' contralateral eye. Sutures through holes in the inferior part of the conformer and in the extension can be placed to fixate the conformer and anchor fornix deepening sutures. A correct fitting conformer can be printed and attached to the socket and eyelids. The shape of this conformer can be used subsequently postsurgically to design the ocular prosthesis. Presurgical planning is important to anticipate for a functional socket to adequately fit an artificial eye. The presented technique using 3-dimensional imaging, designing, and printing promises to prevent conformer extrusion and forniceal shortening.
Walker, D C; Behzad, A R; Chu, F
1995-11-01
The purpose of this study was to determine whether or not there are preexisting holes in the endothelial and epithelial basal laminae of alveolar walls and to determine the path taken by neutrophils as they migrate from the capillaries to the airspace of the alveoli during inflammation. Using transmission electron microscopy and serial thin sections of normal rabbit and mouse lung, we have demonstrated the presence of slit-like holes in the capillary basal laminae and round holes in the basal laminae of type 2 pneumocytes. The slits in the capillary basal laminae were observed at the intersection of the thick and thin walls where endothelium, pericytes, and fibroblasts make close contact. The round holes in the type 2 cell basal laminae were observed at sites of close contact with fibroblasts. Neutrophils were observed to migrate through these slits and holes during streptococcal pneumonia in rabbit lungs. We conclude that during inflammation in the lung, migrating neutrophils displace pericytes and fibroblasts from the slits in the capillary basal lamina and then crawl through these slits into the alveolar interstitium. We postulate that neutrophils find their way to type 2 pneumocytes by following interstitial fibroblasts. We believe that neutrophils displace fibroblasts from their close contacts with the type 2 cells and then crawl through the holes in the basal lamina into the basal lateral space of the type 2 cells. From there, neutrophils migrate into the alveolar airspace.
Optimized use of cooling holes to decrease the amount of thermal damage on a plastic gear tooth
Demagna Koffi; Alencar Bravo; Lotfi Toubal; Fouad Erchiqui
2016-01-01
The full potential of plastic gear usage is limited by not only poor mechanical properties but also equally poor temperature limits and poor heat conduction properties. Cooling holes were developed to decrease the amount of thermal damage on the contact surface. These cooling holes promote increased stress and tooth deflection, thus exerting a negative effect. This article compares various cooling holes for plastic gear configurations and proposes novel cooling holes. Thermal and mechanical s...
Electron and hole transport in ambipolar, thin film pentacene transistors
International Nuclear Information System (INIS)
Saudari, Sangameshwar R.; Kagan, Cherie R.
2015-01-01
Solution-processed, ambipolar, thin-film pentacene field-effect transistors were employed to study both electron and hole transport simultaneously in a single, organic solid-state device. Electron and hole mobilities were extracted from the respective unipolar saturation regimes and show thermally activated behavior and gate voltage dependence. We fit the gate voltage dependent saturation mobility to a power law to extract the characteristic Meyer-Neldel (MN) energy, a measure of the width of the exponential distribution of localized states extending into the energy gap of the organic semiconductor. The MN energy is ∼78 and ∼28 meV for electrons and holes, respectively, which reflects a greater density of localized tail states for electrons than holes. This is consistent with the lower measured electron than hole mobility. For holes, the well-behaved linear regime allows for four-point probe measurement of the contact resistance independent mobility and separate characterization of the width of the localized density of states, yielding a consistent MN energy of 28 meV
Electron and hole transport in ambipolar, thin film pentacene transistors
Energy Technology Data Exchange (ETDEWEB)
Saudari, Sangameshwar R. [Department of Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania 19104 (United States); Kagan, Cherie R. [Department of Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania 19104 (United States); Department of Electrical and Systems Engineering, University of Pennsylvania, Philadelphia, Pennsylvania 19104 (United States); Department of Chemistry, University of Pennsylvania, Philadelphia, Pennsylvania 19104 (United States)
2015-01-21
Solution-processed, ambipolar, thin-film pentacene field-effect transistors were employed to study both electron and hole transport simultaneously in a single, organic solid-state device. Electron and hole mobilities were extracted from the respective unipolar saturation regimes and show thermally activated behavior and gate voltage dependence. We fit the gate voltage dependent saturation mobility to a power law to extract the characteristic Meyer-Neldel (MN) energy, a measure of the width of the exponential distribution of localized states extending into the energy gap of the organic semiconductor. The MN energy is ∼78 and ∼28 meV for electrons and holes, respectively, which reflects a greater density of localized tail states for electrons than holes. This is consistent with the lower measured electron than hole mobility. For holes, the well-behaved linear regime allows for four-point probe measurement of the contact resistance independent mobility and separate characterization of the width of the localized density of states, yielding a consistent MN energy of 28 meV.
Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho
2013-09-01
Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.
Multi-contact Variable-Compliance Manipulation in Extreme Clutter
2014-06-16
house to find eggs and young. (b) When noodling , people find catfish holes from which to pull fish out. (c)-(d) A person makes contact along his...Figure 7: Haptic Map of detected rigid contacts. by mapping all the rigid taxels at every time- instant . For visualizing the haptic map, we use point...the environment while reaching into clutter. (a) A raccoon reaches into a bird house to find eggs and young. (b) When noodling , people find catfish
Moltchanova, Julia
2011-01-01
Rapidly evolving technology of digital printing opens new opportunities on many markets. One of them is the printed fabric market where printing companies as well as clients benefit from new printing methods. This thesis focuses on the digital textile printing technology and its implementation for fabric-on-demand printing service in Finland. The purpose of this project was to study the technology behind digital textile printing, areas of application of this technology, the requirements ...
22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector
Geissbühler Jonas; Werner Jérémie; Martin de Nicolas Silvia; Barraud Loris; Hessler-Wyser Aïcha; Despeisse Matthieu; Nicolay Sylvain; Tomasi Andrea; Niesen Bjoern; De Wolf Stefaan; Ballif Christophe
2015-01-01
Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p type amorphous silicon with molybdenum oxide films. In this article we evidence that annealing above 130?°C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited c...
Development of high-performance printed organic field-effect transistors and integrated circuits.
Xu, Yong; Liu, Chuan; Khim, Dongyoon; Noh, Yong-Young
2015-10-28
Organic electronics is regarded as an important branch of future microelectronics especially suited for large-area, flexible, transparent, and green devices, with their low cost being a key benefit. Organic field-effect transistors (OFETs), the primary building blocks of numerous expected applications, have been intensively studied, and considerable progress has recently been made. However, there are still a number of challenges to the realization of high-performance OFETs and integrated circuits (ICs) using printing technologies. Therefore, in this perspective article, we investigate the main issues concerning developing high-performance printed OFETs and ICs and seek strategies for further improvement. Unlike many other studies in the literature that deal with organic semiconductors (OSCs), printing technology, and device physics, our study commences with a detailed examination of OFET performance parameters (e.g., carrier mobility, threshold voltage, and contact resistance) by which the related challenges and potential solutions to performance development are inspected. While keeping this complete understanding of device performance in mind, we check the printed OFETs' components one by one and explore the possibility of performance improvement regarding device physics, material engineering, processing procedure, and printing technology. Finally, we analyze the performance of various organic ICs and discuss ways to optimize OFET characteristics and thus develop high-performance printed ICs for broad practical applications.
A laser printing based approach for printed electronics
Energy Technology Data Exchange (ETDEWEB)
Zhang, T.; Hu, M.; Guo, Q.; Zhang, W.; Yang, J., E-mail: jyang@eng.uwo.ca [Department of Mechanical and Materials Engineering, Western University, London N6A 3K7 (Canada); Liu, Y.; Lau, W. [Chengdu Green Energy and Green Manufacturing Technology R& D Center, 355 Tengfei Road, 620107 Chengdu (China); Wang, X. [Department of Mechanical and Materials Engineering, Western University, London N6A 3K7 (Canada); Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)
2016-03-07
Here we report a study of printing of electronics using an office use laser printer. The proposed method eliminates those critical disadvantages of solvent-based printing techniques by taking the advantages of electroless deposition and laser printing. The synthesized toner acts as a catalyst for the electroless copper deposition as well as an adhesion-promoting buffer layer between the substrate and deposited copper. The easy metallization of printed patterns and strong metal-substrate adhesion make it an especially effective method for massive production of flexible printed circuits. The proposed process is a high throughput, low cost, efficient, and environmentally benign method for flexible electronics manufacturing.
A laser printing based approach for printed electronics
International Nuclear Information System (INIS)
Zhang, T.; Hu, M.; Guo, Q.; Zhang, W.; Yang, J.; Liu, Y.; Lau, W.; Wang, X.
2016-01-01
Here we report a study of printing of electronics using an office use laser printer. The proposed method eliminates those critical disadvantages of solvent-based printing techniques by taking the advantages of electroless deposition and laser printing. The synthesized toner acts as a catalyst for the electroless copper deposition as well as an adhesion-promoting buffer layer between the substrate and deposited copper. The easy metallization of printed patterns and strong metal-substrate adhesion make it an especially effective method for massive production of flexible printed circuits. The proposed process is a high throughput, low cost, efficient, and environmentally benign method for flexible electronics manufacturing.
Graphene Inks with Cellulosic Dispersants: Development and Applications for Printed Electronics
Secor, Ethan Benjamin
Graphene offers promising opportunities for applications in printed and flexible electronic devices due to its high electrical and thermal conductivity, mechanical flexibility and strength, and chemical and environmental stability. However, scalable production and processing of graphene presents a critical technological challenge preventing the application of graphene for flexible electronic interconnects, electrochemical energy storage, and chemically robust electrical contacts. In this thesis, a promising and versatile platform for the production, patterning, and application of graphene inks is presented based on cellulosic dispersants. Graphene is produced from flake graphite using scalable liquid-phase exfoliation methods, using the polymers ethyl cellulose and nitrocellulose as multifunctional dispersing agents. These cellulose derivatives offer high colloidal stability and broadly tunable rheology for graphene dispersions, providing an effective and tunable platform for graphene ink development. Thermal or photonic annealing decomposes the polymer dispersant to yield high conductivity, flexible graphene patterns for various electronics applications. In particular, the chemical stability of graphene enables robust electrical contacts for ceramic, metallic, organic and electrolytic materials, validating the diverse applicability of graphene in printed electronics. Overall, the strategy for graphene ink design presented here offers a simple, efficient, and versatile method for integrating graphene in a wide range of printed devices and systems, providing both fundamental insight for nanomaterial ink development and realistic opportunities for practical applications.
Scientific Challenges in the Risk Assessment of Food Contact Materials
DEFF Research Database (Denmark)
Muncke, Jane; Backhaus, Thomas; Geueke, Birgit
2017-01-01
formed in the production processes. Several factors hamper effective RA for many FCMs, including a lack of information on chemical identity, inadequate assessment of hazardous properties, and missing exposure data. Companies make decisions about the safety of some food contact chemicals (FCCs) without......Food contact articles (FCAs) are manufactured from food contact materials (FCMs) that include plastics, paper, metal, glass, and printing inks. Chemicals can migrate from FCAs into food during storage, processing, and transportation. Food contact materials' safety is evaluated using chemical risk...... to enhance the safety of food contact articles. Based on our evaluation of the evidence, we conclude that current regulations are insufficient for addressing chemical exposures from FCAs. RA currently focuses on monomers and additives used in the manufacture of products, but it does not cover all substances...
Transport comparison of multiwall carbon nanotubes by contacting outer shell and all shells.
Luo, Qiang; Cui, A-Juan; Zhang, Yi-Guang; Lu, Chao; Jin, Ai-Zi; Yang, Hai-Fang; Gu, Chang-Zhi
2010-11-01
Carbon nanotubes, particularly multiwall carbon nanotubes (MWCNTs) can serve as interconnects in nanoelectronic devices and integrated circuits because of their extremely large current-carrying capacity. Many experimental results about the transport properties of individual MWCNTs by contacting outer shell or all shells have been reported. In this work, a compatible method with integrated circuit manufacturing process was presented to compare the transport property of an individual multiwall carbon nanotube (MWCNT) by contacting outer shell only and all shells successively. First of the Ti/Au electrodes contacting outer shell only were fabricated onto the nanotube through the sequence of electron beam lithography (EBL) patterning, metal deposition and lift-off process. After the characterization of its transport property, focused ion beam (FIB) was used to drill holes through the same nanotube at the as-deposited electrodes. Then new contact to the holes and electrodes were made by ion-induced deposition of tungsten from W(CO)6 precursor gas. The transport results indicated that the new contact to all shells can clear up the intershell resistance and the electrical conductance of the tube can be improved about 8 times compared to that of by contacting outer shell only.
Directory of Open Access Journals (Sweden)
Sýs Milan
2017-01-01
Full Text Available This work is focused on the homemade screen-printed carbon paste electrode containing basically graphite powder (or glassy carbon powder, poly(vinylbchloride (PVC and paraffin oil. It compares the electrochemical properties of conventional carbon-based electrodes and prepared screen-printed carbon paste electrodes towards [Fe(CN6]3-/[Fe(CN6]4- and quinone/hydroquinone redox couples. Significant attention is paid to the development of the corresponding carbon inks, printing and the surface characterisation of the resulting electrodes by the scanning electron microscopy. An optimization consisted of the selection of the organic solvent, the optimal content of the used polymer with the chosen paste binder, appropriate isolation of electric contact, etc. Very similar properties of the prepared screen-printed electrodes, containing only corresponding carbon powder and 3 % PVC, with their conventional carbon paste electrode and glassy carbon-based electrodes, were observed during their characterisation. Screen-printed electrodes, with the pasting liquid usually provided satisfactory analytical data. Moreover, they can be used in the flow injection analysis and could undoubtedly replace the carbon paste grooved electrodes. It can be assumed that certain progress in the development of electrode materials was achieved by this research.
International Nuclear Information System (INIS)
Cvikl, B.
2014-01-01
It is shown that the well-known empirical exponential bias-dependent mobility is an approximation function of the relevant term emerging in the Mott–Gurney space charge limited current model when the constant non-zero electric field at the hole injecting metal/organic interface E int is taken into account. The term in question is the product of the bias-independent (but organic layer thickness-dependent) effective mobility coefficient and the algebraic function, f(λ), of the argument λ = E int /E a , where E a is the externally applied electric field. On account of the non-zero interfacial field, E int , the singularity of the spatial dependence of the hole current density, p(x), is removed. The resulting hole drift current density, j, is tested as a function of E a against a number of published room temperature hole current j–E a data sets, all characterized by good ohmic contact at the hole injecting interface. It is shown that the calculated current density provides a very good fit to the measurements within a high range of E a intervals. Low values of E a , are investigated analytically under the assumption of hole drift-diffusion. The extremely large internal electric fields at the anode/organic junction indicate drift-diffusion to be an improbable process for the structures investigated. However, a description of hole transport throughout the whole interval of experimental E a values may be obtained at low values of E a by an extended Mark–Helfrich drift model with traps occupying the exponentially distributed energy levels, followed by the extended Mott–Gurney model description within the remaining part of the E a interval. In both models the same (bias-independent) effective mobility coefficient is incorporated into the calculations. The results present evidence that within the framework of the extended Mott–Gurney expression the properly derived term should replace the empirical exponential bias-dependent mobility, making it redundant in the
White holes and eternal black holes
International Nuclear Information System (INIS)
Hsu, Stephen D H
2012-01-01
We investigate isolated white holes surrounded by vacuum, which correspond to the time reversal of eternal black holes that do not evaporate. We show that isolated white holes produce quasi-thermal Hawking radiation. The time reversal of this radiation, incident on a black hole precursor, constitutes a special preparation that will cause the black hole to become eternal. (paper)
Rapid nano impact printing of silk biopolymer thin films
White, Robert D.; Gray, Caprice; Mandelup, Ethan; Amsden, Jason J.; Kaplan, David L.; Omenetto, Fiorenzo G.
2011-11-01
In this paper, nano impact printing of silk biopolymer films is described. An indenter is rapidly accelerated and transfers the nanopattern from a silicon master into the silk film during an impact event that occurs in less than 1 ms. Contact stresses of greater than 100 MPa can be achieved during the short impact period with low power and inexpensive hardware. Ring shaped features with a diameter of 2 µm and a ring width of 100-200 nm were successfully transferred into untreated silk films using this method at room temperature. Mechanical modeling was carried out to determine the contact stress distribution, and demonstrates that imprinting can occur for contact stresses of less than 2 MPa. Thermal characterization at the impact location shows that raising the temperature to 70 °C has only a limited effect on pattern transfer. Contact stresses of greater than approximately 100 MPa result in excessive deformation of the film and poor pattern transfer.
Rapid nano impact printing of silk biopolymer thin films
International Nuclear Information System (INIS)
White, Robert D; Gray, Caprice; Mandelup, Ethan; Amsden, Jason J; Kaplan, David L; Omenetto, Fiorenzo G
2011-01-01
In this paper, nano impact printing of silk biopolymer films is described. An indenter is rapidly accelerated and transfers the nanopattern from a silicon master into the silk film during an impact event that occurs in less than 1 ms. Contact stresses of greater than 100 MPa can be achieved during the short impact period with low power and inexpensive hardware. Ring shaped features with a diameter of 2 µm and a ring width of 100–200 nm were successfully transferred into untreated silk films using this method at room temperature. Mechanical modeling was carried out to determine the contact stress distribution, and demonstrates that imprinting can occur for contact stresses of less than 2 MPa. Thermal characterization at the impact location shows that raising the temperature to 70 °C has only a limited effect on pattern transfer. Contact stresses of greater than approximately 100 MPa result in excessive deformation of the film and poor pattern transfer.
Establishing state of motion through two-dimensional foot and shoe print analysis: A pilot study.
Neves, Fernando Bueno; Arnold, Graham P; Nasir, Sadiq; Wang, Weijie; MacDonald, Calum; Christie, Ian; Abboud, Rami J
2018-03-01
According to the College of Podiatry, footprints rank among the most frequent forms of evidence found at crime scenes, and the recent ascension of forensic podiatry reflects the importance of footwear and barefoot traces in contemporary forensic practice. In this context, this pilot study focused on whether it is possible to distinguish between walking and running states using parameters derived from two-dimensional foot or shoe prints. Eleven subjects moved along four tracks (barefoot walking; barefoot running; footwear walking; footwear running) while having their bare feet or footwear stained with artificial blood and their footstep patterns recorded. Contact stains and associated bloodstain patterns were collected, and body movements were recorded through three-dimensional motion capture. Barefoot walking prints were found to be larger than barefoot static prints (1.789±0.481cm; pprints (0.635±0.405cm; p=0.006). No correlation was observed for footwear prints. Running trials were more associated with the presence of both passive and cast off stains than walking trials, and the quantity of additional associated stains surrounding individual foot and shoe prints was also higher in running states. Furthermore, a previously proposed equation predicted speed with a high degree of accuracy (within 6%) and may be used for clinical assessment of walking speed. Contact stains, associated bloodstain patterns and stride length measurements may serve to ascertain state of motion in real crime scene scenarios, and future studies may be capable of designing statistical frameworks which could be used in courts of law. Copyright © 2018 Elsevier B.V. All rights reserved.
Ning, Honglong; Chen, Jianqiu; Fang, Zhiqiang; Tao, Ruiqiang; Cai, Wei; Yao, Rihui; Hu, Shiben; Zhu, Zhennan; Zhou, Yicong; Yang, Caigui; Peng, Junbiao
2017-01-10
Printing technologies for thin-film transistors (TFTs) have recently attracted much interest owing to their eco-friendliness, direct patterning, low cost, and roll-to-roll manufacturing processes. Lower production costs could result if electrodes fabricated by vacuum processes could be replaced by inkjet printing. However, poor interfacial contacts and/or serious diffusion between the active layer and the silver electrodes are still problematic for achieving amorphous indium-gallium-zinc-oxide (a-IGZO) TFTs with good electrical performance. In this paper, silver (Ag) source/drain electrodes were directly inkjet-printed on an amorphous a-IGZO layer to fabricate TFTs that exhibited a mobility of 0.29 cm²·V -1 ·s -1 and an on/off current ratio of over 10⁵. To the best of our knowledge, this is a major improvement for bottom-gate top-contact a-IGZO TFTs with directly printed silver electrodes on a substrate with no pretreatment. This study presents a promising alternative method of fabricating electrodes of a-IGZO TFTs with desirable device performance.
Directory of Open Access Journals (Sweden)
Honglong Ning
2017-01-01
Full Text Available Printing technologies for thin-film transistors (TFTs have recently attracted much interest owing to their eco-friendliness, direct patterning, low cost, and roll-to-roll manufacturing processes. Lower production costs could result if electrodes fabricated by vacuum processes could be replaced by inkjet printing. However, poor interfacial contacts and/or serious diffusion between the active layer and the silver electrodes are still problematic for achieving amorphous indium–gallium–zinc–oxide (a-IGZO TFTs with good electrical performance. In this paper, silver (Ag source/drain electrodes were directly inkjet-printed on an amorphous a-IGZO layer to fabricate TFTs that exhibited a mobility of 0.29 cm2·V−1·s−1 and an on/off current ratio of over 105. To the best of our knowledge, this is a major improvement for bottom-gate top-contact a-IGZO TFTs with directly printed silver electrodes on a substrate with no pretreatment. This study presents a promising alternative method of fabricating electrodes of a-IGZO TFTs with desirable device performance.
Geology of the USW SD-9 drill hole, Yucca Mountain, Nevada
International Nuclear Information System (INIS)
Engstrom, D.A.; Rautman, C.A.
1996-10-01
Drill hole USW SD-9 is one of several holes drilled under Site Characterization Plan Study as part of the characterization program at Yucca Mountain, Nevada, which has been proposed as the potential location of a repository for high-level nuclear waste. The SD-9 drill hole is located in the northern part of the potential repository area. Quantitative and semiquantitative data are included in this report for cover recovery, rock-quality designation (RQD), lithophysal cavity abundance, and fracturing. These data are spatially variable, both within and among the major formational-level stratigraphic units. Nonwelded intervals in general exhibit higher recoveries and more intact (higher) RQD values than welded intervals. The most intact, highest-RQD materials encountered within the Topopah Spring belong to the lower 33.3 ft of the middle nonlithophysal zone. This report includes quantitative data for the framework material properties of porosity, bulk and particle density, and saturated hydraulic conductivity. Graphical analysis of variations in these laboratory hydrologic properties indicates first-order control of material properties by the degree of welding and the presence of zeolite minerals. Many major lithostratigraphic contacts are not well expressed in the material-property profiles; contacts of material-property units are related more to changes in the intensity of welding. Approximate in-situ saturation data of samples preserved immediately upon recovery from the hole are included in the data tabulation
Geology of the USW SD-9 drill hole, Yucca Mountain, Nevada
Energy Technology Data Exchange (ETDEWEB)
Engstrom, D.A. [Spectra Research Inst., Albuquerque, NM (United States); Rautman, C.A. [Sandia National Labs., Albuquerque, NM (United States)
1996-10-01
Drill hole USW SD-9 is one of several holes drilled under Site Characterization Plan Study as part of the characterization program at Yucca Mountain, Nevada, which has been proposed as the potential location of a repository for high-level nuclear waste. The SD-9 drill hole is located in the northern part of the potential repository area. Quantitative and semiquantitative data are included in this report for cover recovery, rock-quality designation (RQD), lithophysal cavity abundance, and fracturing. These data are spatially variable, both within and among the major formational-level stratigraphic units. Nonwelded intervals in general exhibit higher recoveries and more intact (higher) RQD values than welded intervals. The most intact, highest-RQD materials encountered within the Topopah Spring belong to the lower 33.3 ft of the middle nonlithophysal zone. This report includes quantitative data for the framework material properties of porosity, bulk and particle density, and saturated hydraulic conductivity. Graphical analysis of variations in these laboratory hydrologic properties indicates first-order control of material properties by the degree of welding and the presence of zeolite minerals. Many major lithostratigraphic contacts are not well expressed in the material-property profiles; contacts of material-property units are related more to changes in the intensity of welding. Approximate in-situ saturation data of samples preserved immediately upon recovery from the hole are included in the data tabulation.
The best printing methods to print satellite images
Directory of Open Access Journals (Sweden)
G.A. Yousif
2011-12-01
In this paper different printing systems were used to print an image of SPOT-4 satellite, caver part of Sharm Elshekh area, Sinai, Egypt, on the same type of paper as much as possible, especially in the photography. This step is followed by measuring the experimental data, and analyzed colors to determine the best printing systems for satellite image printing data. The laser system is the more printing system where produce a wider range of color and highest densities of ink and access much color detail. Followed by the offset system which it recorded the best dot gain. Moreover, the study shows that it can use the advantages of each method according to the satellite image color and quantity to be produced.
A 3D printed microfluidic perfusion device for multicellular spheroid cultures.
Ong, Louis Jun Ye; Islam, Anik; DasGupta, Ramanuj; Iyer, Narayanan Gopalakkrishna; Leo, Hwa Liang; Toh, Yi-Chin
2017-09-11
The advent of 3D printing technologies promises to make microfluidic organ-on-chip technologies more accessible for the biological research community. To date, hydrogel-encapsulated cells have been successfully incorporated into 3D printed microfluidic devices. However, there is currently no 3D printed microfluidic device that can support multicellular spheroid culture, which facilitates extensive cell-cell contacts important for recapitulating many multicellular functional biological structures. Here, we report a first instance of fabricating a 3D printed microfluidic cell culture device capable of directly immobilizing and maintaining the viability and functionality of 3D multicellular spheroids. We evaluated the feasibility of two common 3D printing technologies i.e. stereolithography (SLA) and PolyJet printing, and found that SLA could prototype a device comprising of cell immobilizing micro-structures that were housed within a microfluidic network with higher fidelity. We have also implemented a pump-free perfusion system, relying on gravity-driven flow to perform medium perfusion in order to reduce the complexity and footprint of the device setup, thereby improving its adaptability into a standard biological laboratory. Finally, we demonstrated the biological performance of the 3D printed device by performing pump-free perfusion cultures of patient-derived parental and metastatic oral squamous cell carcinoma tumor and liver cell (HepG2) spheroids with good cell viability and functionality. This paper presents a proof-of-concept in simplifying and integrating the prototyping and operation of a microfluidic spheroid culture device, which will facilitate its applications in various drug efficacy, metabolism and toxicity studies.
Wang, Xuelin; Zhang, Yuxin; Guo, Rui; Wang, Hongzhang; Yuan, Bo; Liu, Jing
2018-03-01
Conformable epidermal printed electronics enabled from gallium-based liquid metals (LMs), highly conductive and low-melting-point alloys, are proposed as the core to achieving immediate contact between skin surface and electrodes, which can avoid the skin deformation often caused by conventional rigid electrodes. When measuring signals, LMs can eliminate resonance problems with shorter time to reach steady state than Pt and gelled Pt electrodes. By comparing the contact resistance under different working conditions, it is demonstrated that both ex vivo and in vivo LM electrode-skin models have the virtues of direct and immediate contact with skin surface without the deformation encountered with conventional rigid electrodes. In addition, electrocardio electrodes composed of conformable LM printed epidermal electronics are adopted as smart devices to monitor electrocardiogram signals of rabbits. Furthermore, simulation treatment for smart defibrillation offers a feasible way to demonstrate the effect of liquid metal electrodes (LMEs) on the human body with less energy loss. The remarkable features of soft epidermal LMEs such as high conformability, good conductivity, better signal stability, and fine biocompatibility represent a critical step towards accurate medical monitoring and future smart treatments.
Formation and Characterization of Inkjet-Printed Nanosilver Lines on Plasma-Treated Glass Substrates
Directory of Open Access Journals (Sweden)
Jae-Sung Kwon
2018-02-01
Full Text Available In this study, we investigated geometrical characteristics of the inkjet-printed lines with non-zero receding contact angle (CA on plasma-treated substrates in terms of various printing variables and analyzed the fluidic behavior and hydrodynamic instability involved in the line formation process. The printing variables included surface energy, droplet overlap ratio, printing frequency, a number of ink droplets, substrate temperature and printing procedures. For the study, a colloidal suspension containing 56 wt % silver nanoparticles in tetradecane solvent was used as a printing ink. It has electrical resistivity of 4.7 μΩ·cm. The substrates were obtained by performing a plasma enhanced chemical vapor deposition (PECVD process with C4F8 and O2 under various treatment conditions. As results of the experiments, the surface shape and pattern of the inkjet-printed Ag lines were dominantly influenced by the surface energy of the substrates, among the printing variables. Accordingly even when the receding CA was non-zero, bulging instability of the lines occurred forming separate circular patterns or regular bulges connected by ridges. It is a new finding of this study, which is completely different with the bulging instability of inkjet lines with zero receding CA specified by previous researches. The bulging instability decreased by increasing surface temperature of the substrates or employing interlacing procedure instead of continuous procedure for printing. The interlacing procedure also was advantageous to fabricate thick and narrow Ag lines with well-defined shape through overprinting on a hydrophobic substrate. These results will contribute greatly to not only the production of various printed electronics containing high-aspect-ratio structures but also the improvement of working performance of the devices.
Inkjet printing of multifilamentary YBCO for low AC loss coated conductors
International Nuclear Information System (INIS)
Hopkins, S C; Joseph, D; Mitchell-Williams, T B; Glowacki, B A; Calleja, A; Vlad, V R; Vilardell, M; Ricart, S; Granados, X; Puig, T; Obradors, X; Usoskin, A; Falter, M; Bäcker, M
2014-01-01
Considerable progress has been made with the development of REBCO coated conductors in recent years, and high performance conductors are available commercially. For many applications, however, the cost remains prohibitive, and AC losses discourage their selection for higher frequency applications. Chemical solution deposition (CSD) methods are attractive for low-cost, scalable preparation of buffer and superconductor layers, and in many respects inkjet printing is the method of choice, permitting non-contact deposition with minimal materials wastage and excellent control of coating thickness. Highly textured coatings of YBCO and Gd-doped CeO 2 have previously been reported on buffered metal substrates. Inkjet printing also introduces the possibility of patterning - directly depositing two and three dimensional structures without subtractive processing - offering a low-cost route to coated conductors with reduced AC losses. In this contribution, the inkjet deposition of superconducting YBCO tracks is reported on industrially relevant buffered metal substrates both by direct printing and an inverse patterning approach. In the latter approach, ceria tracks were printed reported, which are a candidate both for resistive filament spacers and buffer layers. TFA-based precursor solutions have been printed on SS/ABAD-YSZ/CeO 2 and Ni-W/LZO/CeO 2 RABiTS substrates, and the resulting multifilamentary samples characterised by microscopy and scanning Hall probe measurements. The prospects for future inkjet-printed low AC loss coated conductors are discussed, including control of interfilamentary resistivity and bridging, transposed filamentary structures and stabilisation material.
Sobotka, Werner K.
1997-02-01
Digital printing is described as a tool to replace conventional printing machines completely. Still this goal was not reached until now with any of the digital printing technologies to be described in the paper. Productivity and costs are still the main parameters and are not really solved until now. Quality in digital printing is no problem anymore. Definition of digital printing is to transfer digital datas directly on the paper surface. This step can be carried out directly or with the use of an intermediate image carrier. Keywords in digital printing are: computer- to-press; erasable image carrier; image carrier with memory. Digital printing is also the logical development of the new digital area as it is pointed out in Nicholas Negropotes book 'Being Digital' and also the answer to networking and Internet technologies. Creating images text and color in one country and publishing the datas in another country or continent is the main advantage. Printing on demand another big advantage and last but not least personalization the last big advantage. Costs and being able to coop with this new world of prepress technology is the biggest disadvantage. Therefore the very optimistic growth rates for the next few years are really nonexistent. The development of complete new markets is too slow and the replacing of old markets is too small.
Nano-electron beam induced current and hole charge dynamics through uncapped Ge nanocrystals
Energy Technology Data Exchange (ETDEWEB)
Marchand, A.; El Hdiy, A.; Troyon, M. [Laboratoire de Recherche en Nanosciences, Bat. 6, case no 15, UFR Sciences, Universite de Reims Champagne Ardenne, 51687 Reims Cedex 2 (France); Amiard, G.; Ronda, A.; Berbezier, I. [IM2NP, Faculte des Sciences et Techniques, Campus de Saint Jerome - Case 142, Avenue Escadrille Normandie Niemen, 13397 Marseille Cedex 20 (France)
2012-04-16
Dynamics of hole storage in spherical Ge nanocrystals (NCs) formed by a two step dewetting/nucleation process on an oxide layer grown on an n-doped <001> silicon substrate is studied using a nano-electron beam induced current technique. Carrier generation is produced by an electron beam irradiation. The generated current is collected by an atomic force microscope--tip in contact mode at a fixed position away from the beam spot of about 0.5 {mu}m. This distance represents the effective diffusion length of holes. The time constants of holes charging are determined and the effect of the NC size is underlined.
A novel and simple method of printing flexible conductive circuits on PET fabrics
International Nuclear Information System (INIS)
Wang, Zehong; Wang, Wei; Jiang, Zhikang; Yu, Dan
2017-01-01
Highlights: • A simple preparation of nano-silver conductive ink was proposed. • Conductive pattern was printed on PET fabrics without heat sintering. • The surface resistivity of printed pattern is low to 0.197 Ω cm. - Abstract: Flexible conductive circuits on PET fabrics were fabricated by a simple approach. Firstly, well dispersed nano-silver colloids with average size of 87 nm were synthesized with poly (vinyl pyrrolidone). Then, by adding polyurethane and thickening agent into these colloids, Ag NP-based ink was produced and printed on PET fabrics by screen printing. Conductive patterns were achieved through the swelling process of polyurethane and the decrease of contact resistance between nano-silver particles when immersed in dichloromethane (DCM) and diallyldimethylammonium chloride (DMDAAC) mixed solution. After it was dried at 40 °C,the surface resistivity was about 0.197 Ω cm with width 1.9 mm, and thickness 20 μm. Moreover, the effects of different DCM contents on the conductivity and the film forming ability have been investigated. We believe these foundings will provide some important analysis for printing flexible conductive circuits on textiles.
A novel and simple method of printing flexible conductive circuits on PET fabrics
Energy Technology Data Exchange (ETDEWEB)
Wang, Zehong; Wang, Wei [College of Chemistry, Chemical Engineering and Biotechnology, Donghua University, Shanghai 201620 (China); Key Laboratory of Textile Science & Technology, Ministry of Education (China); Jiang, Zhikang [Saintyear Holding Group Co., Ltd. (China); Yu, Dan, E-mail: vchtian@163.com [College of Chemistry, Chemical Engineering and Biotechnology, Donghua University, Shanghai 201620 (China); Key Laboratory of Textile Science & Technology, Ministry of Education (China); Saintyear Holding Group Co., Ltd. (China)
2017-02-28
Highlights: • A simple preparation of nano-silver conductive ink was proposed. • Conductive pattern was printed on PET fabrics without heat sintering. • The surface resistivity of printed pattern is low to 0.197 Ω cm. - Abstract: Flexible conductive circuits on PET fabrics were fabricated by a simple approach. Firstly, well dispersed nano-silver colloids with average size of 87 nm were synthesized with poly (vinyl pyrrolidone). Then, by adding polyurethane and thickening agent into these colloids, Ag NP-based ink was produced and printed on PET fabrics by screen printing. Conductive patterns were achieved through the swelling process of polyurethane and the decrease of contact resistance between nano-silver particles when immersed in dichloromethane (DCM) and diallyldimethylammonium chloride (DMDAAC) mixed solution. After it was dried at 40 °C,the surface resistivity was about 0.197 Ω cm with width 1.9 mm, and thickness 20 μm. Moreover, the effects of different DCM contents on the conductivity and the film forming ability have been investigated. We believe these foundings will provide some important analysis for printing flexible conductive circuits on textiles.
Recent trends in print portals and Web2Print applications
Tuijn, Chris
2009-01-01
For quite some time now, the printing business has been under heavy pressure because of overcapacity, dropping prices and the delocalization of the production to low income countries. To survive in this competitive world, printers have to invest in tools that, on one hand, reduce the production costs and, on the other hand, create additional value for their customers (print buyers). The creation of customer portals on top of prepress production systems allowing print buyers to upload their content, approve the uploaded pages based on soft proofs (rendered by the underlying production system) and further follow-up the generation of the printed material, has been illustrative in this respect. These developments resulted in both automation for the printer and added value for the print buyer. Many traditional customer portals assume that the printed products have been identified before they are presented to the print buyer in the portal environment. The products are, in this case, typically entered by the printing organization in a so-called MISi system after the official purchase order has been received from the print buyer. Afterwards, the MIS system then submits the product to the customer portal. Some portals, however, also support the initiation of printed products by the print buyer directly. This workflow creates additional flexibility but also makes things much more complex. We here have to distinguish between special products that are defined ad-hoc by the print buyer and standardized products that are typically selected out of catalogs. Special products are most of the time defined once and the level of detail required in terms of production parameters is quite high. Systems that support such products typically have a built-in estimation module, or, at least, a direct connection to an MIS system that calculates the prices and adds a specific mark-up to calculate a quote. Often, the markup is added by an account manager on a customer by customer basis; in this
Electron-Hole Asymmetry of Spin Injection and Transport in Single-Layer Graphene
Han, Wei; Wang, W. H.; Pi, K.; McCreary, K. M.; Bao, W.; Li, Yan; Miao, F.; Lau, C. N.; Kawakami, R. K.
2009-01-01
Spin-dependent properties of single-layer graphene (SLG) have been studied by non-local spin valve measurements at room temperature. Gate voltage dependence shows that the non-local magnetoresistance (MR) is proportional to the conductivity of the SLG, which is the predicted behavior for transparent ferromagnetic/nonmagnetic contacts. While the electron and hole bands in SLG are symmetric, gate voltage and bias dependence of the non-local MR reveal an electron-hole asymmetry in which the non-...
Application of Temperature-Controlled Thermal Atomization for Printing Electronics in Space
Wu, Chih-Hao; Thompson, Furman V.
2017-01-01
Additive Manufacturing (AM) is a technology that builds three dimensional objects by adding material layer-upon-layer throughout the fabrication process. The Electrical, Electronic and Electromechanical (EEE) parts packaging group at Marshall Space Flight Center (MSFC) is investigating how various AM and 3D printing processes can be adapted to the microgravity environment of space to enable on demand manufacturing of electronics. The current state-of-the art processes for accomplishing the task of printing electronics through non-contact, direct-write means rely heavily on the process of atomization of liquid inks into fine aerosols to be delivered ultimately to a machine's print head and through its nozzle. As a result of cumulative International Space Station (ISS) research into the behaviors of fluids in zero-gravity, our experience leads us to conclude that the direct adaptation of conventional atomization processes will likely fall short and alternative approaches will need to be explored. In this report, we investigate the development of an alternative approach to atomizing electronic materials by way of thermal atomization, to be used in place of conventional aerosol generation and delivery processes for printing electronics in space.
Modeling rock specimens through 3D printing: Tentative experiments and prospects
Jiang, Quan; Feng, Xiating; Song, Lvbo; Gong, Yahua; Zheng, Hong; Cui, Jie
2016-02-01
Current developments in 3D printing (3DP) technology provide the opportunity to produce rock-like specimens and geotechnical models through additive manufacturing, that is, from a file viewed with a computer to a real object. This study investigated the serviceability of 3DP products as substitutes for rock specimens and rock-type materials in experimental analysis of deformation and failure in the laboratory. These experiments were performed on two types of materials as follows: (1) compressive experiments on printed sand-powder specimens in different shapes and structures, including intact cylinders, cylinders with small holes, and cuboids with pre-existing cracks, and (2) compressive and shearing experiments on printed polylactic acid cylinders and molded shearing blocks. These tentative tests for 3DP technology have exposed its advantages in producing complicated specimens with special external forms and internal structures, the mechanical similarity of its product to rock-type material in terms of deformation and failure, and its precision in mapping shapes from the original body to the trial sample (such as a natural rock joint). These experiments and analyses also successfully demonstrate the potential and prospects of 3DP technology to assist in the deformation and failure analysis of rock-type materials, as well as in the simulation of similar material modeling experiments.
Directly Printable Flexible Strain Sensors for Bending and Contact Feedback of Soft Actuators
Directory of Open Access Journals (Sweden)
Khaled Elgeneidy
2018-02-01
Full Text Available This paper presents a fully printable sensorized bending actuator that can be calibrated to provide reliable bending feedback and simple contact detection. A soft bending actuator following a pleated morphology, as well as a flexible resistive strain sensor, were directly 3D printed using easily accessible FDM printer hardware with a dual-extrusion tool head. The flexible sensor was directly welded to the bending actuator’s body and systematically tested to characterize and evaluate its response under variable input pressure. A signal conditioning circuit was developed to enhance the quality of the sensory feedback, and flexible conductive threads were used for wiring. The sensorized actuator’s response was then calibrated using a vision system to convert the sensory readings to real bending angle values. The empirical relationship was derived using linear regression and validated at untrained input conditions to evaluate its accuracy. Furthermore, the sensorized actuator was tested in a constrained setup that prevents bending, to evaluate the potential of using the same sensor for simple contact detection by comparing the constrained and free-bending responses at the same input pressures. The results of this work demonstrated how a dual-extrusion FDM printing process can be tuned to directly print highly customizable flexible strain sensors that were able to provide reliable bending feedback and basic contact detection. The addition of such sensing capability to bending actuators enhances their functionality and reliability for applications such as controlled soft grasping, flexible wearables, and haptic devices.
Current enhancement in crystalline silicon photovoltaic by low-cost nickel silicide back contact
Bahabry, R. R.; Gumus, A.; Kutbee, A. T.; Wehbe, N.; Ahmed, S. M.; Ghoneim, M. T.; Lee, K. -T.; Rogers, J. A.; Hussain, M. M.
2016-01-01
We report short circuit current (Jsc) enhancement in crystalline silicon (C-Si) photovoltaic (PV) using low-cost Ohmic contact engineering by integration of Nickel mono-silicide (NiSi) for back contact metallization as an alternative to the status quo of using expensive screen printed silver (Ag). We show 2.6 mA/cm2 enhancement in the short circuit current (Jsc) and 1.2 % increment in the efficiency by improving the current collection due to the low specific contact resistance of the NiSi on the heavily Boron (B) doped Silicon (Si) interface.
Current enhancement in crystalline silicon photovoltaic by low-cost nickel silicide back contact
Bahabry, R. R.
2016-11-30
We report short circuit current (Jsc) enhancement in crystalline silicon (C-Si) photovoltaic (PV) using low-cost Ohmic contact engineering by integration of Nickel mono-silicide (NiSi) for back contact metallization as an alternative to the status quo of using expensive screen printed silver (Ag). We show 2.6 mA/cm2 enhancement in the short circuit current (Jsc) and 1.2 % increment in the efficiency by improving the current collection due to the low specific contact resistance of the NiSi on the heavily Boron (B) doped Silicon (Si) interface.
The fabrication of front electrodes of Si solar cell by dispensing printing
International Nuclear Information System (INIS)
Kim, Do-Hyung; Ryu, Sung-Soo; Shin, Dongwook; Shin, Jung-Han; Jeong, Jwa-Jin; Kim, Hyeong-Jun; Chang, Hyo Sik
2012-01-01
Highlights: ► We propose the process for the front silver electrode by employing dispensing method. ► The dispensing method is a non-contact printing process. ► The electrode by dispensing method has more uniform and narrower shape. ► The dispensing method helped to enhance the efficiency of solar cell by 0.8% absolute. - Abstract: The dispensing printing was applied to fabricate the front electrodes of silicon solar cell. In this method, a micro channel nozzle and normal Ag paste were employed. The aspect ratio and line width of electrodes could be controlled by the process variables such as the inner diameter of nozzle, dispensing speed, discharge pressure, and the gap between wafer and nozzle. For the nozzle with the inner diameter of 50 μm, the line width and aspect ratio of electrode were under 90 μm and more than ∼0.2, respectively. When comparing the efficiency of solar cell prepared by conventional screen printing and the dispensing printing, the latter exhibited 19.1%, which is 0.8% absolute higher than the former even with the same Ag paste. This is because the electrode by dispensing printing has uniform aspect ratio and narrow line width over the length of electrode.
Rahgozar, M. Armon; Hastings, Tom; McCue, Daniel L.
1997-04-01
The Internet is rapidly changing the traditional means of creation, distribution and retrieval of information. Today, information publishers leverage the capabilities provided by Internet technologies to rapidly communicate information to a much wider audience in unique customized ways. As a result, the volume of published content has been astronomically increasing. This, in addition to the ease of distribution afforded by the Internet has resulted in more and more documents being printed. This paper introduces several axes along which Internet printing may be examined and addresses some of the technological challenges that lay ahead. Some of these axes include: (1) submission--the use of the Internet protocols for selecting printers and submitting documents for print, (2) administration--the management and monitoring of printing engines and other print resources via Web pages, and (3) formats--printing document formats whose spectrum now includes HTML documents with simple text, layout-enhanced documents with Style Sheets, documents that contain audio, graphics and other active objects as well as the existing desktop and PDL formats. The format axis of the Internet Printing becomes even more exciting when one considers that the Web documents are inherently compound and the traversal into the various pieces may uncover various formats. The paper also examines some imaging specific issues that are paramount to Internet Printing. These include formats and structures for representing raster documents and images, compression, fonts rendering and color spaces.
Digital Inkjet Textile Printing
Wang, Meichun
2017-01-01
Digital inkjet textile printing is an emerging technology developed with the rise of the digital world. It offers a possibility to print high-resolution images with unlimited color selection on fabrics. Digital inkjet printing brings a revolutionary chance for the textile printing industry. The history of textile printing shows the law how new technology replaces the traditional way of printing. This indicates the future of digital inkjet textile printing is relatively positive. Differen...
Energy Technology Data Exchange (ETDEWEB)
Kim, Bongjun; Jang, Seonpil; Dodabalapur, Ananth, E-mail: ananth.dodabalapur@engr.utexas.edu [Microelectronics Research Center, The University of Texas at Austin, Austin, Texas 78758 (United States); Department of Electrical and Computer Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Geier, Michael L.; Prabhumirashi, Pradyumna L. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Hersam, Mark C. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Department of Chemistry, Northwestern University, Evanston, Illinois 60208 (United States); Department of Medicine, Northwestern University, Evanston, Illinois 60208 (United States)
2014-02-10
We report ambipolar field-effect transistors (FETs) consisting of inkjet printed semiconductor bilayer heterostructures utilizing semiconducting single-walled carbon nanotubes (SWCNTs) and amorphous zinc tin oxide (ZTO). The bilayer structure allows for electron transport to occur principally in the amorphous oxide layer and hole transport to occur exclusively in the SWCNT layer. This results in balanced electron and hole mobilities exceeding 2 cm{sup 2} V{sup −1} s{sup −1} at low operating voltages (<5 V) in air. We further show that the SWCNT-ZTO hybrid ambipolar FETs can be integrated into functional inverter circuits that display high peak gain (>10). This work provides a pathway for realizing solution processable, inkjet printable, large area electronic devices, and systems based on SWCNT-amorphous oxide heterostructures.
Guo, Ting; Holzberg, Timothy R; Lim, Casey G; Gao, Feng; Gargava, Ankit; Trachtenberg, Jordan E; Mikos, Antonios G; Fisher, John P
2017-04-12
In the past few decades, 3D printing has played a significant role in fabricating scaffolds with consistent, complex structure that meet patient-specific needs in future clinical applications. Although many studies have contributed to this emerging field of additive manufacturing, which includes material development and computer-aided scaffold design, current quantitative analyses do not correlate material properties, printing parameters, and printing outcomes to a great extent. A model that correlates these properties has tremendous potential to standardize 3D printing for tissue engineering and biomaterial science. In this study, we printed poly(lactic-co-glycolic acid) (PLGA) utilizing a direct melt extrusion technique without additional ingredients. We investigated PLGA with various lactic acid:glycolic acid (LA:GA) molecular weight ratios and end caps to demonstrate the dependence of the extrusion process on the polymer composition. Micro-computed tomography was then used to evaluate printed scaffolds containing different LA:GA ratios, composed of different fiber patterns, and processed under different printing conditions. We built a statistical model to reveal the correlation and predominant factors that determine printing precision. Our model showed a strong linear relationship between the actual and predicted precision under different combinations of printing conditions and material compositions. This quantitative examination establishes a significant foreground to 3D print biomaterials following a systematic fabrication procedure. Additionally, our proposed statistical models can be applied to couple specific biomaterials and 3D printing applications for patient implants with particular requirements.
Guo, Ting; Holzberg, Timothy R; Lim, Casey G; Gao, Feng; Gargava, Ankit; Trachtenberg, Jordan E; Mikos, Antonios G; Fisher, John P
2018-01-01
In the past few decades, 3D printing has played a significant role in fabricating scaffolds with consistent, complex structure that meet patient-specific needs in future clinical applications. Although many studies have contributed to this emerging field of additive manufacturing, which includes material development and computer-aided scaffold design, current quantitative analyses do not correlate material properties, printing parameters, and printing outcomes to a great extent. A model that correlates these properties has tremendous potential to standardize 3D printing for tissue engineering and biomaterial science. In this study, we printed poly(lactic-co-glycolic acid) (PLGA) utilizing a direct melt extrusion technique without additional ingredients. We investigated PLGA with various lactic acid: glycolic acid (LA:GA) molecular weight ratios and end caps to demonstrate the dependence of the extrusion process on the polymer composition. Micro-computed tomography was then used to evaluate printed scaffolds containing different LA:GA ratios, composed of different fiber patterns, and processed under different printing conditions. We built a statistical model to reveal the correlation and predominant factors that determine printing precision. Our model showed a strong linear relationship between the actual and predicted precision under different combinations of printing conditions and material compositions. This quantitative examination establishes a significant foreground to 3D print biomaterials following a systematic fabrication procedure. Additionally, our proposed statistical models can be applied to couple specific biomaterials and 3D printing applications for patient implants with particular requirements. PMID:28244880
Accurate characterisation of hole size and location by projected fringe profilometry
Wu, Yuxiang; Dantanarayana, Harshana G.; Yue, Huimin; Huntley, Jonathan M.
2018-06-01
The ability to accurately estimate the location and geometry of holes is often required in the field of quality control and automated assembly. Projected fringe profilometry is a potentially attractive technique on account of being non-contacting, of lower cost, and orders of magnitude faster than the traditional coordinate measuring machine. However, we demonstrate in this paper that fringe projection is susceptible to significant (hundreds of µm) measurement artefacts in the neighbourhood of hole edges, which give rise to errors of a similar magnitude in the estimated hole geometry. A mechanism for the phenomenon is identified based on the finite size of the imaging system’s point spread function and the resulting bias produced near to sample discontinuities in geometry and reflectivity. A mathematical model is proposed, from which a post-processing compensation algorithm is developed to suppress such errors around the holes. The algorithm includes a robust and accurate sub-pixel edge detection method based on a Fourier descriptor of the hole contour. The proposed algorithm was found to reduce significantly the measurement artefacts near the hole edges. As a result, the errors in estimated hole radius were reduced by up to one order of magnitude, to a few tens of µm for hole radii in the range 2–15 mm, compared to those from the uncompensated measurements.
Materials and methods for higher performance screen-printed flexible MRI receive coils.
Corea, Joseph R; Lechene, P Balthazar; Lustig, Michael; Arias, Ana C
2017-08-01
To develop methods for characterizing materials used in screen-printed MRI coils and improve signal-to-noise ratio (SNR) with new lower-loss materials. An experimental apparatus was created to characterize dielectric properties of plastic substrates used in receive coils. Coils were fabricated by screen printing conductive ink onto several plastic substrates. Unloaded and sample loaded quality factor (Q Unloaded /Q Loaded ) measurements and scans on a 3T scanner were used to characterize coil performance. An experimental method was developed to describe the relationship between a coil's Q Unloaded and the SNR it provides in images of a phantom. In addition, 3T scans of a phantom and the head of a volunteer were obtained with a proof-of-concept printed eight-channel array, and the results were compared with a commercial 12-channel array. Printed coils with optimized substrates exhibited up to 97% of the image SNR when compared with a traditional coil on a loading phantom. Q Unloaded and the SNR of coils were successfully correlated. The printed array resulted in images comparable to the quality given by the commercial array. Using the proposed methods and materials, the SNR of printed coils approached that of commercial coils while using a new fabrication technique that provided more flexibility and close contact with the patient's body. Magn Reson Med 78:775-783, 2017. © 2016 International Society for Magnetic Resonance in Medicine. © 2016 International Society for Magnetic Resonance in Medicine.
Zhang, Chunming; Wang, Libing; Yu, Miao; Qu, Lijun; Men, Yajing; Zhang, Xiangwu
2018-03-01
Pigment inkjet printing has highlighted the advantages of cost-effective, short production cycle and environment-friendly. However, patterns directly printed with pigment inks usually have low color yields and blurry images which are caused by bleeding phenomenon. This work presents an atmospheric-pressure plasma method for improving the pigment-based ink-jet printing performance of silk fabrics. The effects of surface changes induced are discussed, with data derived from morphological study by atomic force microscopy (AFM), chemical analysis using X-ray photoelectron spectroscopy (XPS) and contact angle measurement. Ink-jet printing experiments were conducted to study the influence of measured changes on anti-bleeding property and color strength of treated and original samples. The ageing experiment indicates that the modified silk fabrics should be printed within 24 h after plasma processing for maximum color yields. This study explores an effective approach for the atmospheric-pressure plasma, which can provide its significant use in improving the surface properties and ink-jet printing performance of fabrics.
Rogers, John A.; Nuzzo, Ralph; Kim, Hoon-sik; Brueckner, Eric; Park, Sang Il; Kim, Rak Hwan
2017-05-09
Described herein are printable structures and methods for making, assembling and arranging electronic devices. A number of the methods described herein are useful for assembling electronic devices where one or more device components are embedded in a polymer which is patterned during the embedding process with trenches for electrical interconnects between device components. Some methods described herein are useful for assembling electronic devices by printing methods, such as by dry transfer contact printing methods. Also described herein are GaN light emitting diodes and methods for making and arranging GaN light emitting diodes, for example for display or lighting systems.
3D printed glass: surface finish and bulk properties as a function of the printing process
Klein, Susanne; Avery, Michael P.; Richardson, Robert; Bartlett, Paul; Frei, Regina; Simske, Steven
2015-03-01
It is impossible to print glass directly from a melt, layer by layer. Glass is not only very sensitive to temperature gradients between different layers but also to the cooling process. To achieve a glass state the melt, has to be cooled rapidly to avoid crystallization of the material and then annealed to remove cooling induced stress. In 3D-printing of glass the objects are shaped at room temperature and then fired. The material properties of the final objects are crucially dependent on the frit size of the glass powder used during shaping, the chemical formula of the binder and the firing procedure. For frit sizes below 250 μm, we seem to find a constant volume of pores of less than 5%. Decreasing frit size leads to an increase in the number of pores which then leads to an increase of opacity. The two different binders, 2- hydroxyethyl cellulose and carboxymethylcellulose sodium salt, generate very different porosities. The porosity of samples with 2-hydroxyethyl cellulose is similar to frit-only samples, whereas carboxymethylcellulose sodium salt creates a glass foam. The surface finish is determined by the material the glass comes into contact with during firing.
Pentacene-Based Thin Film Transistor with Inkjet-Printed Nanocomposite High-K Dielectrics
Directory of Open Access Journals (Sweden)
Chao-Te Liu
2012-01-01
Full Text Available The nanocomposite gate insulating film of a pentacene-based thin film transistor was deposited by inkjet printing. In this study, utilizing the pearl miller to crumble the agglomerations and the dispersant to well stabilize the dispersion of nano-TiO2 particles in the polymer matrix of the ink increases the dose concentration for pico-jetting, which could be as the gate dielectric film made by inkjet printing without the photography process. Finally, we realized top contact pentacene-TFTs and successfully accomplished the purpose of directly patternability and increase the performance of the device based on the nanocomposite by inkjet printing. These devices exhibited p-channel TFT characteristics with a high field-effect mobility (a saturation mobility of ̃0.58 cm2 V−1 s−1, a large current ratio (>103 and a low operation voltage (<6 V. Furthermore, we accorded the deposited mechanisms which caused the interface difference between of inkjet printing and spin coating. And we used XRD, SEM, Raman spectroscopy to help us analyze the transfer characteristics of pentacene films and the performance of OTFTs.
Reverse-contact UV nanoimprint lithography for multilayered structure fabrication
DEFF Research Database (Denmark)
Kehagias, N.; Reboud, V.; Chansin, G.
2007-01-01
In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...
International Nuclear Information System (INIS)
Zou Jianping; Zhang Qing; Marzari, Nicola; Li Hong
2008-01-01
We have simulated short channel carbon nanotube field-effect transistors with asymmetric source and drain contacts using a coupled mode space approach within the non-equilibrium Green's function framework. The simulated results show that the asymmetric conduction properties under positive and negative drain-to-source voltages are caused by the asymmetric Schottky barriers to carriers at the source and drain contacts. Under negative drain-to-source voltages, hole and electron conduction are dominated by thermionic emission and tunneling through the Schottky barrier, respectively, leading to the different subthreshold behaviors of the hole and electron conduction. With increasing channel length, short channel effects can be suppressed effectively and ON/OFF ratio can be improved
Fu, Keke; Wang, Rongbin; Katase, Takayoshi; Ohta, Hiromichi; Koch, Norbert; Duhm, Steffen
2018-03-28
Using photoemission spectroscopy, we show that the surface electronic structure of VO 2 is determined by the temperature-dependent metal-insulator phase transition and the density of oxygen vacancies, which depends on the temperature and ultrahigh vacuum (UHV) conditions. The atomically clean and stoichiometric VO 2 surface is insulating at room temperature and features an ultrahigh work function of up to 6.7 eV. Heating in UHV just above the phase transition temperature induces the expected metallic phase, which goes in hand with the formation of oxygen defects (up to 6% in this study), but a high work function >6 eV is maintained. To demonstrate the suitability of VO 2 as hole injection contact for organic semiconductors, we investigated the energy-level alignment with the prototypical organic hole transport material N, N'-di(1-naphthyl)- N, N'-diphenyl-(1,1'-biphenyl)-4,4'-diamine (NPB). Evidence for strong Fermi-level pinning and the associated energy-level bending in NPB is found, rendering an Ohmic contact for holes.
Zhang, Yumin; Zhao, Jianhong; Zhang, Jin; Jiang, Xixi; Zhu, Zhongqi; Liu, Qingju
2018-05-09
A printing process for the fabrication of perovskite solar cells (PSCs) exhibits promising future application in the photovoltaic industry due to its low-cost and eco-friendly preparation. In mesoscopic carbon-based PSCs, however, compared to conventional ones, the hole-transport-layer-free PSCs often lead to inefficient hole extraction. Here, we used liquid metal (LM, Galinstan) as an interface modifier material in combination with a carbon electrode. Considering the high conductivity and room-temperature fluidity, it is found that LMs are superior in improving hole extraction and, more importantly, LMs tend to be reserved at the interface between ZrO 2 and carbon for enhancing the contact property. Correspondingly, the carrier transfer resistance was decreased at the carbon/perovskite interface. As optimized content, the triple mesoscopic PSCs based on mixed-cation perovskite with a power conversion efficiency of 13.51% was achieved, involving a 26% increase compared to those without LMs. This work opens new techniques for LMs in optoelectronics and printing.
Mathematical Modeling of Contact Resistance in Silicon Photovoltaic Cells
Black, J. P.
2013-10-22
In screen-printed silicon-crystalline solar cells, the contact resistance of a thin interfacial glass layer between the silicon and the silver electrode plays a limiting role for electron transport. We analyze a simple model for electron transport across this layer, based on the driftdiffusion equations. We utilize the size of the current/Debye length to conduct asymptotic techniques to simplify the model; we solve the model numerically to find that the effective contact resistance may be a monotonic increasing, monotonic decreasing, or nonmonotonic function of the electron flux, depending on the values of the physical parameters. © 2013 Society for Industrial and Applied Mathematics.
Ultra-low contact resistance in graphene devices at the Dirac point
Anzi, Luca; Mansouri, Aida; Pedrinazzi, Paolo; Guerriero, Erica; Fiocco, Marco; Pesquera, Amaia; Centeno, Alba; Zurutuza, Amaia; Behnam, Ashkan; Carrion, Enrique A.; Pop, Eric; Sordan, Roman
2018-04-01
Contact resistance is one of the main factors limiting performance of short-channel graphene field-effect transistors (GFETs), preventing their use in low-voltage applications. Here we investigated the contact resistance between graphene grown by chemical vapor deposition (CVD) and different metals, and found that etching holes in graphene below the contacts consistently reduced the contact resistance, down to 23 Ω \\cdot μ m with Au contacts. This low contact resistance was obtained at the Dirac point of graphene, in contrast to previous studies where the lowest contact resistance was obtained at the highest carrier density in graphene (here 200 Ω \\cdot μ m was obtained under such conditions). The ‘holey’ Au contacts were implemented in GFETs which exhibited an average transconductance of 940 S m-1 at a drain bias of only 0.8 V and gate length of 500 nm, which out-perform GFETs with conventional Au contacts.
International Nuclear Information System (INIS)
Carr, M.D.; Waddell, S.J.; Vick, G.S.; Stock, J.M.; Monsen, S.A.; Harris, A.G.; Cork, B.W.; Byers, F.M. Jr.
1986-01-01
Yucca Mountain in southern Nye County, Nevada, has been proposed as a potential site for the underground disposal of high-level nuclear waste. An exploratory drill hole designated UE25p No. 1 was drilled 3 km east of the proposed repository site to investigate the geology and hydrology of the rocks that underlie the Tertiary volcanic and sedimentary rock sequence forming Yucca Mountain. Silurian dolomite assigned to the Roberts Mountain and Lone Mountain Formations was intersected below the Tertiary section between a depth of approximately 1244 m (4080 ft) and the bottom of the drill hole at 1807 m (5923 ft). These formations are part of an important regional carbonate aquifer in the deep ground-water system. Tertiary units deeper than 1139 m (3733 ft) in drill hole UE25p No. 1 are stratigraphically older than any units previously penetrated by drill holes at Yucca Mountain. These units are, in ascending order, the tuff of Yucca Flat, an unnamed calcified ash-flow tuff, and a sequence of clastic deposits. The upper part of the Tertiary sequence in drill hole UE25p No. 1 is similar to that found in other drill holes at Yucca Mountain. The Tertiary sequence is in fault contact with the Silurian rocks. This fault between Tertiary and Paleozoic rocks may correlate with the Fran Ridge fault, a steeply westward-dipping fault exposed approximately 0.5 km east of the drill hole. Another fault intersects UE25p No. 1 at 873 m (2863 ft), but its surface trace is concealed beneath the valley west of the Fran Ridge fault. The Paintbrush Canyon fault, the trace of which passes less than 100 m (330 ft) east of the drilling site, intersects drill hole UE25p No. 1 at a depth of approximately 78 m (255 ft). The drill hole apparently intersected the west flank of a structural high of pre-Tertiary rocks, near the eastern edge of the Crater Flat structural depression
Printing Insecurity? The Security Implications of 3D-Printing of Weapons.
Walther, Gerald
2015-12-01
In 2013, the first gun printed out of plastic by a 3D-printer was successfully fired in the U.S. This event caused a major media hype about the dangers of being able to print a gun. Law enforcement agencies worldwide were concerned about this development and the potentially huge security implications of these functional plastic guns. As a result, politicians called for a ban of these weapons and a control of 3D-printing technology. This paper reviews the security implications of 3D-printing technology and 3D guns. It argues that current arms control and transfer policies are adequate to cover 3D-printed guns as well. However, while this analysis may hold up currently, progress in printing technology needs to be monitored to deal with future dangers pre-emptively.
International Nuclear Information System (INIS)
Xu, Hui; Pan, Yiwen; Chen, Ying; Ye, Ying; Wang, You; Li, Guang
2012-01-01
The paper presents the design of an all-solid-state portable reference electrode based on a screen-printed carbon paste electrode suitable for rapid human serum testing. The electrode was covered by electropolymerized poly(3,4-ethylenedioxythiophene) (PEDOT) doped with poly(styrenesulfonate) (PSS) as an internal solid contact layer and polyvinyl chloride (PVC) membrane containing lipophilic anion and cation additives. The electrochemical properties of PEDOT(PSS) and PEDOT(PSS)/PVC film on a carbon paste electrode were studied by electrochemical impedance spectroscopy and cyclic voltammetry methods. The reference electrode exhibited good potential stability (for H + , Na + , K + , Ca 2+ , Cl − and CO 2− 3 /HCO − 3 ), good reproducibility and long-term stability. The structure is applied as reference electrodes in human serum pH analysis with pH ion selective planar electrodes, forming a serum pH sensor. The response time of such a pH sensor was 15 s and the sensitivity was −52.2 ± 1.0 mV per decade. Other properties, such as repeatability, reproducibility and stability, were also evaluated. Clinical trials were carried out and compared with the results obtained from the routine hospital electrolyte analyzer, which demonstrated that their analytical performance was closely matched. (paper)
International Nuclear Information System (INIS)
Feast, M.W.
1981-01-01
This article deals with two questions, namely whether it is possible for black holes to exist, and if the answer is yes, whether we have found any yet. In deciding whether black holes can exist or not the central role in the shaping of our universe played by the forse of gravity is discussed, and in deciding whether we are likely to find black holes in the universe the author looks at the way stars evolve, as well as white dwarfs and neutron stars. He also discusses the problem how to detect a black hole, possible black holes, a southern black hole, massive black holes, as well as why black holes are studied
Directory of Open Access Journals (Sweden)
Peter Politzer
2014-02-01
Full Text Available Covalently-bonded atoms of Groups IV–VII tend to have anisotropic charge distributions, the electronic densities being less on the extensions of the bonds (σ-holes than in the intervening regions. These σ-holes often give rise to positive electrostatic potentials through which the atom can interact attractively and highly directionally with negative sites (e.g., lone pairs, π electrons and anions, forming noncovalent complexes. For Group VII this is called “halogen bonding” and has been widely studied both computationally and experimentally. For Groups IV–VI, it is only since 2007 that positive σ-holes have been recognized as explaining many noncovalent interactions that have in some instances long been known experimentally. There is considerable experimental evidence for such interactions involving groups IV and VI, particularly in the form of surveys of crystal structures. However we have found less extensive evidence for Group V. Accordingly we have now conducted a survey of the Cambridge Structural Database for crystalline close contacts of trivalent nitrogen, phosphorus and arsenic with six different types of electronegative atoms in neighboring molecules. We have found numerous close contacts that fit the criteria for σ-hole interactions. Some of these are discussed in detail; in two instances, computed molecular electrostatic potentials are presented.
From GCode to STL: Reconstruct Models from 3D Printing as a Service
Baumann, Felix W.; Schuermann, Martin; Odefey, Ulrich; Pfeil, Markus
2017-12-01
The authors present a method to reverse engineer 3D printer specific machine instructions (GCode) to a point cloud representation and then a STL (Stereolithography) file format. GCode is a machine code that is used for 3D printing among other applications, such as CNC routers. Such code files contain instructions for the 3D printer to move and control its actuator, in case of Fused Deposition Modeling (FDM), the printhead that extrudes semi-molten plastics. The reverse engineering method presented here is based on the digital simulation of the extrusion process of FDM type 3D printing. The reconstructed models and pointclouds do not accommodate for hollow structures, such as holes or cavities. The implementation is performed in Python and relies on open source software and libraries, such as Matplotlib and OpenCV. The reconstruction is performed on the model’s extrusion boundary and considers mechanical imprecision. The complete reconstruction mechanism is available as a RESTful (Representational State Transfer) Web service.
Principle of topography-directed inkjet printing for functional micro-tracks in flexible substrates
Keum, Chang-Min; Lee, In-Ho; Park, Hea-Lim; Kim, Chiwoo; Lüssem, Björn; Choi, Jong Sun; Lee, Sin-Doo
2017-06-01
We present a general principle of topography-directed (TD) inkjet printing for functional micro-tracks embedded in a flexible elastomer substrate. The essential features of the TD inkjet printing in a micro-structured substrate with periodic grooves and ridges are described in terms of the topographic parameters for the transformation from a single droplet to a filament or an edge-disjoint pattern of ink in the groove. Silver ink, being widely used for producing conductive wires by conventional inkjet printing, is utilized as a testbed in our study. The underlying mechanisms for the spreading and drying processes of ink drops under the topographic compartment can be understood in a two-dimensional parameter space of the aspect ratio of the groove and the contact angle of ink on the substrate. The wetting morphologies of ink droplets are described in an analytical model where the Laplace pressure and the mean curvature at the vapor/ink interface are taken into account. The first principle of the TD inkjet printing would be applicable for constructing a variety of functional micro-tracks with high pattern fidelity from different classes of solutions such as conducting polymers, organic semiconductors, and colloidal nanoparticles.
Flanged joints with contact outside the bolt circle: ASME Part B design rules
International Nuclear Information System (INIS)
Rodabaugh, E.C.; Moore, S.E.
1976-05-01
The ASME Boiler and Pressure Vessel Code, Section VIII, Division 1, gives rules which are subdivided into ''Part A'' and ''Part B''. Part A covers flanged joints where contact between flanges occurs through a gasket located inside the bolt holes. Part B covers flanged joints with contact outside the bolt holes. This report (a) summarizes the theory for Part B flanged joints, (b) presents examples which show the significant differences between Part A flanged joints and Part B flanged joints, (c) presents the available test data relevant to the characteristics of Part B flanged joints, (d) gives listings of two computer programs which can be used to evaluate the characteristics of Part B flanged joints, and (e) gives recommendations for Code revisions and other aspects of Part B flanged-joint design
Screen-printed electrode for alkali-metal thermoelectric converter
Energy Technology Data Exchange (ETDEWEB)
Hashimoto, T.; Shibata, K.; Tsuchida, K.; Kato, A. (Kyushu Univ., Fukuoka (Japan). Faculty of Engineering)
1992-06-01
An alkali-metal thermoelectric converter (AMTEC) is a device for the direct conversion of thermal to electric energy. An AMTEC contains sodium as working fluid and is divided into a high-temperature region (900-1300 K) and a low-temperature region (400-800 K) by [beta]''-alumina solid electrolyte. A high-performance electrode for an AMTEC must have good electrical conductivity, make a strong physical bond with low contact resistance to [beta]''-alumina, be highly permeable to sodium vapour, resist corrosion by sodium and have a low rate of evaporation at the operating temperature of the AMTEC. We have previously investigated the interaction of nitrides and carbides of some transition-metals (groups IV, V and VI) with [beta],[beta]''-alumina or liquid sodium (about 700degC) with the objective of finding a better electrode material for an AMTEC. The results showed that TiN, TiC, NbN and NbC were good candidates for AMTEC electrodes. We also showed that porous TiN film with low resistance can be prepared by the screen-printing method. In the present work the porous NbN film was prepared by the screen-printing method and the performance as the electrode of an AMTEC was examined. For comparison, the performance of TiN and Mo electrodes prepared by the screen-printing method was also examined. (author).
Optimized use of cooling holes to decrease the amount of thermal damage on a plastic gear tooth
Directory of Open Access Journals (Sweden)
Demagna Koffi
2016-05-01
Full Text Available The full potential of plastic gear usage is limited by not only poor mechanical properties but also equally poor temperature limits and poor heat conduction properties. Cooling holes were developed to decrease the amount of thermal damage on the contact surface. These cooling holes promote increased stress and tooth deflection, thus exerting a negative effect. This article compares various cooling holes for plastic gear configurations and proposes novel cooling holes. Thermal and mechanical simulations that consider specific aspects of plastic gear meshing were performed. The main objective of this article was to verify the best methods for reducing thermal damage through cooling holes. The results indicate the best compromise between the temperature reduction and the mechanical properties of the new tooth geometry. The results also indicate that the simple variations in the cooling holes proposed can improve tooth performance.
Contact Angle of Drops Measured on Nontransparent Surfaces and Capillary Flow Visualized
Chao, David F.; Zhang, Nengli
2003-01-01
The spreading of a liquid on a solid surface is important for various practical processes, and contact-angle measurements provide an elegant method to characterize the interfacial properties of the liquid with the solid substrates. The complex physical processes occurring when a liquid contacts a solid play an important role in determining the performance of chemical processes and materials. Applications for these processes are in printing, coating, gluing, textile dyeing, and adhesives and in the pharmaceutical industry, biomedical research, adhesives, flat panel display manufacturing, surfactant chemistry, and thermal engineering.
MOCVD ZnO/Screen Printed Ag Back Reflector for Flexible Thin Film Silicon Solar Cell Application
Directory of Open Access Journals (Sweden)
Amornrat Limmanee
2014-01-01
Full Text Available We have prepared Ag back electrode by screen printing technique and developed MOCVD ZnO/screen printed Ag back reflector for flexible thin film silicon solar cell application. A discontinuity and poor contact interface between the MOCVD ZnO and screen printed Ag layers caused poor open circuit voltage (Voc and low fill factor (FF; however, an insertion of a thin sputtered ZnO layer at the interface could solve this problem. The n type hydrogenated amorphous silicon (a-Si:H film is preferable for the deposition on the surface of MOCVD ZnO film rather than the microcrystalline film due to its less sensitivity to textured surface, and this allowed an improvement in the FF. The n-i-p flexible amorphous silicon solar cell using the MOCVD ZnO/screen printed Ag back reflector showed an initial efficiency of 6.2% with Voc=0.86 V, Jsc=12.4 mA/cm2, and FF = 0.58 (1 cm2. The identical quantum efficiency and comparable performance to the cells using conventional sputtered Ag back electrode have verified the potential of the MOCVD ZnO/screen printed Ag back reflector and possible opportunity to use the screen printed Ag thick film for flexible thin film silicon solar cells.
Print Finishing: From Manual to Automated Print Finishing
Directory of Open Access Journals (Sweden)
Gareth Ward
2004-12-01
Full Text Available Meeting the demand for faster turnrounds and shorter print runs goes beyond making the printing press easier to set up and change. There is little point in producing plates and then sheets from a press if the post press area does not change to keep abreast of developments in prepress and the print room. The greatest impact is going to come from JDF, the end to end production data format which is finding wide spread acceptance in print areas. To date finishing equipment manufacturers are not as well represented within the CIP4 organisation as prepress and press vendors, but the major manufacturers are members. All are working to the goal of complete connectivity.The idea of JDF is that if the format of a print product like a magazine is known during the creation phases, the information can be used to preset machinery that is going to be used to produce it, so avoiding input errors and saving manufacturing time.A second aspect to JDF is that information about performance and progress is gathered and can be retrieved from a central point or made available to a customer. Production scheduling and costing becomes more accurate and customer relationships are deepened. However JDF to its fullest extent is not yet in use in connecting the finishing area to the rest of the printing plant. Around the world different companies are testing the idea of JDF to connect saddle stitchers, guillotines and binders with frantic work underway to be able to show results soon.
σ-holes and π-holes: Similarities and differences.
Politzer, Peter; Murray, Jane S
2018-04-05
σ-Holes and π-holes are regions of molecules with electronic densities lower than their surroundings. There are often positive electrostatic potentials associated with them. Through these potentials, the molecule can interact attractively with negative sites, such as lone pairs, π electrons, and anions. Such noncovalent interactions, "σ-hole bonding" and "π-hole bonding," are increasingly recognized as being important in a number of different areas. In this article, we discuss and compare the natures and characteristics of σ-holes and π-holes, and factors that influence the strengths and locations of the resulting electrostatic potentials. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.
Data for four geologic test holes in the Sacramento Valley, California
Berkstresser, C.F.; French, J.J.; Schaal, M.E.
1985-01-01
The report provides geological and geophysical data for four of seven test holes drilled as a part of the Central Valley Aquifer Project, which is part of the Regional Aquifer Systems Analysis. The holes were drilled with a rotary well drilling machine to depths of 900 feet in the southwestern part of the Sacramento Valley in Solano and Yolo Counties. Geologic data for each well include lithology, texture, color, character of the contact, sorting, rounding, and cementation, determined from cuttings, cores, and sidewall covers. Fifty cores, 3 feet long, were obtained from each hole, and from eight to fourteen sidewall cores were collected. Geophysical data include a dual-induction log, spherically focused log (SFL), compensated neutron-formation density log, gamma-ray log, and a caliper log. These data are presented in four tables and on four plates. (USGS)
A high speed electrohydrodynamic (EHD) jet printing method for line printing
International Nuclear Information System (INIS)
Phung, Thanh Huy; Kim, Seora; Kwon, Kye-Si
2017-01-01
Electrohydrodynamic (EHD) jet printing has drawn attention due to its capability to produce smaller dots and patterns with finer lines when compared to those obtained from using conventional inkjet printing. Previous studies have suggested that drop-on-demand EHD-patterning applications should be limited to very slow printing cases with speeds far less than 10 mm s −1 due to the small dot size and limited jetting frequency. In this study, a new EHD printing method is proposed to significantly increase the line-patterning printing speed by modifying the ink and thereby changing the relic shape. The proposed method has the additional advantage of reducing the line-pattern width. The results of the experiment show that the pattern width could be reduced from 20 µ m to 4 µ m by increasing the printing speed from 10 mm s −1 to 50 mm s −1 , respectively. (paper)
Derby, Brian
2010-08-01
Inkjet printing is viewed as a versatile manufacturing tool for applications in materials fabrication in addition to its traditional role in graphics output and marking. The unifying feature in all these applications is the dispensing and precise positioning of very small volumes of fluid (1-100 picoliters) on a substrate before transformation to a solid. The application of inkjet printing to the fabrication of structures for structural or functional materials applications requires an understanding as to how the physical processes that operate during inkjet printing interact with the properties of the fluid precursors used. Here we review the current state of understanding of the mechanisms of drop formation and how this defines the fluid properties that are required for a given liquid to be printable. The interactions between individual drops and the substrate as well as between adjacent drops are important in defining the resolution and accuracy of printed objects. Pattern resolution is limited by the extent to which a liquid drop spreads on a substrate and how spreading changes with the overlap of adjacent drops to form continuous features. There are clearly defined upper and lower bounds to the width of a printed continuous line, which can be defined in terms of materials and process variables. Finer-resolution features can be achieved through appropriate patterning and structuring of the substrate prior to printing, which is essential if polymeric semiconducting devices are to be fabricated. Low advancing and receding contact angles promote printed line stability but are also more prone to solute segregation or “coffee staining” on drying.
Engraving Print Classification
International Nuclear Information System (INIS)
Hoelck, Daniel; Barbe, Joaquim
2008-01-01
A print is a mark, or drawing, made in or upon a plate, stone, woodblock or other material which is cover with ink and then is press usually into a paper reproducing the image on the paper. Engraving prints usually are image composed of a group of binary lines, specially those are made with relief and intaglio techniques. Varying the number and the orientation of lines, the drawing of the engraving print is conformed. For this reason we propose an application based on image processing methods to classify engraving prints
Printing Insecurity? The Security Implications of 3D-Printing of Weapons
Walther, Gerald
2014-01-01
In 2013, the first gun printed out of plastic by a 3D-printer was successfully fired in the US. This event caused a major media hype about the dangers of being able to print a gun. Law enforcement agencies worldwide were concerned about this development and the potentially huge security implications of these functional plastic guns. As a result, politicians called for a ban of these weapons and a control of 3D-printing technology. This paper reviews the security implications of 3D-printing te...
Large area optical mapping of surface contact angle.
Dutra, Guilherme; Canning, John; Padden, Whayne; Martelli, Cicero; Dligatch, Svetlana
2017-09-04
Top-down contact angle measurements have been validated and confirmed to be as good if not more reliable than side-based measurements. A range of samples, including industrially relevant materials for roofing and printing, has been compared. Using the top-down approach, mapping in both 1-D and 2-D has been demonstrated. The method was applied to study the change in contact angle as a function of change in silver (Ag) nanoparticle size controlled by thermal evaporation. Large area mapping reveals good uniformity for commercial Aspen paper coated with black laser printer ink. A demonstration of the forensic and chemical analysis potential in 2-D is shown by uncovering the hidden CsF initials made with mineral oil on the coated Aspen paper. The method promises to revolutionize nanoscale characterization and industrial monitoring as well as chemical analyses by allowing rapid contact angle measurements over large areas or large numbers of samples in ways and times that have not been possible before.
On Ni/Au Alloyed Contacts to Mg-Doped GaN
Sarkar, Biplab; Reddy, Pramod; Klump, Andrew; Kaess, Felix; Rounds, Robert; Kirste, Ronny; Mita, Seiji; Kohn, Erhard; Collazo, Ramon; Sitar, Zlatko
2018-01-01
Ni/Au contacts to p-GaN were studied as a function of free hole concentration in GaN using planar transmission line measurement structures. All contacts showed a nonlinear behavior, which became stronger for lower doping concentrations. Electrical and structural analysis indicated that the current conduction between the contact and the p-GaN was through localized nano-sized clusters. Thus, the non-linear contact behavior can be well explained using the alloyed contact model. Two contributions to the contact resistance were identified: the spreading resistance in the semiconductor developed by the current crowding around the electrically active clusters, and diode-type behavior at the interface of the electrically active clusters with the semiconductor. Hence, the equivalent Ni/Au contact model consists of a diode and a resistor in series for each active cluster. The reduced barrier height observed in the measurements is thought to be generated by the extraction of Ga from the crystalline surface and localized formation of the Au:Ga phase. The alloyed contact analyses presented in this work are in good agreement with some of the commonly observed behavior of similar contacts described in the literature.
Adult rat retinal ganglion cells and glia can be printed by piezoelectric inkjet printing
International Nuclear Information System (INIS)
Lorber, Barbara; Martin, Keith R; Hsiao, Wen-Kai; Hutchings, Ian M
2014-01-01
We have investigated whether inkjet printing technology can be extended to print cells of the adult rat central nervous system (CNS), retinal ganglion cells (RGC) and glia, and the effects on survival and growth of these cells in culture, which is an important step in the development of tissue grafts for regenerative medicine, and may aid in the cure of blindness. We observed that RGC and glia can be successfully printed using a piezoelectric printer. Whilst inkjet printing reduced the cell population due to sedimentation within the printing system, imaging of the printhead nozzle, which is the area where the cells experience the greatest shear stress and rate, confirmed that there was no evidence of destruction or even significant distortion of the cells during jet ejection and drop formation. Importantly, the viability of the cells was not affected by the printing process. When we cultured the same number of printed and non-printed RGC/glial cells, there was no significant difference in cell survival and RGC neurite outgrowth. In addition, use of a glial substrate significantly increased RGC neurite outgrowth, and this effect was retained when the cells had been printed. In conclusion, printing of RGC and glia using a piezoelectric printhead does not adversely affect viability and survival/growth of the cells in culture. Importantly, printed glial cells retain their growth-promoting properties when used as a substrate, opening new avenues for printed CNS grafts in regenerative medicine. (paper)
A Search for Black Holes and Neutron Stars in the Kepler Field
Orosz, Jerome; Short, Donald; Welsh, William; Windmiller, Gur; Dabney, David
2018-01-01
Black holes and neutron stars represent the final evolutionary stages of the most massive stars. In addition to their use as probes into the evolution of massive stars, black holes and neutron stars are ideal laboratories to test General Relativity in the strong field limit. The number of neutron stars and black holes in the Milky Way is not precisely known, but there are an estimated one billion neutron stars in the galaxy based on the observed numbers of radio pulsars. The number of black holes is about 100 million, based on the behavior of the Initial Mass Function at high stellar masses.All of the known steller-mass black holes (and a fair number of neutron stars) are in ``X-ray binaries'' that were discovered because of their luminous X-ray emission. The requirement to be in an X-ray-emitting binary places a strong observational bias on the discovery of stellar-mass black holes. Thus the 21 known black hole binaries represent only the very uppermost tip of the population iceberg.We have conducted an optical survey using Kepler data designed to uncover black holes and neutron stars in both ``quiescent'' X-ray binaries and ``pre-contact'' X-ray binaries. We discuss how the search was conducted, including how potentially interesting light curves were classified and the how variability types were identified. Although we did not find any convincing candidate neutron star or black hole systems, we did find a few noteworthy binary systems, including two binaries that contain low-mass stars with unusually low albedos.
Reverse-contact UV nanoimprint lithography for multilayered structure fabrication
International Nuclear Information System (INIS)
Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor
2007-01-01
In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique
International Nuclear Information System (INIS)
Cherrington, M.; Claypole, T.C.; Gethin, D.T.; Worsley, D.A.; Deganello, D.
2012-01-01
The color change during the ultrafast near-infrared sintering process of a nanoparticle silver ink has been correlated to its electrical performance through colorimetry using the CIELAB industry standard. Nanoparticle silver ink films, deposited over a flexible polyethylene terephthalate substrate, presented significant shifting in the a* and b* color coordinates during sintering, exhibiting the best conductivity with an a* coordinate of approximately 0 and a b* coordinate of approximately + 10. This color change has been associated with the Lorenz–Mie theory of electromagnetic scattering. This indirect measurement technique is potentially a breakthrough technology for fast in-line non-contact characterization of the drying and sintering process of nanoparticle conductive inks for use in large area roll-to-roll processing of printed electronics. - Highlights: ► Color change of a nanoparticle silver coating was measured during sintering ► Color change was correlated to the electrical performance of the coating. ► Potential in-line non-contact measurement method for roll-to-roll printed electronics
Agócs, G; Oliveira, R; Martinego, P; Peskov, Vladimir; Pietropaolo, P; Picchi, P
2008-01-01
We report promising initial results obtained with new resistive-electrode GEM (RETGEM) detectors manufactured, for the first time, using screen printing technology. These new detectors allow one to reach gas gains nearly as high as with ordinary GEM-like detectors with metallic electrodes; however, due to the high resistivity of its electrodes the RETGEM, in contrast to ordinary hole-type detectors, has the advantage of being fully spark protected. We discovered that RETGEMs can operate stably and at high gains in noble gases and in other badly quenched gases, such as mixtures of noble gases with air and in pure air; therefore, a wide range of practical applications, including dosimetry and detection of dangerous gases, is foreseeable. To promote a better understanding of RETGEM technology some comparative studies were completed with metallic-electrode thick GEMs. A primary benefit of these new RETGEMs is that the screen printing technology is easily accessible to many research laboratories. This accessibilit...
Device for measuring hole elongation in a bolted joint
Wichorek, Gregory R. (Inventor)
1987-01-01
A device to determine the operable failure mode of mechanically fastened lightweight composite joints by measuring the hole elongation of a bolted joint is disclosed. The double-lap joint test apparatus comprises a stud, a test specimen having a hole, two load transfer plates, and linear displacement measuring instruments. The test specimen is sandwiched between the two load transfer plates and clamped together with the stud. Spacer washers are placed between the test specimen and each load transfer plate to provide a known, controllable area for the determination of clamping forces around the hole of the specimen attributable to bolt torque. The spacer washers also provide a gap for the mounting of reference angles on each side of the test specimen. Under tensile loading, elongation of the hole of the test specimen causes the stud to move away from the reference angles. This displacement is measured by the voltage output of two linear displacement measuring instruments that are attached to the stud and remain in contact with the reference angles throughout the tensile loading. The present invention obviates previous problems in obtaining specimen deformation measurements by monitoring the reference angles to the test specimen and the linear displacement measuring instruments to the stud.
Cox, B L; Ludwig, K D; Adamson, E B; Eliceiri, K W; Fain, S B
2018-03-01
In medical imaging, clinicians, researchers and technicians have begun to use 3D printing to create specialized phantoms to replace commercial ones due to their customizable and iterative nature. Presented here is the design of a 3D printed open source, reusable magnetic resonance imaging (MRI) phantom, capable of flood-filling, with removable samples for measurements of contrast agent solutions and reference standards, and for use in evaluating acquisition techniques and image reconstruction performance. The phantom was designed using SolidWorks, a computer-aided design software package. The phantom consists of custom and off-the-shelf parts and incorporates an air hole and Luer Lock system to aid in flood filling, a marker for orientation of samples in the filled mode and bolt and tube holes for assembly. The cost of construction for all materials is under $90. All design files are open-source and available for download. To demonstrate utility, B 0 field mapping was performed using a series of gadolinium concentrations in both the unfilled and flood-filled mode. An excellent linear agreement (R 2 >0.998) was observed between measured relaxation rates (R 1 /R 2 ) and gadolinium concentration. The phantom provides a reliable setup to test data acquisition and reconstruction methods and verify physical alignment in alternative nuclei MRI techniques (e.g. carbon-13 and fluorine-19 MRI). A cost-effective, open-source MRI phantom design for repeated quantitative measurement of contrast agents and reference standards in preclinical research is presented. Specifically, the work is an example of how the emerging technology of 3D printing improves flexibility and access for custom phantom design.
International Nuclear Information System (INIS)
Blandford, R.D.; Thorne, K.S.
1979-01-01
Following an introductory section, the subject is discussed under the headings: on the character of research in black hole astrophysics; isolated holes produced by collapse of normal stars; black holes in binary systems; black holes in globular clusters; black holes in quasars and active galactic nuclei; primordial black holes; concluding remarks on the present state of research in black hole astrophysics. (U.K.)
Advances in Home Photo Printing
Institute of Scientific and Technical Information of China (English)
Qian Lin; Brian Atkins; Huitao Luo
2004-01-01
With digital camera adoptions going main stream, consumers capture a record number of photos.Currently, the majority of the digital photos are printed at home. One of the key enablers of this transformation is the advancement of home photo printing technologies. In the past few years, inkjet printing technologies have continued to deliver smaller drop size, larger number of inks, and longer-lasting prints. In the mean time, advanced image processing automatically enhances captured digital photos while being printed. The combination of the above two forces has closed the gap between the home photo prints and AgX prints. It will give an overview of the home photo printing market and technology trends, and discuss major advancements in automatic image processing.
Korkut, Sibel (Inventor); Chiang, Katherine S. (Inventor); Crain, John M. (Inventor); Aksay, Ilhan A. (Inventor); Lettow, John S. (Inventor); Chen, Chuan-Hua (Inventor); Prud'Homme, Robert K. (Inventor)
2018-01-01
Printed electronic device comprising a substrate onto at least one surface of which has been applied a layer of an electrically conductive ink comprising functionalized graphene sheets and at least one binder. A method of preparing printed electronic devices is further disclosed.
Interference fit effect on holed single plates loaded with tension-tension stresses
Directory of Open Access Journals (Sweden)
D. Croccolo
2012-07-01
Full Text Available This paper deals with the influence of interference fit coupling on the fatigue strength of holed plates. The effect was investigated both experimentally and numerically. Axial fatigue tests have been carried out on holed specimens made of high performance steel (1075MPa of Ultimate strength and 990MPa of Yield strength with or without a pin, made of the same material, press fitted into their central hole. Three different conditions have been investigated: free hole specimens, specimens with 0.6% of nominal specific interference and specimens with 2% of nominal specific interference. The experimental stress-life (S–N curves pointed out an increased fatigue life of the interference fit specimens compared with the free hole ones. The numerical investigation was performed in order to analyse the stress fields by applying an elastic plastic 2D simulation with a commercial Finite Element software. The stress history and distribution along the contact interference of the fitted samples indicates a significant reduction of the local stress range due to the externally applied loading (remote stress since a residual and compressive stress field is generated by the pin insertion.
Directory of Open Access Journals (Sweden)
Hans Georg Wenke
2004-12-01
Full Text Available Printing will also be done in the future. Printed items meet basic needs and are deeply anchored in people’s habits. Being able to handle and collect printed matter is highly attractive. And paper is now more alive than ever. It is therefore too shortsighted to disclaim the importance of one of the still large economic sectors just because of a few looming-recession instigated market shifts.The exciting aspect of drupa 2004 is: printing will be reinvented, so to speak. Much more printing will be done in the future than at present. On the one hand, people are concentrating on process optimization and automation to ensure this. Measuring and testing, process control and optimization, and linking up "office software" with printing technology will be very central topics at drupa 2004. Electronics and print are not rivals; a symbiosis exists. And printing is high-tech: hardly any other multifaceted sector which has been so successful for centuries is as computerized as the printing industry.A series of "new chapters" in the variety of printing possibilities will be opened at drupa. Talk will be generated by further technical developments, often the connection between paper/cardboard and electronics, the link between the office world and graphics industry, text databases and their link-up to graphic page production tools, and "on the fly" dynamic printing over networks.All of this and more belongs to future potentialities, which are so substantial overall, the outlook is by no means black for the "black art". Like its predecessors, drupa 2004 is also a product trade fair. However, more than ever before in its history, it is also an "information village". The exhibits are useful, because they occasionally make what this means visible.
Afrin, Rehana; Zohora, Umme Salma; Uehara, Hironori; Watanabe-Nakayama, Takahiro; Ikai, Atsushi
2009-01-01
The atomic force microscope (AFM) is a versatile tool for imaging, force measurement and manipulation of proteins, DNA, and living cells basically at the single molecular level. In the cellular level manipulation, extraction, and identification of mRNA's from defined loci of a cell, insertion of plasmid DNA and pulling of membrane proteins, for example, have been reported. In this study, AFM was used to create holes at defined loci on the cell membrane for the investigation of viability of the cells after hole creation, visualization of intracellular structure through the hole and for targeted gene delivery into living cells. To create large holes with an approximate diameter of 5-10 microm, a phospholipase A(2) coated bead was added to the AFM cantilever and the bead was allowed to touch the cell surface for approximately 5-10 min. The evidence of hole creation was obtained mainly from fluorescent image of Vybrant DiO labeled cell before and after the contact with the bead and the AFM imaging of the contact area. In parallel, cells with a hole were imaged by AFM to reveal intracellular structures such as filamentous structures presumably actin fibers and mitochondria which were identified with fluorescent labeling with rhodamine 123. Targeted gene delivery was also attempted by inserting an AFM probe that was coated with the Monster Green Fluorescent Protein phMGFP Vector for transfection of the cell. Following targeted transfection, the gene expression of green fluorescent protein (GFP) was observed and confirmed by the fluorescence microscope. Copyright (c) 2009 John Wiley & Sons, Ltd.
Printing quality control automation
Trapeznikova, O. V.
2018-04-01
One of the most important problems in the concept of standardizing the process of offset printing is the control the quality rating of printing and its automation. To solve the problem, a software has been developed taking into account the specifics of printing system components and the behavior in printing process. In order to characterize the distribution of ink layer on the printed substrate the so-called deviation of the ink layer thickness on the sheet from nominal surface is suggested. The geometric data construction the surface projections of the color gamut bodies allows to visualize the color reproduction gamut of printing systems in brightness ranges and specific color sectors, that provides a qualitative comparison of the system by the reproduction of individual colors in a varying ranges of brightness.
Solvents interactions with thermochromic print
Directory of Open Access Journals (Sweden)
Mirela Rožić
2017-12-01
Full Text Available In this study, the interactions between different solvents (benzene, acetone, cyclohexanone, various alcohols and water and thermochromic printing ink were investigated. Thermochromic printing ink was printed on metal surface. Components of thermochromic printing inks are polymeric microcapsules and classic yellow offset printing ink. Below its activation temperature, dye and developer within the microcapsules form a blue coloured complex. Therefore, thermochromic print is green. By heating above the activation temperature, blue colour of the complex turns into the leuco dye colourless state and the green colour of the prints turns into the yellow colour of the classic offset pigment. The results of the interaction with various solvents show that the thermochromic print is stable in all tested solvents except in ethanol, acetone and cyclohexanone. In ethanol, the green colour of the print becomes yellow. SEM analysis shows that microcapsules are dissolved. In acetone and cyclohexanone, the green colour of the print turns into blue, and the microcapsules become significantly more visible. Thus, the yellow pigment interacts with examined ketones. Based on the obtained interactions it can be concluded that the microcapsules have more polar nature than the classical pigment particles. Solvent-thermocromic print interactions were analysed using Hansen solubility parameters that rank the solvents based on their estimated interaction capabilities.
SHORT-PULSE ELECTROMAGNETIC TRANSPONDER FOR HOLE-TO-HOLE USE.
Wright, David L.; Watts, Raymond D.; Bramsoe, Erik
1983-01-01
Hole-to-hole observations were made through nearly 20 m of granite using an electromagnetic transponder (an active reflector) in one borehole and a single-hole short-pulse radar in another. The transponder is inexpensive, operationally simple, and effective in extending the capability of a short-pulse borehole radar system to allow hole-to-hole operation without requiring timing cables. A detector in the transponder senses the arrival of each pulse from the radar. Each pulse detection triggers a kilovolt-amplitude pulse for retransmission. The transponder 'echo' may be stronger than that of a passive reflector by a factor of as much as 120 db. The result is an increase in range capability by a factor which depends on attenuation in the medium and hole-to-hole wavepath geometry.
How Does a Liquid Wet a Solid? Hydrodynamics of Dynamic Contact Angles
Rame, Enrique
2001-01-01
A contact line is defined at the intersection of a solid surface with the interface between two immiscible fluids. When one fluid displaces another immiscible fluid along a solid surface, the process is called dynamic wetting and a "moving" contact line (one whose position relative to the solid changes in time) often appears. The physics of dynamic wetting controls such natural and industrial processes as spraying of paints and insecticides, dishwashing, film formation and rupture in the eye and in the alveoli, application of coatings, printing, drying and imbibition of fibrous materials, oil recovery from porous rocks, and microfluidics.
Brügmann, B.; Ghez, A. M.; Greiner, J.
2001-01-01
Recent progress in black hole research is illustrated by three examples. We discuss the observational challenges that were met to show that a supermassive black hole exists at the center of our galaxy. Stellar-size black holes have been studied in x-ray binaries and microquasars. Finally, numerical simulations have become possible for the merger of black hole binaries.
Three-dimensional bio-printing.
Gu, Qi; Hao, Jie; Lu, YangJie; Wang, Liu; Wallace, Gordon G; Zhou, Qi
2015-05-01
Three-dimensional (3D) printing technology has been widely used in various manufacturing operations including automotive, defence and space industries. 3D printing has the advantages of personalization, flexibility and high resolution, and is therefore becoming increasingly visible in the high-tech fields. Three-dimensional bio-printing technology also holds promise for future use in medical applications. At present 3D bio-printing is mainly used for simulating and reconstructing some hard tissues or for preparing drug-delivery systems in the medical area. The fabrication of 3D structures with living cells and bioactive moieties spatially distributed throughout will be realisable. Fabrication of complex tissues and organs is still at the exploratory stage. This review summarize the development of 3D bio-printing and its potential in medical applications, as well as discussing the current challenges faced by 3D bio-printing.
A Single-hole stone anchor from Kottapatnam: Early historic port site of Andhra Pradesh, India
Digital Repository Service at National Institute of Oceanography (India)
Tripati, S.; Rao, K.P.; Kumari, S.; Imsong, O.; Vanlalhruaitluangi, V.
of Kottapatnam and this is the first stone anchor reported from Andhra coast. In this paper the single hole stone anchor has been detailed along with its probable period and the trade contacts of Kottapatnam as a port...
Otto, R
2007-01-01
For many years CERN had a very sophisticated print server infrastructure which supported several different protocols (AppleTalk, IPX and TCP/IP) and many different printing standards. Todayâs situation differs a lot: we have a much more homogenous network infrastructure, where TCP/IP is used everywhere and we have less printer models, which almost all work using current standards (i.e. they all provide PostScript drivers). This change gave us the possibility to review the printing architecture aiming at simplifying the infrastructure in order to achieve full automation of the service. The new infrastructure offers both: LPD service exposing print queues to Linux and Mac OS X computers and native printing for Windows based clients. The printer driver distribution is automatic and native on Windows and automated by custom mechanisms on Linux, where the appropriate Foomatic drivers are configured. Also the process of printer registration and queue creation is completely automated following the printer regis...
Analysis of Gas Leakage and Current Loss of Solid Oxide Fuel Cells by Screen Printing
DEFF Research Database (Denmark)
Jia, Chuan; Han, Minfang; Chen, Ming
2017-01-01
Two types of anode supported solid oxide fuel cell (SOFC) NiO-YSZ/YSZ/GDC/LSCF with the same structure and different manufacturing process were tested. Gas leakage was suspected for cells manufactured with screen printing technique. Effective leak current densities for both types of cells were...... calculated. Their performances of electrochemical impedance spectroscopy (EIS) were compared and distribution function of relaxation times (DRT) technique was also used to find the clue of gas leakage. Finally, thinning and penetrating holes were observed in electrolyte layer, which confirmed the occurrence...
Physical mechanisms related to the degradation of LPCVD tungsten contacts at elevated temperatures
International Nuclear Information System (INIS)
Shenai, K.; Lewis, N.; Smith, G.A.; McConnell, M.D.; Burrell, M.
1990-01-01
The thermal stability of LPCVD (low pressure chemical vapor deposition) tungsten contacts to n-type silicon is studied at elevated temperatures in excess of 650 degrees C. The process variants studied include silicon doping, tungsten thickness, and post tungsten deposition dielectric stress temperatures. Detailed measurements of Kelvin contact resistance were made at room temperature as well as at elevated temperatures up to 165 degrees C. The tungsten contact resistance degradation at elevated stress temperatures is correlated with worm hole formation in silicon and the formation and diffusion of tungsten silicide. Extensive analytical measurements were used to characterize the material transformation at elevated stress temperatures to understand the physical mechanisms causing contact degradation
Localized and guided electroluminescence from roll printed organic nanofibres
DEFF Research Database (Denmark)
Tavares, Luciana; Kjelstrup-Hansen, Jakob; Rubahn, Horst-Günter
2012-01-01
injection of holes and electrons into the organic material with subsequent charge carrier recombination and light emission from a small area near the metal-nanofibre interface. The polarization results from the mutually parallel ordering of the molecular constituents, in which the emitting dipole......Here, we report localized, polarized, and waveguidedelectroluminescence (EL) from well aligned organic nanofibres integrated via roll printing on transistor platforms. The localized emission is due to the application of an AC voltage to the transistor gate electrodes, which causes sequential...... that this scheme can facilitate EL from a nanofibre made from a different type of molecule with altered spectral characteristics. The realization of an electrically biased organic nanoscale light-emitter demonstrates the ability to fabricate on-chip light sources with tunable emission spectrum via synthesis...
Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells
International Nuclear Information System (INIS)
Reichel, Christian; Feldmann, Frank; Müller, Ralph; Hermle, Martin; Glunz, Stefan W.; Reedy, Robert C.; Lee, Benjamin G.; Young, David L.; Stradins, Paul
2015-01-01
Passivated contacts (poly-Si/SiO x /c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF 2 ), the ion implantation dose (5 × 10 14 cm −2 to 1 × 10 16 cm −2 ), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells. Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV oc ) of 725 and 720 mV, respectively. For p-type passivated contacts, BF 2 implantations into intrinsic a-Si yield well passivated contacts and allow for iV oc of 690 mV, whereas implanted B gives poor passivation with iV oc of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V oc of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF 2 implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V oc of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts
An inkjet printed stripe-type color filter of liquid crystal display
International Nuclear Information System (INIS)
Chen, Chin-Tai; Wu, Kuo-Hua; Shieh, Fanny; Lu, Chun-Fu
2010-01-01
In this paper, we propose a comprehensive concept and new design of a drop-on-demand (DOD) inkjet printing process for fabricating color filter (CF) layers of liquid crystal displays (LCDs) onto the structured surfaces of flat substrates, composed of 'physical sidewalls' for aligning and controlling the liquid morphology. Several fundamental guidelines of the design have been addressed in current inkjet-printing techniques. Using a droplet generator (printhead) of the deposition system, the color-ink drops can be jetted and placed over the specific domains, where the sidewalls align the flow merged from a stream of droplets and fulfill the coverage of the defined areas, in which the geometrical relations correlating the droplets and sidewalls are explicitly expressed in the study. According to the results of the simulation and analysis, the proposed sidewalls, acting as the physical barriers, can control the liquid morphology through the simple geometric factors such as sidewall widths, heights and contact angles. The experimental results showed that the solid RGB color layers were self-assembled from the liquid droplets and formed with the uniform thickness, except for the neighborhood of the sidewalls. It indicated that the sidewalls serving as 'physical barriers' had a remarkable effect in confining and self-aligning the droplet flow within the desirable regions. This inkjet-printing method would alternatively offer one cost-effective and high-flexibility method for the production of the versatile LCD CF, thus being particularly beneficial for large-area printing and flexible substrates.
The performance of silicon solar cells prepared by screen-printing technique
International Nuclear Information System (INIS)
Mursyidah; Mohamed Yahaya; Muhammad Mohd Salleh
2000-01-01
Screen-printing technique is known to produce low cost solar cells. A study has been done to prepare silicon solar cells of n + -p and n + -p-p + structures. The p-type silicon wafers were used as substrates. The phosphorous layer was deposited on top of the substrate using the screen-printing technique. The wafer was then annealed at temperature 1000 degree C for 10 minutes, so that phosphorous atoms are thermally diffused into the wafer to form an n + -p junction. Meanwhile the boron film was deposited at the back surface of the substrate and annealed at temperature 900 degree C for 10 minutes to form a p + layer in the n + -p-p + device. The back and front metal contacts were made using screen-printing technique. The performance of the devices was evaluated from I-V curves measured in the dark and under illumination. It was found that the n + -p-p + device with short circuit current, I SC = 32 mA, open circuit voltage, V OC = 0.46 volt, fill factor, FF=0.63 and efficiency, η = 2.3%, was better than that of the n + -p device. The performance of the n + -p-p + device was successfully improved by depositing titanium dioxide on top of the device as anti-reflection coating using the screen-printing technique. The improved performance was I SC = 38 mA, V OC = 0.48 volt, FF = 0.67 and η = 3. 1%. (Author)
Direct printing of miniscule aluminum alloy droplets and 3D structures by StarJet technology
Gerdes, B.; Zengerle, R.; Koltay, P.; Riegger, L.
2018-07-01
Drop-on demand printing of molten metal droplets could be used for prototyping 3D objects as a promising alternative to laser melting technologies. However, to date, only few printheads have been investigated for this purpose, and they used only a limited range of materials. The pneumatically actuated StarJet technology enables the direct and non-contact printing of molten metal microdroplets from metal melts at high temperatures. StarJet printheads utilize nozzle chips featuring a star-shaped orifice geometry that leads to formation of droplets inside the nozzle with high precision. In this paper, we present a novel StarJet printhead for printing aluminum (Al) alloys featuring a hybrid design with a ceramic reservoir for the molten metal and an outer shell fabricated from stainless steel. The micro machined nozzle chip is made from silicon carbide (SiC). This printhead can be operated at up to 950 °C, and is capable of printing high melting point metals like Al alloys in standard laboratory conditions. In this work, an aluminum–silicon alloy that features 12% silicon (AlSi12) is printed. The printhead, nozzle, and peripheral actuation system are optimized for stable generation of AlSi12 droplets with high monodispersity, low angular deviation, and miniaturized droplet diameters. As a result, a stable drop-on-demand printing of droplets exhibiting diameters of d droplet = 702 µm ± 1% is demonstrated at 5 Hz with a low angular deviation of 0.3°, when a nozzle chip with 500 µm orifice diameter is used. Furthermore, AlSi12 droplets featuring d droplet = 176 µm ± 7% are printed when using a nozzle chip with an orifice diameter of 130 µm. Moreover, we present directly printed objects from molten Al alloy droplets, such as high aspect ratio, free-standing walls (aspect ratio 12:1), and directly printed, flexible springs, to demonstrate the principle of 3D printing with molten metal droplets.
Reducing the substrate dependent scanner leveling effect in low-k1 contact printing
Chang, C. S.; Tseng, C. F.; Huang, C. H.; Yang, Elvis; Yang, T. H.; Chen, K. C.
2015-03-01
As the scaling down of design rule for high-density memory device, the small depth of focus (DoF) budget may be deteriorated by focus leveling errors, which arises in unpredicted reflectivity from multilayer structures on the topographic wafer. The leveling sensors of ASML scanner use near infrared (NIR) range wavelength which can penetrate through most of films using in semiconductor fabrication such as photo-resist, bottom anti reflective coating (BARC) and dielectric materials. Consequently, the reflected light from underlying substructures would disturb leveling sensors from accurate leveling. The different pattern densities and layout characteristics between array and periphery of a memory chip are expected to result in different leveling signals. Furthermore, the process dependent variations between wafer central and edge areas are also considered to yield different leveling performances during wafer exposure. In this study, lower blind contact immunity was observed for peripheral contacts comparing to the array contacts especially around wafer edge region. In order to overcome this problem, a series of investigations have been carried out. The wafer edge leveling optimization through circuit dependent focus edge clearance (CDFEC) option doesn't get improvement. Air gauge improved process leveling (AGILE) function of ASML immersion scanner doesn't show improved result either. The ILD uniformity improvement and step height treatments around wafer edge such as edge exclusion of film deposition and bevel etching are also ineffective to mitigate the blind contact problem of peripheral patterns. Altering the etch hard-mask stack is finally found to be an effective approach to alleviate the issue. For instance, through either containing high temperature deposition advanced patterning film (APF) in the hard-mask or inserting higher opaque film such as amorphous Si in between the hard-mask stack.
Neutrino constraints that transform black holes into grey holes
International Nuclear Information System (INIS)
Ruderfer, M.
1982-01-01
Existing black hole theory is found to be defective in its neglect of the physical properties of matter and radiation at superhigh densities. Nongravitational neutrino effects are shown to be physically relevant to the evolution of astronomical black holes and their equations of state. Gravitational collapse to supernovae combined with the Davis and Ray vacuum solution for neutrinos limit attainment of a singularity and require black holes to evolve into ''grey holes''. These allow a better justification than do black holes for explaining the unique existence of galactic masses. (Auth.)
Printing nanotube/nanowire for flexible microsystems
Tortorich, Ryan P.; Choi, Jin-Woo
2014-04-01
Printing has become an emerging manufacturing technology for mechanics, electronics, and consumer products. Additionally, both nanotubes and nanowires have recently been used as materials for sensors and electrodes due to their unique electrical and mechanical properties. Printed electrodes and conductive traces particularly offer versatility of fabricating low-cost, disposable, and flexible electrical devices and microsystems. While various printing methods such as screen printing have been conventional methods for printing conductive traces and electrodes, inkjet printing has recently attracted great attention due to its unique advantages including no template requirement, rapid printing at low cost, on-demand printing capability, and precise control of the printed material. Computer generated conductive traces or electrode patterns can simply be printed on a thin film substrate with proper conductive ink consisting of nanotubes or nanowires. However, in order to develop nanotube or nanowire ink, there are a few challenges that need to be addressed. The most difficult obstacle to overcome is that of nanotube/nanowire dispersion within a solution. Other challenges include adjusting surface tension and controlling viscosity of the ink as well as treating the surface of the printing substrate. In an attempt to pave the way for nanomaterial inkjet printing, we present a method for preparing carbon nanotube ink as well as its printing technique. A fully printed electrochemical sensor using inkjet-printed carbon nanotube electrodes is also demonstrated as an example of the possibilities for this technology.
Colour changes in prints during long-term dark storage of prints
International Nuclear Information System (INIS)
Parraman, Carinna
2010-01-01
The most significant impact on colour fading in prints is exposure to light and air. However what happens to coloured prints during long-term storage in boxes, drawers and on shelves? Measurements of samples, printed in July 2005, stored in a range of light and darkened storage conditions have shown some interesting initial results. As more emphasis is placed on the effects of light, the dark stability of inkjet prints is relatively overlooked when considering how to preserve or store coloured prints. This study and presentation builds on previous research [1] and has concentrated on the changes to colour during storage. With reference to ASTM F2035 - 00(2006) Standard Practice for Measuring the Dark Stability of Ink Jet Prints, the Standards outline points out that whilst natural aging is the most reliable method of assessing image stability, materials and inks any data that is produced quickly becomes redundant; therefore accelerated aging is more preferred. However, the fine art materials in this study are still very much in circulation. The leading fine art papers, and pigmented ink-sets used in these trials are still being used by artists. We can therefore demonstrate the characteristics of colour changes and the impact of ink on paper that utilises natural aging methods.
International Nuclear Information System (INIS)
Meissner, M V; Spengler, N; Mager, D; Wang, N; Kiss, S Z; Höfflin, J; While, P T; Korvink, J G
2015-01-01
We present a new self-aligned, mask-free micro-fabrication method with which to form thick-layered conductive metal micro-structures inside electroplating moulds. Seed layer patterning for electroplating was performed by ink-jet printing using a silver nano-particle ink deposited on SU-8 or Ordyl SY permanent resist. The silver ink contact angle on SU-8 was adjusted by oxygen plasma followed by a hard bake. Besides functioning as a seed layer, the printed structures further served as a shadow mask during patterning of electroplating moulds into negative photoresist. The printed silver tracks remained in strong adhesion to the substrate when exposed to the acidic chemistry of the electroplating bath. To demonstrate the process, we manufactured rectangular, low-resistivity planar micro-coils for use in magnetic resonance microscopy. MRI images of a spring onion with an in-plane resolution down to 10 µm × 10 µm were acquired using a micro-coil on an 11.7 T MRI scanner. (paper)
Kim, Dae-Kyu; Choi, Jong-Ho
2018-02-01
Herein is presented a comparative performance analysis of heterojunction organic-based light-emitting field-effect transistors (OLEFETs) with symmetric (Au only) and asymmetric (Au and LiF/Al) electrode contacts. The devices had a top source-drain contact with long-channel geometry and were produced by sequentially depositing p-type pentacene and n-type N,N‧-ditridecylperylene-3,4,9,10-tetracarboxylic diimide (P13) using a neutral cluster beam deposition apparatus. The spectroscopic, structural and morphological properties of the organic thin films were examined using photoluminescence (PL) spectroscopy, X-ray diffraction (XRD) method, laser scanning confocal and atomic force microscopy (LSCM, AFM). Based upon the growth of high-quality, well-packed crystalline thin films, the devices demonstrated ambipolar field-effect characteristics, stress-free operational stability, and light emission under ambient conditions. Various device parameters were derived from the fits of the observed characteristics. The hole mobilities were nearly equal irrespective of the electrode contacts, whereas the electron mobilities of the transistors with LiF/Al drain electrodes were higher due to the low injection barrier. For the OLEFETs with symmetric electrodes, electroluminescence (EL) occurred only in the vicinity of the hole-injecting electrode, whereas for the OLEFETs with asymmetric electrodes, the emission occurred in the vicinity of both hole- and electron-injecting electrodes. By tuning the carrier injection and transport through high- and low-work function metals, the hole-electron recombination sites could be controlled. The operating conduction and light emission mechanism are discussed with the aid of EL images obtained using a charge-coupled device (CCD) camera.
Directory of Open Access Journals (Sweden)
Thanh Huy Phung
2018-02-01
Full Text Available Electrohyrodynamic (EHD jet printing has been widely used in the field of direct micro-nano patterning applications, due to its high resolution printing capability. So far, vector line printing using a single nozzle has been widely used for most EHD printing applications. However, the application has been limited to low-speed printing, to avoid non-uniform line width near the end points where line printing starts and ends. At end points of line vector printing, the deposited drop amount is likely to be significantly large compared to the rest of the printed lines, due to unavoidable acceleration and deceleration. In this study, we proposed a method to solve the printing quality problems by producing droplets at an equally spaced distance, irrespective of the printing speed. For this purpose, an encoder processing unit (EPU was developed, so that the jetting trigger could be generated according to user-defined spacing by using encoder position signals, which are used for the positioning control of the two linear stages.
Some Thoughts on Contemporary Graphic Print
Directory of Open Access Journals (Sweden)
Stefan Skiba
2016-09-01
Full Text Available The production requirements of original graphic works of art have changed since 1980. The development of digital printing using lightfast colors now rivals traditional techniques such as wood cut, screen print, lithography, etching etc. Today, with respect to artistic legitimacy, original graphics using traditional printing techniques compete with original graphics produced by digital printing techniques on the art market. What criteria distinguish traditional printing techniques from those of digital printing in the production and acquisition of original graphics? What consequences is the serious artist faced with when deciding to implement digital print production? How does digital print change original graphic acquisition decisions?
Performance of Railway Sleepers with Holes under Impact Loading
Lim, Chie Hong; Kaewunruen, Sakdirat; Mlilo, Nhlanganiso
2017-12-01
Prestressed concrete sleepers are essential structural components of railway track structures, with the purpose of redistributing wheel loads from the rails to the ground. To facilitate cables and signalling equipment, holes are often generated in these prestressed concrete sleepers. However, the performance of these sleepers under impact loading may be a concern with the addition of these holes. Numerical modelling using finite element analysis (FEA) is an ideal tool that enables static and dynamic simulation and can perform analyses of basic/advanced linear and nonlinear problems, without incurring a huge cost in resources like standard experimental test methods would. This paper will utilize the three-dimensional FE modelling software ABAQUS to investigate the behaviour of the prestressed concrete sleepers with holes of varying sizes upon impact loading. To obtain the results that resemble real-life behaviour of the sleepers under impact loading, the material properties, element types, mesh sizes, contact and interactions and boundary conditions will be defined as accurately as possible. Both Concrete Damaged Plasticity (CDP) and Brittle Cracking models will be used in this study. With a better understanding of how the introduction of holes will influence the performance of prestressed sleepers under impact loading, track and railway engineers will be able to generate them in prestressed concrete sleepers without compromising the sleepers’ performance during operation
Directory of Open Access Journals (Sweden)
Seth R Irish
2014-11-01
Full Text Available The physical integrity of bednets is a concern of national malaria control programs, as it is a key factor in determining the rate of replacement of bednets. It is largely assumed that increased numbers of holes will result in a loss of protection of sleepers from potentially infective bites. Experimental hut studies are valuable in understanding mosquito behaviour indoors, particularly as it relates to blood feeding and mortality. This review summarises findings from experimental hut studies, focusing on two issues: (i the effect of different numbers or sizes of holes in bednets and (ii feeding behaviour and mortality with holed nets as compared with unholed nets. As might be expected, increasing numbers and area of holes resulted in increased blood feeding by mosquitoes on sleepers. However, the presence of holes did not generally have a large effect on the mortality of mosquitoes. Successfully entering a holed mosquito net does not necessarily mean that mosquitoes spend less time in contact with the net, which could explain the lack in differences in mortality. Further behavioural studies are necessary to understand mosquito behaviour around nets and the importance of holed nets on malaria transmission.
1,6-hexanediol diacrylate: a rapid and potent sensitizer in the printing industry.
Morgan, V A; Fewings, J M
2000-08-01
Printers are exposed to a wide variety of irritants and sensitizers. Allergy developing from a single exposure to any compound is rare. We report two cases of workers in the printing industry who developed allergic contact dermatitis to 1,6-hexanediol diacrylate (HDDA) within a short period of exposure. The first developed within weeks of exposure to a plastic sheet primed with HDDA. The second developed after a single accidental exposure.
International Nuclear Information System (INIS)
Novikov, I.; Polnarev, A.
1981-01-01
Proves are searched for of the formation of the so-called primary black holes at the very origin of the universe. The black holes would weigh less than 10 13 kg. The formation of a primary black hole is conditional on strong fluctuations of the gravitational field corresponding roughly to a half of the fluctuation maximally permissible by the general relativity theory. Only big fluctuations of the gravitational field can overcome the forces of the hot gas pressure and compress the originally expanding matter into a black hole. Low-mass black holes have a temperature exceeding that of the black holes formed from stars. A quantum process of particle formation, the so-called evaporation takes place in the strong gravitational field of a black hole. The lower the mass of the black hole, the shorter the evaporation time. The analyses of processes taking place during the evaporation of low-mass primary black holes show that only a very small proportion of the total mass of the matter in the universe could turn into primary black holes. (M.D.)
Effects of supporting electrolytes on copper electroplating for filling through-hole
International Nuclear Information System (INIS)
Chen, Chien-Hung; Lu, Chun-Wei; Huang, Su-Mei; Dow, Wei-Ping
2011-01-01
Highlights: → The through-holes of a printed circuit boardare directly filled by copper electroplating using single organic additive. → The inhibiting strength of the additive on copper deposition is related to a supporting electrolyte. → H 2 SO 4 strongly enhances the inhibiting strength of the additive and results in a conformal deposition, whereas Na 2 SO 4 and K 2 SO 4 do not affect the inhibiting strength and lead to good filling capability. - Abstract: The filling of micron through-holes (THs) in a printed circuit board (PCB) by copper electroplating was investigated in this study. The role of supporting electrolytes, such as H 2 SO 4 , Na 2 SO 4 and K 2 SO 4 , was explored using practical TH filling plating and linear-sweep voltammetry (LSV) analysis of plating solutions. The copper could selectively fill THs using one organic additive, namely, tetranitroblue tetrazolium chloride (TNBT), as an inhibitor. The inhibiting strength of TNBT depended on the supporting electrolytes. Although H 2 SO 4 could enhance the inhibiting strength of TNBT, it also decreased the filling capability of the copper plating solution; Na 2 SO 4 and K 2 SO 4 did not enhance the inhibiting strength of TNBT but they increased the filling capability of the copper plating solution. Additionally, the protons could chemically interact with TNBT to form precipitate, whereas sodium and potassium ions did not easily interact with TNBT. The filling capability of the copper plating solution using Na 2 SO 4 and K 2 SO 4 as supporting electrolytes could be greatly improved by adding a small amount of bis(3-sulfopropyl)-disulfide (SPS) and poly(ethylene glycol) (PEG) with a molecular weight of 600.
Parraman, C.
2017-01-01
Invited chapter in the book Colour Design: Theories and Applications. In PART 3 COLOUR, DESIGN AND COLORATION this chapter covers:\\ud - Hardcopy colour: analogue versus digital\\ud - Colour theory in relation to printing\\ud - Overview of halftoning and digital print technologies\\ud - Overview and development of inks\\ud - Inkjet papers and inks\\ud - Recent and future trends in colour, printing inks and hardware.\\ud \\ud This book differs from other existing books in the field, with the aim of an...
Erne, Lukas Christian
2007-01-01
Positioning Shakespeare at the "crossroads of manuscript and print" and exploring what the choice of print or manuscript reveals about the poet's intended audience and the social persona the poet wanted to assume and fashion, argues that "Shakespeare's authorial self-presentation begins as a poet and, more specifically, as a print-published poet" with the publication of Venus and Adonis in 1593 and the allusion to the publication of Rape of Lucrece in the next year. Yet also considers the imp...
From binary black hole simulation to triple black hole simulation
International Nuclear Information System (INIS)
Bai Shan; Cao Zhoujian; Han, Wen-Biao; Lin, Chun-Yu; Yo, Hwei-Jang; Yu, Jui-Ping
2011-01-01
Black hole systems are among the most promising sources for a gravitational wave detection project. Now, China is planning to construct a space-based laser interferometric detector as a follow-on mission of LISA in the near future. Aiming to provide some theoretical support to this detection project on the numerical relativity side, we focus on black hole systems simulation in this work. Considering the globular galaxy, multiple black hole systems also likely to exist in our universe and play a role as a source for the gravitational wave detector we are considering. We will give a progress report in this paper on our black hole system simulation. More specifically, we will present triple black hole simulation together with binary black hole simulation. On triple black hole simulations, one novel perturbational method is proposed.
Wade, Jessica; Hollis, Joseph Razzell; Wood, Sebastian
2018-04-01
The combination of printing technology with manufacturing electronic devices enables a new paradigm of printable electronics, where 'smart' functionality can be readily incorporated into almost any product at low cost. Over recent decades, rapid progress has been made in this field, which is now emerging into the industrial andcommercial realm. However, successful development and commercialisation on a large scale presents some significant technical challenges. For fully-printable electronic systems, all the component parts must be deposited from solutions (inks), requiring the development of new inorganic, organic and hybrid materials.A variety of traditional printing techniques are being explored and adapted forprinting these new materials in ways that result in the best performing electronicdevices. Whilst printed electronics research has initially focused on traditional typesof electronic device such as light-emitting diodes, transistors, and photovoltaics, it is increasingly apparent that a much wider range of applications can be realised. The soft and stretchable nature of printable materials makes them perfect candidates forbioelectronics, resulting in a wealth of research looking at biocompatible printable inks and biosensors. Regardless of application, the properties of printed electronicmaterials depend on the chemical structures, processing conditions, device architecture,and operational conditions, the complex inter-relationships of which aredriving ongoing research. We focus on three particular 'hot topics', where attention is currently focused: novel materials, characterisation techniques, and device stability. With progress advancing very rapidly, printed electronics is expected to grow over the next decade into a key technology with an enormous economic and social impact.
DEFF Research Database (Denmark)
Christensen, Ulrik Correll
2009-01-01
conducted a randomized clinical trial including 78 pseudophakic patients with idiopathic macular hole stages 2 and 3. Patients were randomly assigned to macular hole surgery consisting of (i) vitrectomy alone without instrumental retinal surface contact (non-peeling), (ii) vitrectomy plus 0.05% isotonic ICG...... rate than surgery without ILM peeling (95% versus 45%). The overall functional results confirm that surgery for macular hole generally leads to favourable visual results, with two-thirds of eyes regaining reading vision (>or=20/40). Macular hole surgery can be considered a safe procedure with a low...... incidence of sight-threatening adverse events; the retinal detachment rate was 2.2%. Visual outcomes in eyes with primary hole closure were not significantly different between the intervention groups; however, for the stage 2 subgroup with primary macular hole closure, there was a trend towards a better...
Phase transition for black holes with scalar hair and topological black holes
International Nuclear Information System (INIS)
Myung, Yun Soo
2008-01-01
We study phase transitions between black holes with scalar hair and topological black holes in asymptotically anti-de Sitter spacetimes. As the ground state solutions, we introduce the non-rotating BTZ black hole in three dimensions and topological black hole with hyperbolic horizon in four dimensions. For the temperature matching only, we show that the phase transition between black hole with scalar hair (Martinez-Troncoso-Zanelli black hole) and topological black hole is second-order by using differences between two free energies. However, we do not identify what order of the phase transition between scalar and non-rotating BTZ black holes occurs in three dimensions, although there exists a possible decay of scalar black hole to non-rotating BTZ black hole
Dynamical thermalization in isolated quantum dots and black holes
Kolovsky, Andrey R.; Shepelyansky, Dima L.
2017-01-01
We study numerically a model of quantum dot with interacting fermions. At strong interactions with small conductance the model is reduced to the Sachdev-Ye-Kitaev black-hole model while at weak interactions and large conductance it describes a Landau-Fermi liquid in a regime of quantum chaos. We show that above the Åberg threshold for interactions there is an onset of dynamical themalization with the Fermi-Dirac distribution describing the eigenstates of an isolated dot. At strong interactions in the isolated black-hole regime there is also the onset of dynamical thermalization with the entropy described by the quantum Gibbs distribution. This dynamical thermalization takes place in an isolated system without any contact with a thermostat. We discuss the possible realization of these regimes with quantum dots of 2D electrons and cold ions in optical lattices.
Townsend, P. K.
1997-01-01
This paper is concerned with several not-quantum aspects of black holes, with emphasis on theoretical and mathematical issues related to numerical modeling of black hole space-times. Part of the material has a review character, but some new results or proposals are also presented. We review the experimental evidence for existence of black holes. We propose a definition of black hole region for any theory governed by a symmetric hyperbolic system of equations. Our definition reproduces the usu...
Energy Technology Data Exchange (ETDEWEB)
Cherrington, M.; Claypole, T.C.; Gethin, D.T. [Welsh Centre for Printing and Coating, College of Engineering, Swansea University, Singleton Park, Swansea, SA2 8PP (United Kingdom); Worsley, D.A. [SPECIFIC, College of Engineering, Swansea University, Baglan Bay Innovation Centre, Central Avenue, Baglan Energy Park, Port Talbot, SA12 7AX (United Kingdom); Deganello, D., E-mail: d.deganello@swansea.ac.uk [Welsh Centre for Printing and Coating, College of Engineering, Swansea University, Singleton Park, Swansea, SA2 8PP (United Kingdom)
2012-11-01
The color change during the ultrafast near-infrared sintering process of a nanoparticle silver ink has been correlated to its electrical performance through colorimetry using the CIELAB industry standard. Nanoparticle silver ink films, deposited over a flexible polyethylene terephthalate substrate, presented significant shifting in the a* and b* color coordinates during sintering, exhibiting the best conductivity with an a* coordinate of approximately 0 and a b* coordinate of approximately + 10. This color change has been associated with the Lorenz-Mie theory of electromagnetic scattering. This indirect measurement technique is potentially a breakthrough technology for fast in-line non-contact characterization of the drying and sintering process of nanoparticle conductive inks for use in large area roll-to-roll processing of printed electronics. - Highlights: Black-Right-Pointing-Pointer Color change of a nanoparticle silver coating was measured during sintering Black-Right-Pointing-Pointer Color change was correlated to the electrical performance of the coating. Black-Right-Pointing-Pointer Potential in-line non-contact measurement method for roll-to-roll printed electronics.
Cost-estimating for commercial digital printing
Keif, Malcolm G.
2007-01-01
The purpose of this study is to document current cost-estimating practices used in commercial digital printing. A research study was conducted to determine the use of cost-estimating in commercial digital printing companies. This study answers the questions: 1) What methods are currently being used to estimate digital printing? 2) What is the relationship between estimating and pricing digital printing? 3) To what extent, if at all, do digital printers use full-absorption, all-inclusive hourly rates for estimating? Three different digital printing models were identified: 1) Traditional print providers, who supplement their offset presswork with digital printing for short-run color and versioned commercial print; 2) "Low-touch" print providers, who leverage the power of the Internet to streamline business transactions with digital storefronts; 3) Marketing solutions providers, who see printing less as a discrete manufacturing process and more as a component of a complete marketing campaign. Each model approaches estimating differently. Understanding and predicting costs can be extremely beneficial. Establishing a reliable system to estimate those costs can be somewhat challenging though. Unquestionably, cost-estimating digital printing will increase in relevance in the years ahead, as margins tighten and cost knowledge becomes increasingly more critical.
Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.
Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe
2016-12-28
The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.
A STUDY OF RELATIVE CORRELATION BETWEEN THE PATTERN OF FINGER PRINTS AND LIP PRINTS
Murugan; Karikalan
2014-01-01
BACKGROUND AND OBJECTIVE: The use of conventional methods such as dactylography (study of finger prints) & cheiloscopy (study of lip prints) is of paramount importance, since personal identification by other means such as DNA analysis is sophisticated and not available in rural and developing countries. Fingerprint in its narrow sense is an impression left by the friction ridges of human fingers. The second prints of interest are lip prints. Studies of association between ...
Reitberger, Thomas; Hoffmann, Gerd-Albert; Wolfer, Tim; Overmeyer, Ludger; Franke, Joerg
2016-09-01
The optical data transfer is considered as the future of signal transfer due to its various advantages compared to conventional copper-based technologies. The Aerosol Jet Printing (AJP) technology offers the opportunity to print materials with high viscosities, such as liquid transparent polymer adhesives (epoxy resins), on almost any possible substrate material and even in third dimension. This paper introduces a new flexible and comparatively cost-effective way of generating polymer optical waveguides through AJP. Furthermore, the conditioning of the substrate material and the printing process of planar waveguides are presented. In the first step, two lines with hydrophobic behavior are applied on foil material (PMMA, PVC, PI) by using a flexographic printing machine. These silicone based patterns containing functional polymer form barriers for the core material due to their low surface energy after curing. In the second step, the core material (liquid polymer, varnish) is printed between the barrier lines. Because of the hydrophobic behavior of the lines, the contact angle between the substrate surface and the liquid core material is increased which yields to higher aspect ratio. The distance between the barrier lines is at least 100 μm, which defines the width of the waveguide. The minimum height of the core shall be 50 μm. After UV-curing of the core polymer, the cladding material is printed on the top. This is also applied by using the AJP technology. Various tests were performed to achieve the optimal surface properties for adequate adhesion and machine process parameters.
A simple and low-cost fully 3D-printed non-planar emulsion generator
Zhang, Jiaming
2015-12-23
Droplet-based microfluidic devices provide a powerful platform for material, chemical and biological applications based on droplet templates. The technique traditionally utilized to fabricate microfluidic emulsion generators, i.e. soft-lithography, is complex and expensive for producing three-dimensional (3D) structures. The emergent 3D printing technology provides an attractive alternative due to its simplicity and low-cost. Recently a handful of studies have already demonstrated droplet production through 3D-printed microfluidic devices. However, these devices invariably use purely two-dimensional (2D) flow structures. Herein we apply 3D printing technology to fabricate simple and low-cost 3D miniaturized fluidic devices for droplet generation (single emulsion) and droplet-in-droplet (double emulsion) without need for surface treatment of the channel walls. This is accomplished by varying the channel diameters at the junction, so the inner liquid does not touch the outer walls. This 3D-printed emulsion generator has been successfully tested over a range of conditions. We also formulate and demonstrate, for the first time, uniform scaling laws for the emulsion drop sizes generated in different regimes, by incorporating the dynamic contact angle effects during the drop formation. Magnetically responsive microspheres are also produced with our emulsion templates, demonstrating the potential applications of this 3D emulsion generator in chemical and material engineering.
International Nuclear Information System (INIS)
Kannan, K.; Muthuraman, G.; Cho, G.; Moon, I. S.
2014-01-01
This investigation aimed to introduce printing technology for the first time to prepare a nanostrucutured PbO 2 electrode and its application to a cerium redox transfer process. The new method of nano-size PbO 2 preparation demonstrated that nano-PbO 2 could be obtained in less time and at less cost at room temperature. The prepared nano-PbO 2 screen printed on a Ti electrode by three different compositions under similar conditions showed through surface and electrochemical analyses no adherence on Ti and no contact with other nano-PbO 2 particles. Gravure printing of nano-PbO 2 on a PET (poly ethylene thin) film at high pressure was done with two different compositions for the first time. The selective composition of 57.14 % nano-PbO 2 powder with 4.28 % carbon black and 38.58 % ECA (ethyl carbitol acetate) produced a film with a nanoporous structure with an electron transfer ability. Finally, the optimized gravure-printed nano-PbO 2 electrode was applied to the oxidation of Ce(III) to Ce(IV) by using cyclic voltammetry. The gravure-printed nano-PbO 2 should pave the way to promising applications in electrochemical and sensor fields.
International Nuclear Information System (INIS)
Otto, R; Sucik, J
2008-01-01
For many years CERN had a very sophisticated print server infrastructure [13] which supported several different protocols (AppleTalk, IPX and TCP/IP) and many different printing standards. Today's situation differs a lot: we have a much more homogenous network infrastructure, where TCP/IP is used everywhere and we have less printer models, which almost all work using current standards (i.e. they all provide PostScript drivers). This change gave us the possibility to review the printing architecture aiming at simplifying the infrastructure in order to achieve full automation of the service. The new infrastructure offers both: LPD service exposing print queues to Linux and Mac OS X computers and native printing for Windows based clients. The printer driver distribution is automatic and native on Windows and automated by custom mechanisms on Linux, where the appropriate Foomatic drivers are configured. Also the process of printer registration and queue creation is completely automated following the printer registration in the network database. At the end of 2006 we have moved all (∼1200) CERN printers and all users' connections at CERN to the new service. This paper will describe the new architecture and summarize the process of migration
International Nuclear Information System (INIS)
Park, Ji-Sub; Choi, Jun-Chan; Park, Min-Kyu; Bae, Jeong Min; Bae, Jin-Hyuk; Kim, Hak-Rin
2016-01-01
We propose a method for transfer-printed electrode patterns onto flexible/plastic substrates, specifically intended for metal ink that requires a high sintering temperature. Typically, metal-ink-based electrodes cannot be picked up for microtransfer printing because the adhesion between the electrodes and the donor substrate greatly increases after the sintering process due to the binding materials. We introduced a polymeric donor layer between the printed electrodes and the donor substrate and effectively reduced the adhesion between the Ag pattern and the polymeric donor layer by controlling the interfacial contact area. After completing a wet-etching process for the polymeric donor layer, we obtained Ag patterns supported on the fine polymeric anchor structures; the Ag patterns could be picked up onto the stamp surface even after the sintering process by utilizing the viscoelastic properties of the elastomeric stamp with a pick-up velocity control. The proposed method enables highly conductive metal-ink-based electrode patterns to be applied on thermally weak plastic substrates via an all-solution process. Metal electrodes transferred onto a film showed superior electrical and mechanical stability under the bending stress test required for use in printed flexible electronics. (paper)
Low-mass black holes as the remnants of primordial black hole formation.
Greene, Jenny E
2012-01-01
Bridging the gap between the approximately ten solar mass 'stellar mass' black holes and the 'supermassive' black holes of millions to billions of solar masses are the elusive 'intermediate-mass' black holes. Their discovery is key to understanding whether supermassive black holes can grow from stellar-mass black holes or whether a more exotic process accelerated their growth soon after the Big Bang. Currently, tentative evidence suggests that the progenitors of supermassive black holes were formed as ∼10(4)-10(5) M(⊙) black holes via the direct collapse of gas. Ongoing searches for intermediate-mass black holes at galaxy centres will help shed light on this formation mechanism.
Energy Technology Data Exchange (ETDEWEB)
Corey, J.D.
1977-05-01
In the printing process for technical reports presently used at Bendix Kansas City Division, photographs are reproduced by pasting up PMT halftone prints on the artwork originals. These originals are used to make positive-working plastic plates for offset lithography. Instructions for making good-quality halftone prints using Eastman Kodak's PMT materials and processes are given in this report. 14 figures.
de Jamblinne de Meux, A.; Pourtois, G.; Genoe, J.; Heremans, P.
2018-04-01
The effects of hole injection in amorphous indium-gallium-zinc-oxide (a-IGZO) are analyzed by means of first-principles calculations. The injection of holes in the valence band tail states leads to their capture as a polaron, with high self-trapping energies (from 0.44 to 1.15 eV). Once formed, they mediate the formation of peroxides and remain localized close to the hole injection source due to the presence of a large diffusion energy barrier (of at least 0.6 eV). Their diffusion mechanism can be mediated by the presence of hydrogen. The capture of these holes is correlated with the low off-current observed for a-IGZO transistors, as well as with the difficulty to obtain a p-type conductivity. The results further support the formation of peroxides as being the root cause of Negative Bias Illumination Stress (NBIS). The strong self-trapping substantially reduces the injection of holes from the contact and limits the creation of peroxides from a direct hole injection. In the presence of light, the concentration of holes substantially rises and mediates the creation of peroxides, responsible for NBIS.
Directory of Open Access Journals (Sweden)
Evgeniy G Gordeev
Full Text Available Additive manufacturing with fused deposition modeling (FDM is currently optimized for a wide range of research and commercial applications. The major disadvantage of FDM-created products is their low quality and structural defects (porosity, which impose an obstacle to utilizing them in functional prototyping and direct digital manufacturing of objects intended to contact with gases and liquids. This article describes a simple and efficient approach for assessing the quality of 3D printed objects. Using this approach it was shown that the wall permeability of a printed object depends on its geometric shape and is gradually reduced in a following series: cylinder > cube > pyramid > sphere > cone. Filament feed rate, wall geometry and G-code-defined wall structure were found as primary parameters that influence the quality of 3D-printed products. Optimization of these parameters led to an overall increase in quality and improvement of sealing properties. It was demonstrated that high quality of 3D printed objects can be achieved using routinely available printers and standard filaments.
Hole dephasing caused by hole-hole interaction in a multilayered black phosphorus.
Li, Lijun; Khan, Muhammad Atif; Lee, Yoontae; Lee, Inyeal; Yun, Sun Jin; Youn, Doo-Hyeb; Kim, Gil-Ho
2017-11-01
We study the magnetotransport of holes in a multilayered black phosphorus in a temperature range of 1.9 to 21.5 K. We observed a negative magnetoresistance at magnetic fields up to 1.5 T. This negative magetoresistance was analyzed by weak localization theory in diffusive regime. At the lowest temperature and the highest carrier density we found a phase coherence length of 48 nm. The linear temperature dependence of the dephasing rate shows that the hole-hole scattering processes with small energy transfer are the dominant contribution in breaking the carrier phase coherence.
The NIH 3D Print Exchange: A Public Resource for Bioscientific and Biomedical 3D Prints.
Coakley, Meghan F; Hurt, Darrell E; Weber, Nick; Mtingwa, Makazi; Fincher, Erin C; Alekseyev, Vsevelod; Chen, David T; Yun, Alvin; Gizaw, Metasebia; Swan, Jeremy; Yoo, Terry S; Huyen, Yentram
2014-09-01
The National Institutes of Health (NIH) has launched the NIH 3D Print Exchange, an online portal for discovering and creating bioscientifically relevant 3D models suitable for 3D printing, to provide both researchers and educators with a trusted source to discover accurate and informative models. There are a number of online resources for 3D prints, but there is a paucity of scientific models, and the expertise required to generate and validate such models remains a barrier. The NIH 3D Print Exchange fills this gap by providing novel, web-based tools that empower users with the ability to create ready-to-print 3D files from molecular structure data, microscopy image stacks, and computed tomography scan data. The NIH 3D Print Exchange facilitates open data sharing in a community-driven environment, and also includes various interactive features, as well as information and tutorials on 3D modeling software. As the first government-sponsored website dedicated to 3D printing, the NIH 3D Print Exchange is an important step forward to bringing 3D printing to the mainstream for scientific research and education.
Maio, Paula; Carvalho, Rodrigo; Amaro, Cristina; Santos, Raquel; Cardoso, Jorge
2012-01-01
Methylmethacrylate was first reported in 1941 as a cause of contact dermatitis. Since then, occupational contact allergies to acrylates in dentistry, orthopedic surgery, printing industry and industry have been reported, but few reports are found in the literature as a consequence of the contact with sculptured artificial acrylic nails which are increasingly popular. We describe here 3 patients with contact allergy to acrylates in artificial sculptured nails. Patch tests were performed with the Portuguese baseline series of contact allergens and an extended series of acrylates were applied. In particular, we tested three female patients with allergic contact dermatitis from sculptured acrylic nails. Two of these patients were both customers and also technical nail beauticians. Two patients developed periungual eczema; one presented only with face and eyelid dermatitis had no other lesions. The tests showed positive reaction to 2-hydroxyethylmethacrylate (2-HEMA) and 2-hydroxypropylmethacrylate (2-HPMA) in all the three patients. Our cases demonstrate the variety of clinical presentations of allergic contact dermatitis from acrylic sculptured nails. They show the need to warn patients of persistent and sometimes permanent side effects of these products. They also emphasize the importance of cosmetic ingredient labeling. PMID:25386316
Vijayan, Ramachandran Ammapet; Essig, Stephanie; De Wolf, Stefaan; Ramanathan, Bairava Ganesh; Loper, Philipp; Ballif, Christophe; Varadharajaperumal, Muthubalan
2018-01-01
Silicon heterojunction solar cells enable high conversion efficiencies, thanks to their passivating contacts which consist of layered stacks of intrinsic and doped amorphous silicon. However, such contacts may reduce the photo current, when present
One-Step Solvent Evaporation-Assisted 3D Printing of Piezoelectric PVDF Nanocomposite Structures.
Bodkhe, Sampada; Turcot, Gabrielle; Gosselin, Frederick P; Therriault, Daniel
2017-06-21
Development of a 3D printable material system possessing inherent piezoelectric properties to fabricate integrable sensors in a single-step printing process without poling is of importance to the creation of a wide variety of smart structures. Here, we study the effect of addition of barium titanate nanoparticles in nucleating piezoelectric β-polymorph in 3D printable polyvinylidene fluoride (PVDF) and fabrication of the layer-by-layer and self-supporting piezoelectric structures on a micro- to millimeter scale by solvent evaporation-assisted 3D printing at room temperature. The nanocomposite formulation obtained after a comprehensive investigation of composition and processing techniques possesses a piezoelectric coefficient, d 31 , of 18 pC N -1 , which is comparable to that of typical poled and stretched commercial PVDF film sensors. A 3D contact sensor that generates up to 4 V upon gentle finger taps demonstrates the efficacy of the fabrication technique. Our one-step 3D printing of piezoelectric nanocomposites can form ready-to-use, complex-shaped, flexible, and lightweight piezoelectric devices. When combined with other 3D printable materials, they could serve as stand-alone or embedded sensors in aerospace, biomedicine, and robotic applications.
Bifurcation from stable holes to replicating holes in vibrated dense suspensions.
Ebata, H; Sano, M
2013-11-01
In vertically vibrated starch suspensions, we observe bifurcations from stable holes to replicating holes. Above a certain acceleration, finite-amplitude deformations of the vibrated surface continue to grow until void penetrates fluid layers, and a hole forms. We studied experimentally and theoretically the parameter dependence of the holes and their stabilities. In suspensions of small dispersed particles, the circular shapes of the holes are stable. However, we find that larger particles or lower surface tension of water destabilize the circular shapes; this indicates the importance of capillary forces acting on the dispersed particles. Around the critical acceleration for bifurcation, holes show intermittent large deformations as a precursor to hole replication. We applied a phenomenological model for deformable domains, which is used in reaction-diffusion systems. The model can explain the basic dynamics of the holes, such as intermittent behavior, probability distribution functions of deformation, and time intervals of replication. Results from the phenomenological model match the linear growth rate below criticality that was estimated from experimental data.
Directory of Open Access Journals (Sweden)
Muhammad Zulkifl Hasan
2017-08-01
Full Text Available Solid free fabrication SFF are produced to enhance the printing instrument utilizing distinctive strategies like Piezo spout control multi-spout injet printers or STL arrange utilizing cutting information. The procedure is utilized to diminish the cost and enhance the speed of printing. A few techniques take long at last because of extra process like dry the printing. This study will concentrate on SFFS utilizing UV gum for 3D printing.
Analysis and 3D inspection system of drill holes in aeronautical surfaces
Rubio, R.; Granero, L.; Sanz, M.; García, J.; Micó, V.
2017-06-01
In aerospace industry, the structure of the aircraft is assembled using small parts or a combination of them that are made with different materials, such as for instance aluminium, titanium, composites or even 3D printed parts. The union between these small parts is a critical point for the integrity of the aircraft. The quality of this union will decide the fatigue of adjacent components and therefore the useful life of them. For the union process the most extended method is the rivets, mainly because their low cost and easy manufacturing. For this purpose it is necessary to made drill holes in the aeronautical surface to insert the rivets. In this contribution, we present the preliminary results of a 3D inspection system [1] for drill holes analysis in aeronautical surfaces. The system, based in optical triangulation, was developed by the Group of Optoelectronic Image Processing from the University of Valencia in the framework of the Airbus Defence and Space (AD&S), MINERVA project (Manufacturing industrial - means emerging from validated automation). The capabilities of the system permits to generate a point cloud with 3D information and GD&T (geometrical dimensions and tolerances) characteristics of the drill hole. For the inner surface defects detection, the system can generate an inner image of the drill hole with a scaled axis to obtain the defect position. In addition, we present the analysis performed for the drills in the wing station of the A-400 M. In this analysis the system was tested for diameters in the range of [10 - 15.96] mm, and for Carbon Fibre.
Energy Technology Data Exchange (ETDEWEB)
Otto, R; Sucik, J [CERN, Geneva (Switzerland)], E-mail: Rafal.Otto@cern.ch, E-mail: Juraj.Sucik@cern.ch
2008-07-15
For many years CERN had a very sophisticated print server infrastructure [13] which supported several different protocols (AppleTalk, IPX and TCP/IP) and many different printing standards. Today's situation differs a lot: we have a much more homogenous network infrastructure, where TCP/IP is used everywhere and we have less printer models, which almost all work using current standards (i.e. they all provide PostScript drivers). This change gave us the possibility to review the printing architecture aiming at simplifying the infrastructure in order to achieve full automation of the service. The new infrastructure offers both: LPD service exposing print queues to Linux and Mac OS X computers and native printing for Windows based clients. The printer driver distribution is automatic and native on Windows and automated by custom mechanisms on Linux, where the appropriate Foomatic drivers are configured. Also the process of printer registration and queue creation is completely automated following the printer registration in the network database. At the end of 2006 we have moved all ({approx}1200) CERN printers and all users' connections at CERN to the new service. This paper will describe the new architecture and summarize the process of migration.
Janssen, Eva Maria; Schliephacke, Ralf; Breitenbach, Armin; Breitkreutz, Jörg
2013-01-30
Orodispersible films (ODFs) are intended to disintegrate within seconds when placed onto the tongue. The common way of manufacturing is the solvent casting method. Flexographic printing on drug-free ODFs is introduced as a highly flexible and cost-effective alternative manufacturing method in this study. Rasagiline mesylate and tadalafil were used as model drugs. Printing of rasagiline solutions and tadalafil suspensions was feasible. Up to four printing cycles were performed. The possibility to employ several printing cycles enables a continuous, highly flexible manufacturing process, for example for individualised medicine. The obtained ODFs were characterised regarding their mechanical properties, their disintegration time, API crystallinity and homogeneity. Rasagiline mesylate did not recrystallise after the printing process. Relevant film properties were not affected by printing. Results were comparable to the results of ODFs manufactured with the common solvent casting technique, but the APIs are less stressed through mixing, solvent evaporation and heat. Further, loss of material due to cutting jumbo and daughter rolls can be reduced. Therefore, a versatile new manufacturing technology particularly for processing high-potent low-dose or heat sensitive drugs is introduced in this study. Copyright © 2012 Elsevier B.V. All rights reserved.
Giant electron-hole transport asymmetry in ultra-short quantum transistors
McRae, A. C.; Tayari, V.; Porter, J. M.; Champagne, A. R.
2017-01-01
Making use of bipolar transport in single-wall carbon nanotube quantum transistors would permit a single device to operate as both a quantum dot and a ballistic conductor or as two quantum dots with different charging energies. Here we report ultra-clean 10 to 100 nm scale suspended nanotube transistors with a large electron-hole transport asymmetry. The devices consist of naked nanotube channels contacted with sections of tube under annealed gold. The annealed gold acts as an n-doping top gate, allowing coherent quantum transport, and can create nanometre-sharp barriers. These tunnel barriers define a single quantum dot whose charging energies to add an electron or a hole are vastly different (e−h charging energy asymmetry). We parameterize the e−h transport asymmetry by the ratio of the hole and electron charging energies ηe−h. This asymmetry is maximized for short channels and small band gap tubes. In a small band gap device, we demonstrate the fabrication of a dual functionality quantum device acting as a quantum dot for holes and a much longer quantum bus for electrons. In a 14 nm-long channel, ηe−h reaches up to 2.6 for a device with a band gap of 270 meV. The charging energies in this device exceed 100 meV. PMID:28561024
The best printing methods to print satellite images
G.A. Yousif; R.Sh. Mohamed
2011-01-01
Printing systems operate in general as a system of color its color scale is limited as compared with the system color satellite images. Satellite image is building from very small cell named pixel, which represents the picture element and the unity of color when the image is displayed on the screen, this unit becomes lesser in size and called screen point. This unit posseses different size and shape from the method of printing to another, depending on the output resolution, tools and material...
MolPrint3D: Enhanced 3D Printing of Ball-and-Stick Molecular Models
Paukstelis, Paul J.
2018-01-01
The increased availability of noncommercial 3D printers has provided instructors and students improved access to printing technology. However, printing complex ball-and-stick molecular structures faces distinct challenges, including the need for support structures that increase with molecular complexity. MolPrint3D is a software add-on for the…
Influence of printing speed on production of embossing tools using FDM 3D printing technology
Directory of Open Access Journals (Sweden)
Jelena Žarko
2017-06-01
Full Text Available Manufacturing of the embossing tools customary implies use of metals such as zinc, magnesium, copper, and brass. In the case of short run lengths, a conventional manufacturing process and the material itself represent a significant cost, not only in the terms of material costs and the need for using complex technological systems which are necessary for their production, but also in the terms of the production time. Alternatively, 3D printing can be used for manufacturing similar embossing tools with major savings in production time and costs. However, due to properties of materials used in the 3D printing technology, expected results of embossing by 3D printed tools cannot be identical to metal ones. This problem is emphasized in the case of long run lengths and high accuracy requirement for embossed elements. The objective of this paper is primarily focused on investigating the influence of the printing speed on reproduction quality of the embossing tools printed with FDM (Fused Deposition Modelling technology. The obtained results confirmed that printing speed as a process parameter affects the reproduction quality of the embossing tools printed with FDM technology: in the case of deposition rate of 90 mm/s was noted the poorest dimensional accuracy in relation to the 3D model, which is more emphasised in case of circular and square elements. Elements printed with the highest printing speed have a greater dimensional accuracy, but with evident cracks on the surface.
Nozzle Printed-PEDOT:PSS for Organic Light Emitting Diodes with Various Dilution Rates of Ethanol
Directory of Open Access Journals (Sweden)
Dai Geon Yoon
2018-01-01
Full Text Available In this study, we investigated the ink formulation of poly(3,4-ethylenedioxythiophene polystyrene sulfonate (PEDOT:PSS as the hole injection layer (HIL in an organic light emitting diode (OLED structure. Generally, in a PEDOT:PSS solution, water is incorporated in the solution for the solution process. However, the fabrication of thin film which contained the water, main solvent, could not easily form by using printing technology except spin-coating process because of the high surface tension of water. On the other hand, mixing PEDOT:PSS solution and ethanol (EtOH, a dilution solvent, could restrain the non-uniform layer that forms by the high surface tension and low volatility of water. Therefore, we printed a PEDOT:PSS solution with various concentrations of EtOH by using a nozzle printer and obtained a uniform pattern. The line width of PEDOT:PSS diluted with 90% (volume ratio ehtanol was measured as about 4 mm with good uniformity with a 0.1 mm nozzle. Also, imaging software and a scanning electron microscope (SEM were used to measure the uniformity of PEDOT:PSS coated on a substrate. Finally, we fabricated a green phosphorescent OLED device with printed-PEDOT:PSS with specific concentrations of EtOH and we achieved a current efficiency of 27 cd/A with uniform quality of luminance in the case of device containing 90% EtOH.
3D Printed Scintillators For Use in Field Emission Detection and Other Nuclear Physics Experiments
Ficenec, Karen
2015-10-01
In accelerator cavities, field emission electrons - electrons that get stripped away from the cavity walls due to the high electromagnetic field necessary to accelerate the main beam - are partially accelerated and can crash into the cavity walls, adding to the heat-load of the cryogenic system. Because these field electrons emit gamma rays when bent by the electromagnetic field, a scintillator, if made to fit the cavity enclosure, can detect their presence. Eliminating the waste of subtractive manufacturing techniques and allowing for the production of unique, varied shapes, 3D printing of scintillators may allow for an efficient detection system. UV light is used to start a chemical polymerization process that links the monomers of the liquid resin together into larger, intertwined molecules, forming the solid structure. Each shape requires slightly different calibration of its optimal printing parameters, such as slice thickness and exposure time to UV light. Thus far, calibration parameters have been optimized for cylinders of 20 mm diameter, cones of 30 mm diameter and 30 mm height, rectangular prisms 30 by 40 by 10 mm, and square pyramids 20 mm across. Calibration continues on creating holes in the prints (for optical fibers), as well as shapes with overhangs. Scintill This work was supported in part by the National Science Foundation under Grant No. PHY-1405857.
Introduction to printed electronics
Suganuma, Katsuaki
2014-01-01
This book describes in detail modern technologies for printed electronics, explaining how nanotechnology and modern printing technology are merging to revolutionize electronics fabrication of thin, lightweight, large, and inexpensive products. Readers will benefit from the explanations of materials, devices and circuits used to design and implement the latest applications of printed electronics, such as thin flexible OLED displays, organic solar cells, OLED lighting, smart wallpaper, sensors, logic, memory and more.
Energy Technology Data Exchange (ETDEWEB)
Kannan, K.; Muthuraman, G.; Cho, G.; Moon, I. S. [Sunchon National University, Suncheon (Korea, Republic of)
2014-08-15
This investigation aimed to introduce printing technology for the first time to prepare a nanostrucutured PbO{sub 2} electrode and its application to a cerium redox transfer process. The new method of nano-size PbO{sub 2} preparation demonstrated that nano-PbO{sub 2} could be obtained in less time and at less cost at room temperature. The prepared nano-PbO{sub 2} screen printed on a Ti electrode by three different compositions under similar conditions showed through surface and electrochemical analyses no adherence on Ti and no contact with other nano-PbO{sub 2} particles. Gravure printing of nano-PbO{sub 2} on a PET (poly ethylene thin) film at high pressure was done with two different compositions for the first time. The selective composition of 57.14 % nano-PbO{sub 2} powder with 4.28 % carbon black and 38.58 % ECA (ethyl carbitol acetate) produced a film with a nanoporous structure with an electron transfer ability. Finally, the optimized gravure-printed nano-PbO{sub 2} electrode was applied to the oxidation of Ce(III) to Ce(IV) by using cyclic voltammetry. The gravure-printed nano-PbO{sub 2} should pave the way to promising applications in electrochemical and sensor fields.
Fukuda, Kenjiro; Someya, Takao
2017-07-01
Printed electronics enable the fabrication of large-scale, low-cost electronic devices and systems, and thus offer significant possibilities in terms of developing new electronics/optics applications in various fields. Almost all electronic applications require information processing using logic circuits. Hence, realizing the high-speed operation of logic circuits is also important for printed devices. This report summarizes recent progress in the development of printed thin-film transistors (TFTs) and integrated circuits in terms of materials, printing technologies, and applications. The first part of this report gives an overview of the development of functional inks such as semiconductors, electrodes, and dielectrics. The second part discusses high-resolution printing technologies and strategies to enable high-resolution patterning. The main focus of this report is on obtaining printed electrodes with high-resolution patterning and the electrical performance of printed TFTs using such printed electrodes. In the final part, some applications of printed electronics are introduced to exemplify their potential. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Prints Charles ja prints Michael külastasid Tallinna kirikuid / Allan Tammiku
Tammiku, Allan
2001-01-01
Prints Charles külastas 6. novembril Eesti-visiidi ajal Tallinna toomkirikut ja Pühavaimu kirikut, prints Michael viibis Tallinnas 11. novembril eravisiidil, ta külastas toomkirikut, Niguliste ja Pühavaimu kirikut
Semiotic Analysis Of Mcdonald's Printed Advertisement
URAIDA, SITI
2014-01-01
Keywords: Semiotic, printed advertisement, sign, icon, symbol, index, connotation, myth Printed advertisement has a promotional function as medium to advertise aproduct. It implicitly persuades people to create demand of product which is being advertised. In this study, the writer uses printed advertisement of McDonald's fast food company as the object. The printed advertisement was analyzed by usingSemiotics study. There are seven printed advertisements that were analyzes in this study. All ...
Toward printed integrated circuits based on unipolar or ambipolar polymer semiconductors.
Baeg, Kang-Jun; Caironi, Mario; Noh, Yong-Young
2013-08-21
transport properties. Among this class of materials, various polymers can show well balanced electrons and holes mobility, therefore being indicated as ambipolar semiconductors, good environmental stability, and a small band-gap, which simplifies the tuning of charge injection. This opened up the possibility of taking advantage of the superior performances offered by complementary "CMOS-like" logic for the design of digital ICs, easing the scaling down of critical geometrical features, and achieving higher complexity from robust single gates (e.g., inverters) and test circuits (e.g., ring oscillators) to more complete circuits. Here, we review the recent progress in the development of printed ICs based on polymeric semiconductors suitable for large-volume micro- and nano-electronics applications. Particular attention is paid to the strategies proposed in the literature to design and synthesize high mobility polymers and to develop suitable printing tools and techniques to allow for improved patterning capability required for the down-scaling of devices in order to achieve the operation frequencies needed for applications, such as flexible radio-frequency identification (RFID) tags, near-field communication (NFC) devices, ambient electronics, and portable flexible displays. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
AirPrint Forensics: Recovering the Contents and Metadata of Printed Documents from iOS Devices
Directory of Open Access Journals (Sweden)
Luis Gómez-Miralles
2015-01-01
data they may store, opens new opportunities in the field of computer forensics. In 2010, version 4 of the iOS operating system introduced AirPrint, a simple and driverless wireless printing functionality supported by hundreds of printer models from all major vendors. This paper describes the traces left in the iOS device when AirPrint is used and presents a method for recovering content and metadata of documents that have been printed.
International Nuclear Information System (INIS)
Dobric, E; Mirkovic, I Bolanca; Bolanca, Z
2010-01-01
The aim of this paper is the result presentation of some optical properties research for ink jet prints after: exposing the prints to the mixed daylight and artificial light, exposing of prints to the sun-light through the glass window, and exposing of prints to outdoor conditions during the summer months. The prints obtained by piezoelectric and thermal ink jet technologies were used in the researches. The dye-based inks and the pigmented inks based on water and the low solvent inks were used. The results of these researches, except the scientific contribution in the domain of understanding and explaining the environmental conditions on the gamut size, i.e. the range of color tonality, colorimetric stability and print quality, can be used by the ink and paper manufacturers in new formulations, offer data for the printer producers for further production and evaluation of the position of their products.
Laser polishing of 3D printed mesoscale components
International Nuclear Information System (INIS)
Bhaduri, Debajyoti; Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung; Sten, Stella; Harrysson, Urban; Zhang, Zhenxue; Dong, Hanshan
2017-01-01
Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S_a) was achieved at the optimised settings (fluence of 9 J/cm"2 and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes, lumps
Laser polishing of 3D printed mesoscale components
Energy Technology Data Exchange (ETDEWEB)
Bhaduri, Debajyoti, E-mail: debajyoti.bhaduri@gmail.com [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Sten, Stella; Harrysson, Urban [Digital Metal, Höganäs AB, 263 83 Höganäs (Sweden); Zhang, Zhenxue; Dong, Hanshan [School of Metallurgy and Materials, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom)
2017-05-31
Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S{sub a}) was achieved at the optimised settings (fluence of 9 J/cm{sup 2} and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes
Luminous lip-prints as criminal evidence.
Castelló, Ana; Alvarez-Seguí, Mercedes; Verdú, Fernando
2005-12-20
Luminescence is specially a useful property for the search of invisible evidences at the scene of a crime. In the latent fingerprints particular case, there are at one's disposal fluorescent reagents for their localization. The study of latent lip prints (that is lip prints from protective lipstick, or permanent or long-lasting lipstick that do not leave any visible marks) is more recent than fingerprints study. Because of the different composition of both types of prints, different reagents have been tried out on their developing. Although, lysochromes are particularly useful reagents to obtain latent lip prints, it may occur on coloured or multicoloured surfaces, the developing is not perceived due to contrast problems between the reagent and the surface where the print is searched. Again, luminescence offers the possibility to solve this problem. Nile Red is being studied as a potential developer for latent lip prints. The results on very old prints (over 1year) indicate that this reagent is highly efficient to get latent lip prints.
Two-Way 4D Printing: A Review on the Reversibility of 3D-Printed Shape Memory Materials
Directory of Open Access Journals (Sweden)
Amelia Yilin Lee
2017-10-01
Full Text Available The rapid development of additive manufacturing and advances in shape memory materials have fueled the progress of four-dimensional (4D printing. With the right external stimulus, the need for human interaction, sensors, and batteries will be eliminated, and by using additive manufacturing, more complex devices and parts can be produced. With the current understanding of shape memory mechanisms and with improved design for additive manufacturing, reversibility in 4D printing has recently been proven to be feasible. Conventional one-way 4D printing requires human interaction in the programming (or shape-setting phase, but reversible 4D printing, or two-way 4D printing, will fully eliminate the need for human interference, as the programming stage is replaced with another stimulus. This allows reversible 4D printed parts to be fully dependent on external stimuli; parts can also be potentially reused after every recovery, or even used in continuous cycles—an aspect that carries industrial appeal. This paper presents a review on the mechanisms of shape memory materials that have led to 4D printing, current findings regarding 4D printing in alloys and polymers, and their respective limitations. The reversibility of shape memory materials and their feasibility to be fabricated using three-dimensional (3D printing are summarized and critically analyzed. For reversible 4D printing, the methods of 3D printing, mechanisms used for actuation, and strategies to achieve reversibility are also highlighted. Finally, prospective future research directions in reversible 4D printing are suggested.
DEFF Research Database (Denmark)
Krebs, Frederik C; Jørgensen, Mikkel; Norrman, Kion
2009-01-01
, complete processing in air using commonly available screen printing, and finally, simple mechanical encapsulation using a flexible packaging material and electrical contacting post-production using crimped contacts. We detail the production of more than 2000 modules in one production run and show......A complete polymer solar cell module prepared in the ambient atmosphere under industrial conditions is presented. The versatility of the polymer solar cell technology is demonstrated through the use of abstract forms for the active area, a flexible substrate, processing entirely from solution...
Print-to-print: printer-enabled out-of-cleanroom multiobject microprinting method.
Xing, Siyuan; Zhao, Siwei; Pan, Tingrui
2014-01-01
Micropatterning techniques have gained growing interests from a broad range of engineering and biology researches as it realizes the high-throughput and highly quantitative investigations on miniature biological objects (e.g., cells and bacteria) by spatially defined micropatterns. However, most of the existing techniques rely on expensive instruments or intensive cleanroom access which may not be easy to be utilized in a regular biological laboratory. Here, we present the detailed procedures of a simple versatile microprinting process, referred to as Print-to-Print (P2P), to form multiobject micropatterns for potential biological applications. Only a solid-phase printer and custom-made superhydrophobic (SH) films are utilized for the printing and no thermal or chemical treatment is involved during the entire printing process. Moreover, the noncontact nature of droplet transferring and printing steps can be highly advantageous for sensitive biological uses. By the P2P process, a minimal feature resolution of 229 ± 17 μm has been successfully achieved. What's more, this approach has been applied to form micropatterning on various commonly used substrates in biology as well as multiobject co-patterns. In addition, the SH substrates have also been demonstrated to be reusable. Copyright © 2014 Elsevier Inc. All rights reserved.
Horowitz, Gary T.; Teukolsky, Saul A.
1998-01-01
Black holes are among the most intriguing objects in modern physics. Their influence ranges from powering quasars and other active galactic nuclei, to providing key insights into quantum gravity. We review the observational evidence for black holes, and briefly discuss some of their properties. We also describe some recent developments involving cosmic censorship and the statistical origin of black hole entropy.
Print-based self-help interventions for smoking cessation.
Hartmann-Boyce, Jamie; Lancaster, Tim; Stead, Lindsay F
2014-06-03
Many smokers give up smoking on their own, but materials giving advice and information may help them and increase the number who quit successfully. The aims of this review were to determine: the effectiveness of different forms of print-based self-help materials, compared with no treatment and with other minimal contact strategies; the effectiveness of adjuncts to print-based self help, such as computer-generated feedback, telephone hotlines and pharmacotherapy; and the effectiveness of approaches tailored to the individual compared with non-tailored materials. We searched the Cochrane Tobacco Addiction Group trials register. Date of the most recent search April 2014. We included randomized trials of smoking cessation with follow-up of at least six months, where at least one arm tested a print-based self-help intervention. We defined self help as structured programming for smokers trying to quit without intensive contact with a therapist. We extracted data in duplicate on the participants, the nature of the self-help materials, the amount of face-to-face contact given to intervention and to control conditions, outcome measures, method of randomization, and completeness of follow-up.The main outcome measure was abstinence from smoking after at least six months follow-up in people smoking at baseline. We used the most rigorous definition of abstinence in each trial, and biochemically validated rates when available. Where appropriate, we performed meta-analysis using a fixed-effect model. We identified 74 trials which met the inclusion criteria. Many study reports did not include sufficient detail to judge risk of bias for some domains. Twenty-eight studies (38%) were judged at high risk of bias for one or more domains but the overall risk of bias across all included studies was judged to be moderate, and unlikely to alter the conclusions.Thirty-four trials evaluated the effect of standard, non-tailored self-help materials. Pooling 11 of these trials in which there
A Facile in Situ and UV Printing Process for Bioinspired Self-Cleaning Surfaces
Directory of Open Access Journals (Sweden)
Marina A. González Lazo
2016-08-01
Full Text Available A facile in situ and UV printing process was demonstrated to create self-cleaning synthetic replica of natural petals and leaves. The process relied on the spontaneous migration of a fluorinated acrylate surfactant (PFUA within a low-shrinkage acrylated hyperbranched polymer (HBP and its chemical immobilization at the polymer-air interface. Dilute concentrations of 1 wt. % PFUA saturated the polymer-air interface within 30 min, leading to a ten-fold increase of fluorine concentration at the surface compared with the initial bulk concentration and a water contact angle (WCA of 108°. A 200 ms flash of UV light was used to chemically crosslink the PFUA at the HBP surface prior to UV printing with a polydimethylsiloxane (PDMS negative template of red and yellow rose petals and lotus leaves. This flash immobilization hindered the reverse migration of PFUA within the bulk HBP upon contacting the PDMS template, and enabled to produce texturized surfaces with WCA well above 108°. The synthetic red rose petal was hydrophobic (WCA of 125° and exhibited the adhesive petal effect. It was not superhydrophobic due to insufficient concentration of fluorine at its surface, a result of the very large increase of the surface of the printed texture. The synthetic yellow rose petal was quasi-superhydrophobic (WCA of 143°, roll-off angle of 10° and its self-cleaning ability was not good also due to lack of fluorine. The synthetic lotus leaf did not accurately replicate the intricate nanotubular crystal structures of the plant. In spite of this, the fluorine concentration at the surface was high enough and the leaf was superhydrophobic (WCA of 151°, roll-off angle below 5° and also featured self-cleaning properties.
DEFF Research Database (Denmark)
Krebs, Frederik C; Alstrup, J.; Spanggaard, H.
2004-01-01
The possibility of making large area (100 cm(2)) polymer solar cells based on the conjugated polymer poly 1,4-(2-methoxy-5-ethylhexyloxy)phenylenevinylene (MEH-PPV) was demonstrated. Devices were prepared by etching an electrode pattern on ITO covered polyethyleneterephthalate (PET) substrates....... A pattern of conducting silver epoxy allowing for electrical contacts to the device was silk screen printed and hardened. Subsequently a pattern of MEH-PPV was silk screen printed in registry with the ITO electrode pattern on top of the substrate. Final evaporation of an aluminum electrode or sublimation......). The half-life based on I-sc in air for the devices were 63 h. The cells were laminated in a 125 mum PET encasement. Lamination had a negative effect on the lifetime. We demonstrate the feasibility of industrial production of large area solar cells (1 m(2)) by silk screen printing and envisage...
International Nuclear Information System (INIS)
Arsiwalla, Xerxes D.; Verlinde, Erik P.
2010-01-01
We study the problem of spatially stabilizing four dimensional extremal black holes in background electric/magnetic fields. Whilst looking for stationary stable solutions describing black holes placed in external fields we find that taking a continuum limit of Denef et al.'s multicenter supersymmetric black hole solutions provides a supergravity description of such backgrounds within which a black hole can be trapped within a confined volume. This construction is realized by solving for a levitating black hole over a magnetic dipole base. We comment on how such a construction is akin to a mechanical levitron.
3D-Printed Millimeter Wave Structures
2016-03-14
demonstrates the resolution of the printer with a 10 micron nozzle. Figure 2: Measured loss tangent of SEBS and SBS samples. 3D - Printed Millimeter... 3D printing of styrene-butadiene-styrene (SBS) and styrene ethylene/butylene-styrene (SEBS) is used to demonstrate the feasibility of 3D - printed ...Additionally, a dielectric lens is printed which improves the antenna gain of an open-ended WR-28 waveguide from 7 to 8.5 dBi. Keywords: 3D printing
Inkjet-Printed Lithium-Sulfur Microcathodes for All-Printed, Integrated Nanomanufacturing.
Milroy, Craig A; Jang, Seonpil; Fujimori, Toshihiko; Dodabalapur, Ananth; Manthiram, Arumugam
2017-03-01
Improved thin-film microbatteries are needed to provide appropriate energy-storage options to power the multitude of devices that will bring the proposed "Internet of Things" network to fruition (e.g., active radio-frequency identification tags and microcontrollers for wearable and implantable devices). Although impressive efforts have been made to improve the energy density of 3D microbatteries, they have all used low energy-density lithium-ion chemistries, which present a fundamental barrier to miniaturization. In addition, they require complicated microfabrication processes that hinder cost-competitiveness. Here, inkjet-printed lithium-sulfur (Li-S) cathodes for integrated nanomanufacturing are reported. Single-wall carbon nanotubes infused with electronically conductive straight-chain sulfur (S@SWNT) are adopted as an integrated current-collector/active-material composite, and inkjet printing as a top-down approach to achieve thin-film shape control over printed electrode dimensions is used. The novel Li-S cathodes may be directly printed on traditional microelectronic semicoductor substrates (e.g., SiO 2 ) or on flexible aluminum foil. Profilometry indicates that these microelectrodes are less than 10 µm thick, while cyclic voltammetry analyses show that the S@SWNT possesses pseudocapacitive characteristics and corroborates a previous study suggesting the S@SWNT discharge via a purely solid-state mechanism. The printed electrodes produce ≈800 mAh g -1 S initially and ≈700 mAh g -1 after 100 charge/discharge cycles at C/2 rate. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Energy Technology Data Exchange (ETDEWEB)
Lopez-DomInguez, J C [Instituto de Fisica de la Universidad de Guanajuato PO Box E-143, 37150 Leoen Gto. (Mexico); Obregon, O [Instituto de Fisica de la Universidad de Guanajuato PO Box E-143, 37150 Leoen Gto. (Mexico); RamIrez, C [Facultad de Ciencias FIsico Matematicas, Universidad Autonoma de Puebla, PO Box 1364, 72000 Puebla (Mexico); Sabido, M [Instituto de Fisica de la Universidad de Guanajuato PO Box E-143, 37150 Leoen Gto. (Mexico)
2007-11-15
We study noncommutative black holes, by using a diffeomorphism between the Schwarzschild black hole and the Kantowski-Sachs cosmological model, which is generalized to noncommutative minisuperspace. Through the use of the Feynman-Hibbs procedure we are able to study the thermodynamics of the black hole, in particular, we calculate Hawking's temperature and entropy for the 'noncommutative' Schwarzschild black hole.
Remote Collaborative 3D Printing - Process Investigation
2016-04-01
COLLABORATIVE 3D PRINTING - PROCESS INVESTIGATION Cody M. Reese, PE CAD MODEL PRINT MODEL PRINT PREVIEW PRINTED PART AERIAL VIRTUAL This...REMOTE COLLABORATIVE 3D PRINTING - PROCESS INVESTIGATION 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) 5d. PROJECT NUMBER Cody M. Reese...release; distribution is unlimited. 13. SUPPLEMENTARY NOTES 14. ABSTRACT The Remote Collaborative 3D Printing project is a collaboration between
Black hole critical phenomena without black holes
Indian Academy of Sciences (India)
large values of Ф, black holes do form and for small values the scalar field ... on the near side of the ridge ultimately evolve to form black holes while those configu- ... The inset shows a bird's eye view looking down on the saddle point.
International Nuclear Information System (INIS)
Cherepashchuk, Anatolii M
2003-01-01
Methods and results of searching for stellar mass black holes in binary systems and for supermassive black holes in galactic nuclei of different types are described. As of now (June 2002), a total of 100 black hole candidates are known. All the necessary conditions Einstein's General Relativity imposes on the observational properties of black holes are satisfied for candidate objects available, thus further assuring the existence of black holes in the Universe. Prospects for obtaining sufficient criteria for reliably distinguishing candidate black holes from real black holes are discussed. (reviews of topical problems)
A preliminary guidebook for identifying stratigraphic contacts at the Nevada Test Site
International Nuclear Information System (INIS)
Pawloski, G.A.; McKague, H.L.; Wagoner, J.L.; McKinnis, W.B.
1992-01-01
Lithologic variation, regional depositional trends, and the lack of written guidelines have resulted in inconsistencies in the recognition of stratigraphic contacts in drill holes at the Nevada Test Site (NTS). Stratigraphic identification, based on mineralogy of discrete samples, can be augmented by geophysical logs and downhole movies to more accurately and consistently locate contacts between units. Criteria are established for locating the base of the Pahute Mesa ash-flow tuff, the top of the Ammonia Tanks ash-flow tuff, the top of the Ammonia Tanks bedded tuff, and the top and the base of the Rainier Mesa Tuff
Brown, Terry P; Rushton, Lesley; Williams, Hywel C; English, John S C
2007-01-01
Occupational dermatitis is a problem in the printing industry but can be avoided through adequate protective measures. Research into intervention implementation is fundamental to the success of a formal intervention effectiveness trial. The preliminary testing of four risk reduction strategies for occupationally caused dermatitis, which represent a range of approaches and cost implications. The strategies, the provision of (i) skin checks plus treatment advice; provision of (ii) gloves of the correct type/size plus use of an after-work cream; provision of (iii) information highlighting the problem of occupational dermatitis and (iv) development of a best practice skin care policy, were evaluated over 3 months in two non-randomly selected companies. A post-intervention evaluation into the effectiveness and efficacy of the intervention was also carried out. All interventions were found to be acceptable to some extent. No single intervention appeared to be completely effective. The most practical intervention appeared to be the regular use of gloves of the correct type and size. This preliminary intervention study has demonstrated an improvement in the skin condition of workers examined and points towards the need for further testing of risk reduction strategies for the prevention of dermatitis in the printing industry on a much larger scale.
Lee, Jonghwan; Jeong, Chaehwan
2016-05-01
The gravure offset method has been developed toward an industrially viable printing technique for electronic circuitry. In this paper, a roller type gravure offset manufacturing process was developed to fabricate fine line for using front electrode for solar cells. In order to obtain the optimum metallization printing lines, thickness of 20 μm which is narrow line is required. The main targets are the reduction of metallized area to reduce the shading loss, and a high conductivity to transport the current as loss free as possible out of the cell. However, it is well known that there is a poor contact resistance between the front Ag electrode and the n(+) emitter. Nickel plating was conducted to prevent the increase of contact resistance and the increase of fill factor (FF). The performance of n-Si/Ag (seed layer)/Ni solar cells were observed in 609 mV of open circuit voltage, 35.54 mA/cm2 of short circuit current density, 75.75% of fill factor, and 16.04% of conversion efficiency.
1D ferromagnetic edge contacts to 2D graphene/h-BN heterostructures
Karpiak, Bogdan; Dankert, André; Cummings, Aron W.; Power, Stephen R.; Roche, Stephan; Dash, Saroj P.
2018-03-01
We report the fabrication of one-dimensional (1D) ferromagnetic edge contacts to two-dimensional (2D) graphene/h-BN heterostructures. While aiming to study spin injection/detection with 1D edge contacts, a spurious magnetoresistance signal was observed, which is found to originate from the local Hall effect in graphene due to fringe fields from ferromagnetic edge contacts and in the presence of charge current spreading in the nonlocal measurement configuration. Such behavior has been confirmed by the absence of a Hanle signal and gate-dependent magnetoresistance measurements that reveal a change in sign of the signal for the electron- and hole-doped regimes, which is in contrast to the expected behavior of the spin signal. Calculations show that the contact-induced fringe fields are typically on the order of hundreds of mT, but can be reduced below 100 mT with careful optimization of the contact geometry. There may be an additional contribution from magnetoresistance effects due to tunneling anisotropy in the contacts, which needs further investigation. These studies are useful for optimization of spin injection and detection in 2D material heterostructures through 1D edge contacts.
Shoemaker, Deirdre; Smith, Kenneth; Schnetter, Erik; Fiske, David; Laguna, Pablo; Pullin, Jorge
2002-04-01
Recently, stationary black holes have been successfully simulated for up to times of approximately 600-1000M, where M is the mass of the black hole. Considering that the expected burst of gravitational radiation from a binary black hole merger would last approximately 200-500M, black hole codes are approaching the point where simulations of mergers may be feasible. We will present two types of simulations of single black holes obtained with a code based on the Baumgarte-Shapiro-Shibata-Nakamura formulation of the Einstein evolution equations. One type of simulations addresses the stability properties of stationary black hole evolutions. The second type of simulations demonstrates the ability of our code to move a black hole through the computational domain. This is accomplished by shifting the stationary black hole solution to a coordinate system in which the location of the black hole is time dependent.
Selecting suitable enclosures for digitally printed materials
International Nuclear Information System (INIS)
Burge, D; Rima, L
2010-01-01
It cannot be assumed that storage enclosures considered safe for traditionally printed images and documents are suitable for modern, digitally printed materials. In this project, a large variety of digital print types were tested using a modified version of the ISO 18916 Imaging materials-Processed imaging materials-Photographic activity test for enclosure materials standard to assess the risk to digital prints by paper enclosures known to be inert or reactive with traditional photographic prints. The types of enclosures tested included buffered and non-buffered cotton papers, and groundwood paper. In addition, qualitative filter paper that had been wetted and dried with either an acidic or basic solution was also tested to determine the effects of enclosure pH on digitally printed materials. It was determined that, in general, digital prints tended to be less reactive with various enclosure types than traditional prints. Digital prints were most sensitive to paper that contained groundwood. The enclosure reactivity test results were then integrated with previous published work on the tendencies of various enclosure types to abrade, ferrotype, or block to digital prints in order to create a comprehensive set of recommendations for digital print storage enclosures.
XFEM Modelling of Multi-holes Plate with Single-row and Staggered Holes Configurations
Directory of Open Access Journals (Sweden)
Supar Khairi
2017-01-01
Full Text Available Joint efficiency is the key to composite structures assembly design, good structures response is dependent upon multi-holes behavior as subjected to remote loading. Current benchmarking work were following experimental testing series taken from literature on multi-holes problem. Eleven multi-hole configurations were investigated with various pitch and gage distance of staggered holes and non-staggered holes (single-row holes. Various failure modes were exhibited, most staggered holes demonstrates staggered crack path but non-staggered holes series displayed crack path along net-section plane. Stress distribution were carried out and good agreement were exhibited in experimental observation as reported in the respective literature. Consequently, strength prediction work were carried out under quasi-static loading, most showed discrepancy between 8% -31%, better prediction were exhibited in thicker and non-staggered holes plate combinations.
Inkjet printed electronics using copper nanoparticle ink
Kang, Jin Sung; Kim, Hak Sung; Ryu, Jongeun; Thomas Hahn, H.; Jang, Seonhee; Joung, Jae Woo
2010-01-01
Inkjet printing of electrode using copper nanoparticle ink is presented. Electrode was printed on a flexible glass epoxy composite substrate using drop on demand piezoelectric dispenser and was sintered at 200 °C of low temperature in N2 gas condition. The printed electrodes were made with various widths and thickness. In order to control the thickness of the printed electrode, number of printing was varied. Resistivity of printed electrode was calculated from the cross-sectional area measure...
1977-01-01
An 'Interactive Printed Circuit Board Design System' has been developed by a company in a Member-State. Printed circuits are now produced at the SB's surface treatment workshop using a digitized photo-plotter.
Voltage Controlled Hot Carrier Injection Enables Ohmic Contacts Using Au Island Metal Films on Ge.
Ganti, Srinivas; King, Peter J; Arac, Erhan; Dawson, Karl; Heikkilä, Mikko J; Quilter, John H; Murdoch, Billy; Cumpson, Peter; O'Neill, Anthony
2017-08-23
We introduce a new approach to creating low-resistance metal-semiconductor ohmic contacts, illustrated using high conductivity Au island metal films (IMFs) on Ge, with hot carrier injection initiated at low applied voltage. The same metallization process simultaneously allows ohmic contact to n-Ge and p-Ge, because hot carriers circumvent the Schottky barrier formed at metal/n-Ge interfaces. A 2.5× improvement in contact resistivity is reported over previous techniques to achieve ohmic contact to both n- and p- semiconductor. Ohmic contacts at 4.2 K confirm nonequilibrium current transport. Self-assembled Au IMFs are strongly orientated to Ge by annealing near the Au/Ge eutectic temperature. Au IMF nanostructures form, provided the Au layer is below a critical thickness. We anticipate that optimized IMF contacts may have applicability to many material systems. Optimizing this new paradigm for metal-semiconductor contacts offers the prospect of improved nanoelectronic systems and the study of voltage controlled hot holes and electrons.
Kuang, Xiao; Chen, Kaijuan; Dunn, Conner K; Wu, Jiangtao; Li, Vincent C F; Qi, H Jerry
2018-02-28
The three-dimensional (3D) printing of flexible and stretchable materials with smart functions such as shape memory (SM) and self-healing (SH) is highly desirable for the development of future 4D printing technology for myriad applications, such as soft actuators, deployable smart medical devices, and flexible electronics. Here, we report a novel ink that can be used for the 3D printing of highly stretchable, SM, and SH elastomer via UV-light-assisted direct-ink-write printing. An ink containing urethane diacrylate and a linear semicrystalline polymer is developed for the 3D printing of a semi-interpenetrating polymer network elastomer that can be stretched by up to 600%. The 3D-printed complex structures show interesting functional properties, such as high strain SM and SM -assisted SH capability. We demonstrate that such a 3D-printed SM elastomer has the potential application for biomedical devices, such as vascular repair devices. This research paves a new way for the further development of novel 4D printing, soft robotics, and biomedical devices.
Black holes will break up solitons and white holes may destroy them
International Nuclear Information System (INIS)
Akbar, Fiki T.; Gunara, Bobby E.; Susanto, Hadi
2017-01-01
Highlights: • What happens if a soliton collides with a black or white hole? • Solitons can pass through black hole horizons, but they will break up into several solitons after the collision. • In the interaction with a white hole horizon, solitons either pass through the horizon or will be destroyed by it. - Abstract: We consider a quantum analogue of black holes and white holes using Bose–Einstein condensates. The model is described by the nonlinear Schrödinger equation with a ‘stream flow’ potential, that induces a spatial translation to standing waves. We then mainly consider the dynamics of dark solitons in a black hole or white hole flow analogue and their interactions with the event horizon. A reduced equation describing the position of the dark solitons was obtained using variational method. Through numerical computations and comparisons with the analytical approximation we show that solitons can pass through black hole horizons even though they will break up into several solitons after the collision. In the interaction with a white hole horizon, we show that solitons either pass through the horizon or will be destroyed by it.
Black holes will break up solitons and white holes may destroy them
Energy Technology Data Exchange (ETDEWEB)
Akbar, Fiki T., E-mail: ftakbar@fi.itb.ac.id [Theoretical Physics Laboratory, Theoretical High Energy Physics and Instrumentation Research Group, Faculty of Mathematics and Natural Sciences, Institut Teknologi Bandung, Jl. Ganesha no. 10, Bandung, 40132 (Indonesia); Gunara, Bobby E., E-mail: bobby@fi.itb.ac.id [Theoretical Physics Laboratory, Theoretical High Energy Physics and Instrumentation Research Group, Faculty of Mathematics and Natural Sciences, Institut Teknologi Bandung, Jl. Ganesha no. 10, Bandung, 40132 (Indonesia); Susanto, Hadi, E-mail: hsusanto@essex.ac.uk [Department of Mathematical Sciences, University of Essex, Colchester, CO4 3SQ (United Kingdom)
2017-06-15
Highlights: • What happens if a soliton collides with a black or white hole? • Solitons can pass through black hole horizons, but they will break up into several solitons after the collision. • In the interaction with a white hole horizon, solitons either pass through the horizon or will be destroyed by it. - Abstract: We consider a quantum analogue of black holes and white holes using Bose–Einstein condensates. The model is described by the nonlinear Schrödinger equation with a ‘stream flow’ potential, that induces a spatial translation to standing waves. We then mainly consider the dynamics of dark solitons in a black hole or white hole flow analogue and their interactions with the event horizon. A reduced equation describing the position of the dark solitons was obtained using variational method. Through numerical computations and comparisons with the analytical approximation we show that solitons can pass through black hole horizons even though they will break up into several solitons after the collision. In the interaction with a white hole horizon, we show that solitons either pass through the horizon or will be destroyed by it.
Allergic contact dermatitis caused by mushrooms. A case report and literature review.
Bruhn, J N; Soderberg, M D
1991-09-01
The first author is allergic to skin contact with mushrooms of Suillus americanus, S. granulatus, S. grevillei, S. luteus, or S. neoalbidipes. Symptoms develop between one and two days after contact and last for approximately a week, disappearing completely without treatment. Symptoms consist of reddening, swelling, and itching, at the sites of contact with pileus cuticle mucilage of all five species. Pore layer tissues (tested for S. americanus and S. luteus) also produced strong reactions, as did pileus trama (tested for S. luteus). Spores from spore prints (tested for S. americanus and S. luteus) produced no reaction. The reaction can be avoided by wearing gloves when handling allergic species and by washing hands promptly after working with these species. Similar cases, reported from North America, Europe, and Russia, involve Agaricus, Boletus, Lactarius, Paxillus, Ramaria, and Suillus species. Several cases involve allergy to multiple species or genera. Symptom severity varies, presumably with intensity of exposure. In one case, symptoms were renewed following ingestion. Most cases demonstrate delayed allergic contact sensitivity.
Caged black holes: Black holes in compactified spacetimes. I. Theory
International Nuclear Information System (INIS)
Kol, Barak; Sorkin, Evgeny; Piran, Tsvi
2004-01-01
In backgrounds with compact dimensions there may exist several phases of black objects including a black hole and a black string. The phase transition between them raises questions and touches on fundamental issues such as topology change, uniqueness, and cosmic censorship. No analytic solution is known for the black hole, and moreover one can expect approximate solutions only for very small black holes, while phase transition physics happens when the black hole is large. Hence we turn to numerical solutions. Here some theoretical background to the numerical analysis is given, while the results will appear in a subsequent paper. The goals for a numerical analysis are set. The scalar charge and tension along the compact dimension are defined and used as improved order parameters which put both the black hole and the black string at finite values on the phase diagram. The predictions for small black holes are presented. The differential and the integrated forms of the first law are derived, and the latter (Smarr's formula) can be used to estimate the 'overall numerical error'. Field asymptotics and expressions for physical quantities in terms of the numerical values are supplied. The techniques include the 'method of equivalent charges', free energy, dimensional reduction, and analytic perturbation for small black holes
Alternate Explosions: Collapse and Accretion Events with Red Holes instead of Black Holes
Graber, James S.
1999-01-01
A red hole is "just like a black hole" except it lacks an event horizon and a singularity. As a result, a red hole emits much more energy than a black hole during a collapse or accretion event. We consider how a red hole solution can solve the "energy crisis" and power extremely energetic gamma ray bursts and hypernovae.
International Nuclear Information System (INIS)
Zeldovich, Ya.; Novikov, I.; Starobinskij, A.
1978-01-01
The theory is explained of the origination of white holes as a dual phenomenon with regard to the formation of black holes. Theoretically it is possible to derive the white hole by changing the sign of time in solving the general theory of relativity equation implying the black hole. The white hole represents the amount of particles formed in the vicinity of a singularity. For a distant observer, matter composed of these particles expands and the outer boundaries of this matter approach from the inside the gravitational radius Rsub(r). At t>>Rsub(r)/c all radiation or expulsion of matter terminates. For the outside observer the white hole exists for an unlimited length of time. In fact, however, it acquires the properties of a black hole and all processes in it cease. The qualitative difference between a white hole and a black hole is in that a white hole is formed as the result of an inner quantum explosion from the singularity to the gravitational radius and not as the result of a gravitational collapse, i.e., the shrinkage of diluted matter towards the gravitational radius. (J.B.)
Energy Technology Data Exchange (ETDEWEB)
Zeldovich, Ya; Novikov, I; Starobinskii, A
1978-07-01
The theory is explained of the origination of white holes as a dual phenomenon with regard to the formation of black holes. Theoretically it is possible to derive the white hole by changing the sign of time in solving the general theory of relativity equation implying the black hole. The white hole represents the amount of particles formed in the vicinity of a singularity. For a distant observer, matter composed of these particles expands and the outer boundaries of this matter approach from the inside the gravitational radius R/sub r/. At t>>R/sub r//c all radiation or expulsion of matter terminates. For the outside observer the white hole exists for an unlimited length of time. In fact, however, it acquires the properties of a black hole and all processes in it cease. The qualitative difference between a white hole and a black hole is in that a white hole is formed as the result of an inner quantum explosion from the singularity to the gravitational radius and not as the result of a gravitational collapse, i.e., the shrinkage of diluted matter towards the gravitational radius.
3D Printing of Biosamples: A Concise Review
Zhao, Victoria Xin Ting; Wong, Ten It; Zhou, Xiaodong
This paper reviews the recent development of 3D printing of biosamples, in terms of the 3D structure design, suitable printing technology, and available materials. Successfully printed 3D biosamples should possess the properties of high cell viability, vascularization and good biocompatibility. These goals are attained by printing the materials of hydrogels, polymers and cells, with a carefully selected 3D printer from the categories of inkjet printing, extrusion printing and laser printing, based on the uniqueness, advantages and disadvantages of these technologies. For recent developments, we introduce the 3D applications of creating scaffolds, printing cells for self-assembly and testing platforms. We foresee more bio-applications of 3D printing will be developed, with the advancements on materials and 3D printing machines.
Gorini, Vittorio; Moschella, Ugo; Treves, Aldo; Colpi, Monica
2016-01-01
Based on graduate school lectures in contemporary relativity and gravitational physics, this book gives a complete and unified picture of the present status of theoretical and observational properties of astrophysical black holes. The chapters are written by internationally recognized specialists. They cover general theoretical aspects of black hole astrophysics, the theory of accretion and ejection of gas and jets, stellar-sized black holes observed in the Milky Way, the formation and evolution of supermassive black holes in galactic centers and quasars as well as their influence on the dynamics in galactic nuclei. The final chapter addresses analytical relativity of black holes supporting theoretical understanding of the coalescence of black holes as well as being of great relevance in identifying gravitational wave signals. With its introductory chapters the book is aimed at advanced graduate and post-graduate students, but it will also be useful for specialists.
Du, Xianbin
2018-01-01
Ultimate goal of tissue engineering is to replace pathological or necrotic body tissue or organ by artificial tissue or organ and tissue engineering is a very promising research field. 3D bio-printing is a kind of emerging technologies and a branch of tissue engineering. It has made significant progress in the past decade. 3D bio-printing can realize tissue and organ construction in vitro and has wide application in basic research and pharmacy. This paper is to make an analysis and review on 3D bio-printing from the perspectives of bioink, printing technology and technology application.
Hausman, Kalani Kirk
2014-01-01
Get started printing out 3D objects quickly and inexpensively! 3D printing is no longer just a figment of your imagination. This remarkable technology is coming to the masses with the growing availability of 3D printers. 3D printers create 3-dimensional layered models and they allow users to create prototypes that use multiple materials and colors. This friendly-but-straightforward guide examines each type of 3D printing technology available today and gives artists, entrepreneurs, engineers, and hobbyists insight into the amazing things 3D printing has to offer. You'll discover methods for
Directory of Open Access Journals (Sweden)
F. Anderson S. Lima
2016-02-01
Full Text Available Solution processable semiconductor oxides have opened a new paradigm for the enhancement of the lifetime of thin film solar cells. Their fabrication by low-cost and environmentally friendly solution-processable methods makes them ideal barrier (hole and electron transport layers. In this work, we fabricate flexible ITO-free organic solar cells (OPV by printing methods applying an aqueous solution-processed V2O5 as the hole transport layer (HTL and compared them to devices applying PEDOT:PSS. The transparent conducting electrode was PET/Ag/PEDOT/ZnO, and the OPV configuration was PET/Ag/PEDOT/ZnO/P3HT:PC60BM/HTL/Ag. Outdoor stability analyses carried out for more than 900 h revealed higher stability for devices fabricated with the aqueous solution-processed V2O5.
Directory of Open Access Journals (Sweden)
Rubaiyet Iftekharul Haque
2015-10-01
Full Text Available A capacitive acoustic resonator developed by combining three-dimensional (3D printing and two-dimensional (2D printed electronics technique is described. During this work, a patterned bottom structure with rigid backplate and cavity is fabricated directly by a 3D printing method, and then a direct write inkjet printing technique has been employed to print a silver conductive layer. A novel approach has been used to fabricate a diaphragm for the acoustic sensor as well, where the conductive layer is inkjet-printed on a pre-stressed thin organic film. After assembly, the resulting structure contains an electrically conductive diaphragm positioned at a distance from a fixed bottom electrode separated by a spacer. Measurements confirm that the transducer acts as capacitor. The deflection of the diaphragm in response to the incident acoustic single was observed by a laser Doppler vibrometer and the corresponding change of capacitance has been calculated, which is then compared with the numerical result. Observation confirms that the device performs as a resonator and provides adequate sensitivity and selectivity at its resonance frequency.
Underhill, P. R.; Uemura, C.; Krause, T. W.
2018-04-01
Fatigue cracks are prone to develop around fasteners found in multi-layer aluminum structures on aging aircraft. Bolt hole eddy current (BHEC) is used for detection of cracks from within bolt holes after fastener removal. In support of qualification towards a target a90/95 (detect 90% of cracks of depth a, 95% of the time) of 0.76 mm (0.030"), a preliminary probability of detection (POD) study was performed to identify those parameters whose variation may keep a bolt hole inspection from attaining its goal. Parameters that were examined included variability in lift-off due to probe type, out-of-round holes, holes with diameters too large to permit surface-contact of the probe and mechanical damage to the holes, including burrs. The study examined the POD for BHEC of corner cracks in unfinished fastener holes extracted from service material. 68 EDM notches were introduced into two specimens of a horizontal stabilizer from a CC-130 Hercules aircraft. The fastener holes were inspected in the unfinished state, simulating potential inspection conditions, by 7 certified inspectors using a manual BHEC setup with an impedance plane display and also with one inspection conducted utilizing a BHEC automated C-Scan apparatus. While the standard detection limit of 1.27 mm (0.050") was achieved, given the a90/95 of 0.97 mm (0.039"), the target 0.76 mm (0.030") was not achieved. The work highlighted a number of areas where there was insufficient information to complete the qualification. Consequently, a number of recommendations were made. These included; development of a specification for minimum probe requirements; criteria for condition of the hole to be inspected, including out-of-roundness and presence of corrosion pits; statement of range of hole sizes; inspection frequency and data display for analysis.
Polsen, Erik S; Stevens, Adam G; Hart, A John
2013-05-01
Commercialization of materials utilizing patterned carbon nanotube (CNT) forests, such as hierarchical composite structures, dry adhesives, and contact probe arrays, will require catalyst patterning techniques that do not rely on cleanroom photolithography. We demonstrate the large scale patterning of CNT growth catalyst via adaptation of a laser-based electrostatic printing process that uses magnetic ink character recognition (MICR) toner. The MICR toner contains iron oxide nanoparticles that serve as the catalyst for CNT growth, which are printed onto a flexible polymer (polyimide) and then transferred to a rigid substrate (silicon or alumina) under heat and mechanical pressure. Then, the substrate is processed for CNT growth under an atmospheric pressure chemical vapor deposition (CVD) recipe. This process enables digital control of patterned CNT growth via the laser intensity, which controls the CNT density; and via the grayscale level, which controls the pixelation of the image into arrays of micropillars. Moreover, virtually any pattern can be designed using standard software (e.g., MS Word, AutoCAD, etc.) and printed on demand. Using a standard office printer, we realize isolated CNT microstructures as small as 140 μm and isolated catalyst ″pixels″ as small as 70 μm (one grayscale dot) and determine that individual toner microparticles result in features of approximately 5-10 μm . We demonstrate that grayscale CNT patterns can function as dry adhesives and that large-area catalyst patterns can be printed directly onto metal foils or transferred to ceramic plates. Laser printing therefore shows promise to enable high-speed micropatterning of nanoparticle-containing thin films under ambient conditions, possibly for a wide variety of nanostructures by engineering of toners containing nanoparticles of desired composition, size, and shape.
3D Printing: Print the future of ophthalmology.
Huang, Wenbin; Zhang, Xiulan
2014-08-26
The three-dimensional (3D) printer is a new technology that creates physical objects from digital files. Recent technological advances in 3D printing have resulted in increased use of this technology in the medical field, where it is beginning to revolutionize medical and surgical possibilities. It is already providing medicine with powerful tools that facilitate education, surgical planning, and organ transplantation research. A good understanding of this technology will be beneficial to ophthalmologists. The potential applications of 3D printing in ophthalmology, both current and future, are explored in this article. Copyright 2014 The Association for Research in Vision and Ophthalmology, Inc.
Electrical characterisation of ruthenium Schottky contacts on n-Ge (1 0 0)
Energy Technology Data Exchange (ETDEWEB)
Chawanda, Albert, E-mail: albert.chawanda@up.ac.za [Department of Physics, University of Pretoria, Pretoria 0002 (South Africa); Department of Physics, Midlands State University, Bag 9055, Gweru (Zimbabwe); Nyamhere, Cloud [Department of Physics, Nelson Mandela Metropolitan University, Box 7700, Port Elizabeth 6031 (South Africa); Auret, Francois D.; Nel, Jacqueline M.; Mtangi, Wilbert; Diale, Mmatsae [Department of Physics, University of Pretoria, Pretoria 0002 (South Africa)
2012-05-15
Ruthenium (Ru) Schottky contacts were fabricated on n-Ge (1 0 0) by electron beam deposition. Current-voltage (I-V), deep level transient spectroscopy (DLTS), and Laplace-DLTS techniques were used to characterise the as-deposited and annealed Ru/n-Ge (1 0 0) Schottky contacts. The variation of the electrical properties of the Ru samples annealed between 25 Degree-Sign C and 575 Degree-Sign C indicates the formation of two phases of ruthenium germanide. After Ru Schottky contacts fabrication, an electron trap at 0.38 eV below the conduction band with capture cross section of 1.0 Multiplication-Sign 10{sup -14} cm{sup -2} is the only detectable electron trap. The hole traps at 0.09, 0.15, 0.27 and 0.30 eV above the valence band with capture cross sections of 7.8 Multiplication-Sign 10{sup -13} cm{sup -2}, 7.1 Multiplication-Sign 10{sup -13} cm{sup -2}, 2.4 Multiplication-Sign 10{sup -13} cm{sup -2} and 6.2 Multiplication-Sign 10{sup -13} cm{sup -2}, respectively, were observed in the as-deposited Ru Schottky contacts. The hole trap H(0.30) is the prominent single acceptor level of the E-centre, and H(0.09) is the third charge state of the E-centre. H(0.27) shows some reverse annealing and reaches a maximum concentration at 225 Degree-Sign C and anneals out after 350 Degree-Sign C. This trap is strongly believed to be V-Sb{sub 2} complex formed from the annealing of V-Sb defect centre.
Osseointegration of a 3D Printed Stemmed Titanium Dental Implant: A Pilot Study
Directory of Open Access Journals (Sweden)
James Tedesco
2017-01-01
Full Text Available In this pilot study, a 3D printed Grade V titanium dental implant with a novel dual-stemmed design was investigated for its biocompatibility in vivo. Both dual-stemmed (n = 12 and conventional stainless steel conical (n = 4 implants were inserted into the tibial metaphysis of New Zealand white rabbits for 3 and 12 weeks and then retrieved with the surrounding bone, fixed, dehydrated, and embedded into epoxy resin. The implants were analyzed using correlative histology, microcomputed tomography, scanning electron microscopy (SEM, and transmission electron microscopy (TEM. The histological presence of multinucleated osteoclasts and cuboidal osteoblasts revealed active bone remodeling in the stemmed implant starting at 3 weeks and by 12 weeks in the conventional implant. Bone-implant contact values indicated that the stemmed implants supported bone growth along the implant from the coronal crest at both 3- and 12-week time periods and showed bone growth into microporosities of the 3D printed surface after 12 weeks. In some cases, new bone formation was noted in between the stems of the device. Conventional implants showed mechanical interlocking but did have indications of stress cracking and bone debris. This study demonstrates the comparable biocompatibility of these 3D printed stemmed implants in rabbits up to 12 weeks.
Osseointegration of a 3D Printed Stemmed Titanium Dental Implant: A Pilot Study.
Tedesco, James; Lee, Bryan E J; Lin, Alex Y W; Binkley, Dakota M; Delaney, Kathleen H; Kwiecien, Jacek M; Grandfield, Kathryn
2017-01-01
In this pilot study, a 3D printed Grade V titanium dental implant with a novel dual-stemmed design was investigated for its biocompatibility in vivo. Both dual-stemmed ( n = 12) and conventional stainless steel conical ( n = 4) implants were inserted into the tibial metaphysis of New Zealand white rabbits for 3 and 12 weeks and then retrieved with the surrounding bone, fixed, dehydrated, and embedded into epoxy resin. The implants were analyzed using correlative histology, microcomputed tomography, scanning electron microscopy (SEM), and transmission electron microscopy (TEM). The histological presence of multinucleated osteoclasts and cuboidal osteoblasts revealed active bone remodeling in the stemmed implant starting at 3 weeks and by 12 weeks in the conventional implant. Bone-implant contact values indicated that the stemmed implants supported bone growth along the implant from the coronal crest at both 3- and 12-week time periods and showed bone growth into microporosities of the 3D printed surface after 12 weeks. In some cases, new bone formation was noted in between the stems of the device. Conventional implants showed mechanical interlocking but did have indications of stress cracking and bone debris. This study demonstrates the comparable biocompatibility of these 3D printed stemmed implants in rabbits up to 12 weeks.
Application to printed resistors
International Nuclear Information System (INIS)
Hachiyanagi, Yoshimi; Uraki, Hisatsugu; Sawamura, Masashi
1989-01-01
Most of printed circuit boards are made at present by etching copper foils which are laminated on insulating composite boards of paper/phenol resin or glass nonwoven fabric/epoxy rein. This is called subtractive process, and since this is a wet process, the problem of coping with the pollution due to etching solution, plating solution and others is involved. As the method of solving this problem, attention has been paid to the dry process which forms conductor patterns by screen printing using electro-conductive paste. For such resin substrates, generally polymer thick films (PTF) using thermosetting resin as the binder are used. Also the research on the formation of resistors, condensers and other parts by printing using the technology of cermet thick films (CTF) and PTF is active, and it is partially put in practical use. The problems are the deformation and deterioration of substrates, therefore, as the countermeasures, electron beam hardening type PTF has been studied, and various pastes have been developed. In this paper, electron beam hardening type printed resistors are reported. The features, resistance paste, and a number of the experiments on printed resistors are described. (K.I.)
Wijers, R.A.M.J.
1996-01-01
Introduction Distinguishing neutron stars and black holes Optical companions and dynamical masses X-ray signatures of the nature of a compact object Structure and evolution of black-hole binaries High-mass black-hole binaries Low-mass black-hole binaries Low-mass black holes Formation of black holes
What Works Clearinghouse, 2012
2012-01-01
The study examined the impact of Project STAR (Sit Together and Read) on literacy skills of preschool students. Project STAR is a program in which teachers read books aloud to their students and use instructional techniques designed to encourage children to pay attention to print within storybooks. Eighty-five preschool classrooms were randomly…
The stable problem of the black-hole connected region in the Schwarzschild black hole
Tian, Guihua
2005-01-01
The stability of the Schwarzschild black hole is studied. Using the Painlev\\'{e} coordinate, our region can be defined as the black-hole-connected region(r>2m, see text) of the Schwarzschild black hole or the white-hole-connected region(r>2m, see text) of the Schwarzschild black hole. We study the stable problems of the black-hole-connected region. The conclusions are: (1) in the black-hole-connected region, the initially regular perturbation fields must have real frequency or complex frequen...
Rotating black holes at future colliders. III. Determination of black hole evolution
International Nuclear Information System (INIS)
Ida, Daisuke; Oda, Kin-ya; Park, Seong Chan
2006-01-01
TeV scale gravity scenario predicts that the black hole production dominates over all other interactions above the scale and that the Large Hadron Collider will be a black hole factory. Such higher-dimensional black holes mainly decay into the standard model fields via the Hawking radiation whose spectrum can be computed from the greybody factor. Here we complete the series of our work by showing the greybody factors and the resultant spectra for the brane-localized spinor and vector field emissions for arbitrary frequencies. Combining these results with the previous works, we determine the complete radiation spectra and the subsequent time evolution of the black hole. We find that, for a typical event, well more than half a black hole mass is emitted when the hole is still highly rotating, confirming our previous claim that it is important to take into account the angular momentum of black holes
Dabirian, Ali; Morales-Masis, Monica; Haug, Franz-Josef; De Wolf, Stefaan; Ballif, Christophe
2017-01-01
High-efficiency crystalline silicon (c-Si) solar cells increasingly feature sophisticated electron and hole contacts aimed at minimizing electronic losses. At the rear of photovoltaic devices, such contacts—usually consisting of stacks of functional
International Nuclear Information System (INIS)
Penrose, R.
1980-01-01
Conditions for the formation of a black hole are considered, and the properties of black holes. The possibility of Cygnus X-1 as a black hole is discussed. Einstein's theory of general relativity in relation to the formation of black holes is discussed. (U.K.)
Statistical black-hole thermodynamics
International Nuclear Information System (INIS)
Bekenstein, J.D.
1975-01-01
Traditional methods from statistical thermodynamics, with appropriate modifications, are used to study several problems in black-hole thermodynamics. Jaynes's maximum-uncertainty method for computing probabilities is used to show that the earlier-formulated generalized second law is respected in statistically averaged form in the process of spontaneous radiation by a Kerr black hole discovered by Hawking, and also in the case of a Schwarzschild hole immersed in a bath of black-body radiation, however cold. The generalized second law is used to motivate a maximum-entropy principle for determining the equilibrium probability distribution for a system containing a black hole. As an application we derive the distribution for the radiation in equilibrium with a Kerr hole (it is found to agree with what would be expected from Hawking's results) and the form of the associated distribution among Kerr black-hole solution states of definite mass. The same results are shown to follow from a statistical interpretation of the concept of black-hole entropy as the natural logarithm of the number of possible interior configurations that are compatible with the given exterior black-hole state. We also formulate a Jaynes-type maximum-uncertainty principle for black holes, and apply it to obtain the probability distribution among Kerr solution states for an isolated radiating Kerr hole
Zhou, Lu; Yang, Lei; Yu, Mengjie; Jiang, Yi; Liu, Cheng-Fang; Lai, Wen-Yong; Huang, Wei
2017-11-22
Manufacturing small-molecule organic light-emitting diodes (OLEDs) via inkjet printing is rather attractive for realizing high-efficiency and long-life-span devices, yet it is challenging. In this paper, we present our efforts on systematical investigation and optimization of the ink properties and the printing process to enable facile inkjet printing of conjugated light-emitting small molecules. Various factors on influencing the inkjet-printed film quality during the droplet generation, the ink spreading on the substrates, and its solidification processes have been systematically investigated and optimized. Consequently, halogen-free inks have been developed and large-area patterning inkjet printing on flexible substrates with efficient blue emission has been successfully demonstrated. Moreover, OLEDs manufactured by inkjet printing the light-emitting small molecules manifested superior performance as compared with their corresponding spin-cast counterparts.
Banner Pages on the New Printing Infrastructure
2006-01-01
Changes to the printing service were announced in CERN Bulletin No. 37-38/2006. In the new infrastructure, the printing of the banner page has been disabled in order to reduce paper consumption. Statistics show that the average print job size is small and the paper savings by not printing the banner page could be up to 20 %. When each printer is moved onto the new infrastructure banner page printing will be disabled. In the case of corridor printers which are shared by several users, the Helpdesk can re-enable banner page printing upon request. We hope ultimately to arrive at a situation where banner page printing is enabled on fewer than 10% of printers registered on the network. You can still print banner pages on printers where it has been centrally disabled by using Linux. Simply add it to your print job on the client side by adding the -o job-sheets option to your lpr command. Detailed documentation is available on each SLC3/4 under the following link: http://localhost:631/sum.html#4_2 Please bea...
International Nuclear Information System (INIS)
Ge, Qi; Qi, H Jerry; Dunn, Martin L; Dunn, Conner K
2014-01-01
Recent advances in three dimensional (3D) printing technology that allow multiple materials to be printed within each layer enable the creation of materials and components with precisely controlled heterogeneous microstructures. In addition, active materials, such as shape memory polymers, can be printed to create an active microstructure within a solid. These active materials can subsequently be activated in a controlled manner to change the shape or configuration of the solid in response to an environmental stimulus. This has been termed 4D printing, with the 4th dimension being the time-dependent shape change after the printing. In this paper, we advance the 4D printing concept to the design and fabrication of active origami, where a flat sheet automatically folds into a complicated 3D component. Here we print active composites with shape memory polymer fibers precisely printed in an elastomeric matrix and use them as intelligent active hinges to enable origami folding patterns. We develop a theoretical model to provide guidance in selecting design parameters such as fiber dimensions, hinge length, and programming strains and temperature. Using the model, we design and fabricate several active origami components that assemble from flat polymer sheets, including a box, a pyramid, and two origami airplanes. In addition, we directly print a 3D box with active composite hinges and program it to assume a temporary flat shape that subsequently recovers to the 3D box shape on demand. (paper)
Ge, Qi; Dunn, Conner K.; Qi, H. Jerry; Dunn, Martin L.
2014-09-01
Recent advances in three dimensional (3D) printing technology that allow multiple materials to be printed within each layer enable the creation of materials and components with precisely controlled heterogeneous microstructures. In addition, active materials, such as shape memory polymers, can be printed to create an active microstructure within a solid. These active materials can subsequently be activated in a controlled manner to change the shape or configuration of the solid in response to an environmental stimulus. This has been termed 4D printing, with the 4th dimension being the time-dependent shape change after the printing. In this paper, we advance the 4D printing concept to the design and fabrication of active origami, where a flat sheet automatically folds into a complicated 3D component. Here we print active composites with shape memory polymer fibers precisely printed in an elastomeric matrix and use them as intelligent active hinges to enable origami folding patterns. We develop a theoretical model to provide guidance in selecting design parameters such as fiber dimensions, hinge length, and programming strains and temperature. Using the model, we design and fabricate several active origami components that assemble from flat polymer sheets, including a box, a pyramid, and two origami airplanes. In addition, we directly print a 3D box with active composite hinges and program it to assume a temporary flat shape that subsequently recovers to the 3D box shape on demand.
Inkjet and screen printing for electronic applications
Medina Rodríguez, Beatriz
2016-01-01
Printed electronics (PE) is a set of printing methods used to create electrical devices on various substrates. Printing typically uses common printing equipment suitable for defining patterns on material, such as screen printing, flexography, gravure, offset lithography, and inkjet. Electrically functional, electronic or optical inks are deposited on the substrate, creating active or passive devices. PE offers a great advantage when compared to traditional processes or microelectronics du...
Can lip prints provide biologic evidence?
Sharma, Preeti; Sharma, Neeraj; Wadhwan, Vijay; Aggarwal, Pooja
2016-01-01
Lip prints are unique and can be used in personal identification. Very few studies are available which establish them as biological evidence in the court of law. Thus, the objective of this study was to attempt to isolate DNA and obtain full short tandem repeat (STR) loci of the individual from the lip prints on different surfaces. Twelve lip prints were procured on different surfaces such as tissue paper, cotton cloth, ceramic tile, and glass surface. Latent lip prints were developed using fingerprint black powder. Lipstick-coated lip prints were also collected on the same supporting items. DNA was isolated, quantified, and amplified using Identifiler™ kit to type 15 STR loci. Ample quantity of DNA was extracted from all the lip print impressions and 15 loci were successfully located in seven samples. Fourteen loci were successfully typed in 3 lip impressions while 13 loci were typed in 2 samples. This study emphasizes the relevance of lip prints at the scene of crime. Extraction of DNA followed by typing of STR loci establishes the lip prints as biological evidence too. Tissue papers, napkins, cups, and glasses may have imprints of the suspect's lips. Thus, the full genetic profile is extremely useful for the forensic team.
Gaál, Gabriel; da Silva, Tatiana A.; Gaál, Vladimir; Hensel, Rafael C.; Amaral, Lucas R.; Rodrigues, Varlei; Riul, Antonio
2018-05-01
Nowadays, one of the biggest issues addressed to electronic sensor fabrication is the build-up of efficient electrodes as an alternative way to the expensive, complex and multistage processes required by traditional techniques. Printed electronics arises as an interesting alternative to fulfill this task due to the simplicity and speed to stamp electrodes on various surfaces. Within this context, the Fused Deposition Modeling 3D printing is an emerging, cost-effective and alternative technology to fabricate complex structures that potentiates several fields with more creative ideas and new materials for a rapid prototyping of devices. We show here the fabrication of interdigitated electrodes using a standard home-made CoreXY 3D printer using transparent and graphene-based PLA filaments. Macro 3D printed electrodes were easily assembled within 6 minutes with outstanding reproducibility. The electrodes were also functionalized with different nanostructured thin films via dip-coating Layer-by-Layer technique to develop a 3D printed e-tongue setup. As a proof of concept, the printed e-tongue was applied to soil analysis. A control soil sample was enriched with several macro-nutrients to the plants (N, P, K, S, Mg and Ca) and the discrimination was done by electrical impedance spectroscopy of water solution of the soil samples. The data was analyzed by Principal Component Analysis and the 3D printed sensor distinguished clearly all enriched samples despite the complexity of the soil chemical composition. The 3D printed e-tongue successfully used in soil analysis encourages further investments in developing new sensory tools for precision agriculture and other fields exploiting the simplicity and flexibility offered by the 3D printing techniques.
Plasma jet printing for flexible substrates
Energy Technology Data Exchange (ETDEWEB)
Gandhiraman, Ram P.; Singh, Eric; Diaz-Cartagena, Diana C.; Koehne, Jessica; Meyyappan, M. [Center for Nanotechnology, NASA Ames Research Center, Moffett Field, California 94035 (United States); Nordlund, Dennis [Stanford Synchrotron Radiation Lightsource, SLAC National Accelerator Laboratory, Menlo Park, California 94025 (United States)
2016-03-21
Recent interest in flexible electronics and wearable devices has created a demand for fast and highly repeatable printing processes suitable for device manufacturing. Robust printing technology is critical for the integration of sensors and other devices on flexible substrates such as paper and textile. An atmospheric pressure plasma-based printing process has been developed to deposit different types of nanomaterials on flexible substrates. Multiwalled carbon nanotubes were deposited on paper to demonstrate site-selective deposition as well as direct printing without any type of patterning. Plasma-printed nanotubes were compared with non-plasma-printed samples under similar gas flow and other experimental conditions and found to be denser with higher conductivity. The utility of the nanotubes on the paper substrate as a biosensor and chemical sensor was demonstrated by the detection of dopamine, a neurotransmitter, and ammonia, respectively.
International Nuclear Information System (INIS)
Banerjee, Nabamita; Mandal, Ipsita; Sen, Ashoke
2009-01-01
Macroscopic entropy of an extremal black hole is expected to be determined completely by its near horizon geometry. Thus two black holes with identical near horizon geometries should have identical macroscopic entropy, and the expected equality between macroscopic and microscopic entropies will then imply that they have identical degeneracies of microstates. An apparent counterexample is provided by the 4D-5D lift relating BMPV black hole to a four dimensional black hole. The two black holes have identical near horizon geometries but different microscopic spectrum. We suggest that this discrepancy can be accounted for by black hole hair - degrees of freedom living outside the horizon and contributing to the degeneracies. We identify these degrees of freedom for both the four and the five dimensional black holes and show that after their contributions are removed from the microscopic degeneracies of the respective systems, the result for the four and five dimensional black holes match exactly.
The NIH 3D Print Exchange: A Public Resource for Bioscientific and Biomedical 3D Prints
Coakley, Meghan F.; Hurt, Darrell E.; Weber, Nick; Mtingwa, Makazi; Fincher, Erin C.; Alekseyev, Vsevelod; Chen, David T.; Yun, Alvin; Gizaw, Metasebia; Swan, Jeremy; Yoo, Terry S.; Huyen, Yentram
2014-01-01
The National Institutes of Health (NIH) has launched the NIH 3D Print Exchange, an online portal for discovering and creating bioscientifically relevant 3D models suitable for 3D printing, to provide both researchers and educators with a trusted source to discover accurate and informative models. There are a number of online resources for 3D prints, but there is a paucity of scientific models, and the expertise required to generate and validate such models remains a barrier. The NIH 3D Print ...
Electron beam hardening type copper plate printing ink
International Nuclear Information System (INIS)
Kawamura, Eiji; Inoue, Mitsuo; Kusaki, Satoichiro
1989-01-01
Copper plate printing is the printing method of filling ink in the parts of concave printing elements on a type area, and transferring the ink to a base, and it is the feature that the ink in the printing element parts of a print rises. Copper plate prints show profound feeling, in addition, its effect of preventing forgery is high. This method is generally called engraving printing, and is used frequently for printing various bills and artistic prints. The electron beam irradiation apparatus installed in the laboratory of the Printing Bureau, Ministry of Finance, is an experimental machine of area beam type, and is so constructed as to do batch conveyance and web conveyance. As the ink in printing element parts rises, the offset at the delivery part of a printing machine becomes a problem. Electron beam is superior in its transparency, and can dry instantaneously to the inside of opaque ink. At 200 kV of acceleration voltage, the ink of copper plate prints can be hardened by electron beam irradiation. The dilution monomers as the vehicle for ink were tested for their dilution capability and the effect of electron beam hardening. The problem in the utilization of electron beam is the deterioration of papers, and the counter-measures were tested. (K.I.)
Chekin, Fereshteh; Gorton, Lo; Tapsobea, Issa
2015-01-01
This study compares the behaviour of direct and mediated electrochemistry of horseradish peroxidase (HRP) immobilised on screen-printed carbon electrodes (SPCEs), screen-printed carbon electrodes modified with carboxyl-functionalised multi-wall carbon nanotubes (MWCNT-SPCEs) and screen-printed carbon electrodes modified with carboxyl-functionalised single-wall carbon nanotubes (SWCNT-SPCEs). The techniques of cyclic voltammetry and amperometry in the flow mode were used to characterise the properties of the HRP immobilised on screen-printed electrodes. From measurements of the mediated and mediatorless currents of hydrogen peroxide reduction at the HRP-modified electrodes, it was concluded that the fraction of enzyme molecules in direct electron transfer (DET) contact with the electrode varies substantially for the different electrodes. It was observed that the screen-printed carbon electrodes modified with carbon nanotubes (MWCNT-SPCEs and SWCNT-SPCEs) demonstrated a substantially higher percentage (≈100 %) of HRP molecules in DET contact than the screen-printed carbon electrodes (≈60 %). The HRP-modified electrodes were used for determination of hydrogen peroxide in mediatorless mode. The SWCNT-SPCE gave the lowest detection limit (0.40 ± 0.09 μM) followed by MWCNT-SPCE (0.48 ± 0.07 μM) and SPCE (0.98 ± 0.2 μM). These modified electrodes were additionally developed for amperometric determination of phenolic compounds. It was found that the SWCNT-SPCE gave a detection limit for catechol of 110.2 ± 3.6 nM, dopamine of 640.2 ± 9.2 nM, octopamine of 3341 ± 15 nM, pyrogallol of 50.10 ± 2.9 nM and 3,4-dihydroxy-L-phenylalanine of 980.7 ± 8.7 nM using 50 μM H2O2 in the flow carrier.
International Nuclear Information System (INIS)
Tong, S.W.; Lau, K.M.; Sun, H.Y.; Fung, M.K.; Lee, C.S.; Lifshitz, Y.; Lee, S.T.
2006-01-01
It has been demonstrated that hole-injection in organic light-emitting devices (OLEDs) can be enhanced by inserting a UV-illuminated fluorocarbon (CF x ) layer between indium-tin oxide (ITO) and organic hole-transporting layer (HTL). In this work, the process of interface formation and electronic properties of the ITO/CF x /HTL interface were investigated with ultraviolet photoelectron spectroscopy. It was found that UV-illuminated fluorocarbon layer decreases the hole-injection barrier from ITO to α-napthylphenylbiphenyl diamine (NPB). Energy level diagrams deduced from the ultraviolet photoelectron spectroscopy (UPS) spectra show that the hole-injection barrier in ITO/UV-treated CF x /NPB is the smallest (0.46 eV), compared to that in the ITO/untreated CF x /NPB (0.60 eV) and the standard ITO/NPB interface (0.68 eV). The improved current density-voltage (I-V) characteristics in the UV-treated CF x -coated ITO contact are consistent with its smallest barrier height
Customizing digital printing for fine art practice
Parraman, Carinna E.; Thirkell, Paul; Hoskins, Steve; Wang, Hong Qiang; Laidler, Paul
2005-01-01
The presentation will demonstrate how through alternative methods of digital print production the Centre for Fine Print Research (CFPR) is developing methodologies for digital printing that attempt to move beyond standard reproductive print methods. Profiling is used for input and output hardware, along with bespoke profiling for fine art printmaking papers. Examples of artist's work, and examples from the Perpetual Portfolio are included - an artist in residence scheme for selected artists wanting to work at the Centre and to make a large-format digital print. Colour is an important issue: colour fidelity, colour density on paper, colour that can be achieved through multiple-pass printing. Research is also underway to test colour shortfalls in the current inkjet ink range, and to extend colour through the use of traditional printing inks.
PRINTING TECHNIQUES: RECENT DEVELOPMENTS IN PHARMACEUTICAL TECHNOLOGY.
Jamroz, Witold; Kurek, Mateusz; Lyszczarz, Ewelina; Brniak, Witold; Jachowicz, Renata
2017-05-01
In the last few years there has been a huge progress in a development of printing techniques and their application in pharmaceutical sciences and particularly in the pharmaceutical technology. The variety of printing methods makes it necessary to systemize them, explain the principles of operation, and specify the possibilities of their use in pharmaceutical technology. This paper aims to review the printing techniques used in a drug development process. The growing interest in 2D and 3D printing methods results in continuously increasing number of scientific papers. Introduction of the first printed drug Spritam@ to the market seems to be a milestone of the 3D printing development. Thus, a particular aim of this review is to show the latest achievements of the researchers in the field of the printing medicines.
International Nuclear Information System (INIS)
Frolov, Valeri P.; Mukohyama, Shinji
2011-01-01
The aim of this paper is to demonstrate that in models with large extra dimensions under special conditions one can extract information from the interior of 4D black holes. For this purpose we study an induced geometry on a test brane in the background of a higher-dimensional static black string or a black brane. We show that, at the intersection surface of the test brane and the bulk black string or brane, the induced metric has an event horizon, so that the test brane contains a black hole. We call it a brane hole. When the test brane moves with a constant velocity V with respect to the bulk black object, it also has a brane hole, but its gravitational radius r e is greater than the size of the bulk black string or brane r 0 by the factor (1-V 2 ) -1 . We show that bulk ''photon'' emitted in the region between r 0 and r e can meet the test brane again at a point outside r e . From the point of view of observers on the test brane, the events of emission and capture of the bulk photon are connected by a spacelike curve in the induced geometry. This shows an example in which extra dimensions can be used to extract information from the interior of a lower-dimensional black object. Instead of the bulk black string or brane, one can also consider a bulk geometry without a horizon. We show that nevertheless the induced geometry on the moving test brane can include a brane hole. In such a case the extra dimensions can be used to extract information from the complete region of the brane-hole interior. We discuss thermodynamic properties of brane holes and interesting questions which arise when such an extra-dimensional channel for the information mining exists.
Tomasi, Andrea; Paviet-Salomon, Bertrand; Jeangros, Quentin; Haschke, Jan; Christmann, Gabriel; Barraud, Loris; Descoeudres, Antoine; Seif, Johannes Peter; Nicolay, Sylvain; Despeisse, Matthieu; De Wolf, Stefaan; Ballif, Christophe
2017-01-01
For crystalline-silicon solar cells, voltages close to the theoretical limit are nowadays readily achievable when using passivating contacts. Conversely, maximal current generation requires the integration of the electron and hole contacts at the back of the solar cell to liberate its front from any shadowing loss. Recently, the world-record efficiency for crystalline-silicon single-junction solar cells was achieved by merging these two approaches in a single device; however, the complexity of fabricating this class of devices raises concerns about their commercial potential. Here we show a contacting method that substantially simplifies the architecture and fabrication of back-contacted silicon solar cells. We exploit the surface-dependent growth of silicon thin films, deposited by plasma processes, to eliminate the patterning of one of the doped carrier-collecting layers. Then, using only one alignment step for electrode definition, we fabricate a proof-of-concept 9-cm2 tunnel-interdigitated back-contact solar cell with a certified conversion efficiency >22.5%.
Tomasi, Andrea
2017-04-24
For crystalline-silicon solar cells, voltages close to the theoretical limit are nowadays readily achievable when using passivating contacts. Conversely, maximal current generation requires the integration of the electron and hole contacts at the back of the solar cell to liberate its front from any shadowing loss. Recently, the world-record efficiency for crystalline-silicon single-junction solar cells was achieved by merging these two approaches in a single device; however, the complexity of fabricating this class of devices raises concerns about their commercial potential. Here we show a contacting method that substantially simplifies the architecture and fabrication of back-contacted silicon solar cells. We exploit the surface-dependent growth of silicon thin films, deposited by plasma processes, to eliminate the patterning of one of the doped carrier-collecting layers. Then, using only one alignment step for electrode definition, we fabricate a proof-of-concept 9-cm2 tunnel-interdigitated back-contact solar cell with a certified conversion efficiency >22.5%.
Directory of Open Access Journals (Sweden)
Olha Sarapulova
2015-01-01
Full Text Available In order to produce nanophotonic elements for smart packaging, we investigated the influence of the parameters of screen and offset gravure printing plates on features of printed application of coatings with nanophotonic components and on parameters of their photoluminescence. To determine the dependence of luminescence intensity on the thickness of solid coating, we carried out the formation of nanophotonic solid surfaces by means of screen printing with different layer thickness on polypropylene film. The obtained analytical dependencies were used to confirm the explanation of the processes that occur during the fabrication of nanophotonic coverings with offset gravure printing plates. As a result of experimental studies, it was determined that the different character of the dependency of total luminescence intensity of nanophotonic elements from the percentage of a pad is explained by the use of different types of offset gravure printing plates, where the size of raster points remains constant in one case and changes in the other case, while the depth of the printing elements accordingly changes or remains constant. To obtain nanophotonic areas with predetermined photoluminescent properties, the influence of investigated factors on changes of photoluminescent properties of nanophotonic printed surfaces should be taken into consideration.
International Nuclear Information System (INIS)
Ravndal, F.
1978-01-01
Applying Einstein's theory of gravitation to black holes and their interactions with their surroundings leads to the conclusion that the sum of the surface areas of several black holes can never become less. This is shown to be analogous to entropy in thermodynamics, and the term entropy is also thus applied to black holes. Continuing, expressions are found for the temperature of a black hole and its luminosity. Thermal radiation is shown to lead to explosion of the black hole. Numerical examples are discussed involving the temperature, the mass, the luminosity and the lifetime of black mini-holes. It is pointed out that no explosions corresponding to the prediction have been observed. It is also shown that the principle of conservation of leptons and baryons is broken by hot black holes, but that this need not be a problem. The related concept of instantons is cited. It is thought that understanding of thermal radiation from black holes may be important for the development of a quantified gravitation theory. (JIW)
3D-printed Bioanalytical Devices
Bishop, Gregory W; Satterwhite-Warden, Jennifer E; Kadimisetty, Karteek; Rusling, James F
2016-01-01
While 3D printing technologies first appeared in the 1980s, prohibitive costs, limited materials, and the relatively small number of commercially available printers confined applications mainly to prototyping for manufacturing purposes. As technologies, printer cost, materials, and accessibility continue to improve, 3D printing has found widespread implementation in research and development in many disciplines due to ease-of-use and relatively fast design-to-object workflow. Several 3D printing techniques have been used to prepare devices such as milli- and microfluidic flow cells for analyses of cells and biomolecules as well as interfaces that enable bioanalytical measurements using cellphones. This review focuses on preparation and applications of 3D-printed bioanalytical devices. PMID:27250897
Printing and civilization; Insatsu to bunmei
Energy Technology Data Exchange (ETDEWEB)
Takahashi, T. [Dainippon Ink and Chemicals Inc., Tokyo (Japan)
1995-01-01
It can be said that the printing has not been only a barometer of culture, but also has formed a foundation of culture as the facilities of civilization, and has shouldered a role to drag the culture. In modern nation, that the freedom of speech and press has been clearly pointed out as the fundamental human right, shows straightforwardly an important significance of such a printing. Though it is also statistically clear that there is an exact relation between GNP and printed materials per capita, in this paper centering around the examples in Japan, a relation between the printing and civilization/culture is introduced like the episodes. It does not yet become definite that what kind of influence a proposition so called `printing is a barometer of culture` is affected by the information/communication revolution which is regarded to be advanced very rapidly. However, speaking conclusively it can not be thought that a demand for the printing which can produce the information in a great deal of quantity with a low cost, and for the printing which does not need special output terminal and is excellent in portability and glance ability, may largely be reduced. 1 fig.
Hole history, rotary hole DC-3
International Nuclear Information System (INIS)
1977-10-01
Purpose of hole DC-3 was to drill into the Umtanum basalt flow using both conventional rotary and core drilling methods. The borehole is to be utilized for geophysical logging, future hydrological testing, and the future installation of a borehole laboratory for long-term pressure, seismic, and moisture migration or accumulation recording in the Umtanum basalt flow in support of the Basalt Waste Isolation Program. Hole DC-3 is located east of the 200 West barricaded area on the Hanford reservation
Natural fibre composites for 3D Printing
Pandey, Kapil
2015-01-01
3D printing has been common option for prototyping. Not all the materials are suitable for 3D printing. Various studies have been done and still many are ongoing regarding the suitability of the materials for 3D printing. This thesis work discloses the possibility of 3D printing of certain polymer composite materials. The main objective of this thesis work was to study the possibility for 3D printing the polymer composite material composed of natural fibre composite and various different ...
Micro-droplet formation via 3D printed micro channel
Jian, Zhen; Zhang, Jiaming; Li, Erqiang; Thoroddsen, Sigurdur T.
2016-11-01
Low cost, fast-designed and fast-fabricated 3D micro channel was used to create micro-droplets. Capillary with an outer diameter of 1.5 mm and an inner diameter of 150 μm was inserted into a 3D printed cylindrical channel with a diameter of 2 mm . Flow rate of the two inlets, insert depth, liquid (density, viscosity and surface tension) and solid (roughness, contact angle) properties all play a role in the droplet formation. Different regimes - dripping, jetting, unstable state - were observed in the micro-channel on varying these parameters. With certain parameter combinations, successive formation of micro-droplets with equal size was observed and its size can be much smaller than the smallest channel size. Based on our experimental results, the droplet formation via 3D printed micro T-junction was investigated through direct numerical simulations with a code called Gerris. Reynolds numbers Re = ρUL / μ and Weber numbers We = ρU2 L / σ of the two liquids were introduced to measure the liquid effect. The parameter regime where different physical dynamics occur was studied and the regime transition was observed with certain threshold values. Qualitative and quantitative analysis were performed as well between simulations and experiments.
Active materials by four-dimension printing
Ge, Qi; Qi, H. Jerry; Dunn, Martin L.
2013-09-01
We advance a paradigm of printed active composite materials realized by directly printing glassy shape memory polymer fibers in an elastomeric matrix. We imbue the active composites with intelligence via a programmed lamina and laminate architecture and a subsequent thermomechanical training process. The initial configuration is created by three-dimension (3D) printing, and then the programmed action of the shape memory fibers creates time dependence of the configuration—the four-dimension (4D) aspect. We design and print laminates in thin plate form that can be thermomechanically programmed to assume complex three-dimensional configurations including bent, coiled, and twisted strips, folded shapes, and complex contoured shapes with nonuniform, spatially varying curvature. The original flat plate shape can be recovered by heating the material again. We also show how the printed active composites can be directly integrated with other printed functionalities to create devices; here we demonstrate this by creating a structure that can assemble itself.
Printing versus coating - What will be the future production technology for printed electronics?
Energy Technology Data Exchange (ETDEWEB)
Glawe, Andrea; Eggerath, Daniel; Schäfer, Frank [KROENERT GmbH and Co KG, Schuetzenstrasse 105, 22761 Hamburg (Germany)
2015-02-17
The market of Large Area Organic Printed Electronics is developing rapidly to increase efficiency and quality as well as to lower costs further. Applications for OPV, OLED, RFID and compact Printed Electronic systems are increasing. In order to make the final products more affordable, but at the same time highly accurate, Roll to Roll (R2R) production on flexible transparent polymer substrates is the way forward. There are numerous printing and coating technologies suitable depending on the design, the product application and the chemical process technology. Mainly the product design (size, pattern, repeatability) defines the application technology.
Sliz, Rafal
2012-09-13
Due to low manufacturing costs, printed organic solar cells are on the short-list of renewable and environmentally- friendly energy production technologies of the future. However, electrode materials and each photoactive layer require different techniques and approaches. Printing technologies have attracted considerable attention for organic electronics due to their potentially high volume and low cost processing. A case in point is the interface between the substrate and solution (ink) drop, which is a particularly critical issue for printing quality. In addition, methods such as UV, oxygen and argon plasma treatments have proven suitable to increasing the hydrophilicity of treated surfaces. Among several methods of measuring the ink-substrate interface, the simplest and most reliable is the contact angle method. In terms of nanoscale device applications, zinc oxide (ZnO) has gained popularity, owing to its physical and chemical properties. In particular, there is a growing interest in exploiting the unique properties that the so-called nanorod structure exhibits for future 1-dimensional opto-electronic devices. Applications, such as photodiodes, thin-film transistors, sensors and photo anodes in photovoltaic cells have already been demonstrated. This paper presents the wettability properties of ZnO nanorods treated with UV illumination, oxygen and argon plasma for various periods of time. Since this work concentrates on solar cell applications, four of the most common solutions used in organic solar cell manufacture were tested: P3HT:PCBM DCB, P3HT:PCBM CHB, PEDOT:PSS and water. The achieved results prove that different treatments change the contact angle differently. Moreover, solvent behaviour varied uniquely with the applied treatment. © (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Directory of Open Access Journals (Sweden)
Musztyfaga-Staszuk M.
2015-09-01
Full Text Available This paper presents the application of artificial neural networks for prediction contact resistance of front metallization for silicon solar cells. The influence of the obtained front electrode features on electrical properties of solar cells was estimated. The front electrode of photovoltaic cells was deposited using screen printing (SP method and next to manufactured by two methods: convectional (1. co-fired in an infrared belt furnace and unconventional (2. Selective Laser Sintering. Resistance of front electrodes solar cells was investigated using Transmission Line Model (TLM. Artificial neural networks were obtained with the use of Statistica Neural Network by Statsoft. Created artificial neural networks makes possible the easy modelling of contact resistance of manufactured front metallization and allows the better selection of production parameters. The following technological recommendations for the screen printing connected with co-firing and selective laser sintering technology such as optimal paste composition, morphology of the silicon substrate, co-firing temperature and the power and scanning speed of the laser beam to manufacture the front electrode of silicon solar cells were experimentally selected in order to obtain uniformly melted structure well adhered to substrate, of a small front electrode substrate joint resistance value. The prediction possibility of contact resistance of manufactured front metallization is valuable for manufacturers and constructors. It allows preserving the customers’ quality requirements and bringing also measurable financial advantages.
Quantum aspects of black holes
2015-01-01
Beginning with an overview of the theory of black holes by the editor, this book presents a collection of ten chapters by leading physicists dealing with the variety of quantum mechanical and quantum gravitational effects pertinent to black holes. The contributions address topics such as Hawking radiation, the thermodynamics of black holes, the information paradox and firewalls, Monsters, primordial black holes, self-gravitating Bose-Einstein condensates, the formation of small black holes in high energetic collisions of particles, minimal length effects in black holes and small black holes at the Large Hadron Collider. Viewed as a whole the collection provides stimulating reading for researchers and graduate students seeking a summary of the quantum features of black holes.
Energy Technology Data Exchange (ETDEWEB)
Kim, Dong Ki; Kim, Jong Ho [Korea Reserch Institute of Standards and Science, Daejeon (Korea, Republic of); Kwon, Hyun Joon [Univ. of Maryland, Maryland (United States); Kwon, Young Ha [Kyung Hee Univ., Gyunggi Do (Korea, Republic of)
2012-10-15
This paper presents a design of a robot head module with touch sensing algorithms that can simultaneously detect contact force and location. The module is constructed with a hemisphere and three sensor units that are fabricated using contact resistance force sensors. The surface part is designed with the hemisphere that measures 300 mm in diameter and 150 mm in height. Placed at the bottom of the robot head module are three sensor units fabricated using a simple screen printing technique. The contact force and the location of the model are evaluated through the calibration setup. The experiment showed that the calculated contact positions almost coincided with the applied load points as the contact location changed with a location error of about {+-}8.67 mm. The force responses of the module were evaluated at two points under loading and unloading conditions from 0 N to 5 N. The robot head module showed almost the same force responses at the two points.
Energy Technology Data Exchange (ETDEWEB)
NONE
2002-02-01
Belief in the existence of black holes is the ultimate act of faith for a physicist. First suggested by the English clergyman John Michell in the year 1784, the gravitational pull of a black hole is so strong that nothing - not even light - can escape. Gravity might be the weakest of the fundamental forces but black-hole physics is not for the faint-hearted. Black holes present obvious problems for would-be observers because they cannot, by definition, be seen with conventional telescopes - although before the end of the decade gravitational-wave detectors should be able to study collisions between black holes. Until then astronomers can only infer the existence of a black hole from its gravitational influence on other matter, or from the X-rays emitted by gas and dust as they are dragged into the black hole. However, once this material passes through the 'event horizon' that surrounds the black hole, we will never see it again - not even with X-ray specs. Despite these observational problems, most physicists and astronomers believe that black holes do exist. Small black holes a few kilometres across are thought to form when stars weighing more than about two solar masses collapse under the weight of their own gravity, while supermassive black holes weighing millions of solar masses appear to be present at the centre of most galaxies. Moreover, some brave physicists have proposed ways to make black holes - or at least event horizons - in the laboratory. The basic idea behind these 'artificial black holes' is not to compress a large amount of mass into a small volume, but to reduce the speed of light in a moving medium to less than the speed of the medium and so create an event horizon. The parallels with real black holes are not exact but the experiments could shed new light on a variety of phenomena. The first challenge, however, is to get money for the research. One year on from a high-profile meeting on artificial black holes in London, for
International Nuclear Information System (INIS)
Guang-Cai, Yuan; Zheng, Xu; Su-Ling, Zhao; Fu-Jun, Zhang; Xue-Yan, Tian; Xu-Rong, Xu; Na, Xu
2009-01-01
The properties of top-contact organic thin-film transistors (TC-OTFTs) using ultra-thin 2, 9-dimethyl-4, 7-diphenyl-1, 10-phenanthroline (BCP) as a hole-blocking interlayer have been improved significantly and a BCP interlayer was inserted into the middle of the pentacene active layer. This paper obtains a fire-new transport mode of an OTFT device with double-conductible channels. The accumulation and transfer of the hole carriers are limited by the BCP interlayer in the vertical region of the channel. A huge amount of carriers is located not only at the interface between pentacene and the gate insulator, but also at the two interfaces of pentacene/BCP interlayer and pentacene/gate insulator, respectively. The results suggest that the BCP interlayer may be useful to adjust the hole accumulation and transfer, and can increase the hole mobility and output current of OTFTs. The TC-OTFTs with a BCP interlayer at V DS = −20 V showed excellent hole mobility μFE and threshold voltage V TH of 0.58 cm 2 /(V·s) and −4.6 V, respectively
Parraman, Carinna
2012-01-01
In order to increase density of colour and improve ink coverage when printing onto a range of non standard substrates, this paper will present research into multi-layering of colour and the appearance of colour at 'n' levels of ink coverage. Returning to our original investigation of artist's requirements when making inkjet prints, these observations are based on empirical approaches that address the need to present physical data that is more useful and meaningful to the designer. The study has used multi-pass printed colour charts to measure colour and to provide users with an understanding at a soft-preview level to demonstrate the appearance of printed colour on different substrates. Test results relating to the appearance of print on different surfaces, and a series of case studies will be presented using recent research into the capabilities of UV printing technology, which has widened the opportunities for the designer to print onto non-standard materials. It will also present a study into layering of greys and gloss in order to improve the appearance of printed images onto metal.
Directory of Open Access Journals (Sweden)
Đorđe Vujčić
2016-12-01
Full Text Available Print quality is very important for every printing technique. It depends on many different quality attributes. This research included analysis of macro non-uniformities and line reproduction. 16 different paper substrates printed by electrophotographic process were analyzed. They were separated in two groups: coated and uncoated papers. Analysis of macro non-uniformity showed that print mottle has lower values when printed on coated papers than on uncoated papers. Line reproduction analysis showed that the toner spreaded, during melting and fixation, on line edges for both types of paper. According to these results it can be concluded that paper substrate affects the macro non-uniformity and line reproduction, thus overall print quality.
Investigation of Spiral and Sweeping Holes
Thurman, Douglas; Poinsatte, Philip; Ameri, Ali; Culley, Dennis; Raghu, Surya; Shyam, Vikram
2015-01-01
Surface infrared thermography, hotwire anemometry, and thermocouple surveys were performed on two new film cooling hole geometries: spiral/rifled holes and fluidic sweeping holes. The spiral holes attempt to induce large-scale vorticity to the film cooling jet as it exits the hole to prevent the formation of the kidney shaped vortices commonly associated with film cooling jets. The fluidic sweeping hole uses a passive in-hole geometry to induce jet sweeping at frequencies that scale with blowing ratios. The spiral hole performance is compared to that of round holes with and without compound angles. The fluidic hole is of the diffusion class of holes and is therefore compared to a 777 hole and Square holes. A patent-pending spiral hole design showed the highest potential of the non-diffusion type hole configurations. Velocity contours and flow temperature were acquired at discreet cross-sections of the downstream flow field. The passive fluidic sweeping hole shows the most uniform cooling distribution but suffers from low span-averaged effectiveness levels due to enhanced mixing. The data was taken at a Reynolds number of 11,000 based on hole diameter and freestream velocity. Infrared thermography was taken for blowing rations of 1.0, 1.5, 2.0, and 2.5 at a density ration of 1.05. The flow inside the fluidic sweeping hole was studied using 3D unsteady RANS.
Black Hole Area Quantization rule from Black Hole Mass Fluctuations
Schiffer, Marcelo
2016-01-01
We calculate the black hole mass distribution function that follows from the random emission of quanta by Hawking radiation and with this function we calculate the black hole mass fluctuation. From a complete different perspective we regard the black hole as quantum mechanical system with a quantized event horizon area and transition probabilities among the various energy levels and then calculate the mass dispersion. It turns out that there is a perfect agreement between the statistical and ...
García, José R; Singh, Ankur; García, Andrés J
2014-01-01
In the pursuit to develop enhanced technologies for cellular bioassays as well as understand single cell interactions with its underlying substrate, the field of biotechnology has extensively utilized lithographic techniques to spatially pattern proteins onto surfaces in user-defined geometries. Microcontact printing (μCP) remains an incredibly useful patterning method due to its inexpensive nature, scalability, and the lack of considerable use of specialized clean room equipment. However, as new technologies emerge that necessitate various nano-sized areas of deposited proteins, traditional μCP methods may not be able to supply users with the needed resolution size. Recently, our group developed a modified "subtractive μCP" method which still retains many of the benefits offered by conventional μCP. Using this technique, we have been able to reach resolution sizes of fibronectin as small as 250 nm in largely spaced arrays for cell culture. In this communication, we present a detailed description of our subtractive μCP procedure that expands on many of the little tips and tricks that together make this procedure an easy and effective method for controlling protein patterning. © 2014 Elsevier Inc. All rights reserved.
Li, Jie; Zheng, Yifan; Zheng, Ding; Yu, Junsheng
2016-07-01
In this study, the influence of small-molecule organic hole injection materials on the performance of organic solar cells (OSCs) as the hole transport layer (HTL) with an architecture of ITO/ZnO/P3HT:PC71BM/HTL/Ag has been investigated. A significant enhancement on the performance of OSCs from 1.06% to 2.63% is obtained by using N, N‧-bis(1-naphthalenyl)-N, N‧-bis-phenyl-(1, 1‧-biphenyl)-4, 4‧-diamine (NPB) HTL. Through the resistance simulation and space-charge limited current analysis, we found that NPB HTL cannot merely improve the hole mobility of the device but also form the Ohmic contact between the active layer and anode. Besides, when we apply mix HTL by depositing the NPB on the surface of molybdenum oxide, the power conversion efficiency of OSC are able to be further improved to 2.96%.
Reprocessable thermosets for sustainable three-dimensional printing.
Zhang, Biao; Kowsari, Kavin; Serjouei, Ahmad; Dunn, Martin L; Ge, Qi
2018-05-08
Among all three-dimensional (3D) printing materials, thermosetting photopolymers claim almost half of the market, and have been widely used in various fields owing to their superior mechanical stability at high temperatures, excellent chemical resistance as well as good compatibility with high-resolution 3D printing technologies. However, once these thermosetting photopolymers form 3D parts through photopolymerization, the covalent networks are permanent and cannot be reprocessed, i.e., reshaped, repaired, or recycled. Here, we report a two-step polymerization strategy to develop 3D printing reprocessable thermosets (3DPRTs) that allow users to reform a printed 3D structure into a new arbitrary shape, repair a broken part by simply 3D printing new material on the damaged site, and recycle unwanted printed parts so the material can be reused for other applications. These 3DPRTs provide a practical solution to address environmental challenges associated with the rapid increase in consumption of 3D printing materials.
Concept of heat-induced inkless eco-printing.
Chen, Jinxiang; Wang, Yong; Xie, Juan; Meng, Chuang; Wu, Gang; Zu, Qiao
2012-07-01
Existing laser and inkjet printers often produce adverse effects on human health, the recycling of printing paper and the environment. Therefore, this paper examines the thermogravimetry curves for printer paper, analyzes the discoloration of paper using heat-induction, and investigates the relationship between paper discoloration and the heat-inducing temperature. The mechanism of heat-induced printing is analyzed initially, and its feasibility is determined by a comparative analysis of heat-induced (laser ablation) printing and commercial printing. The innovative concept of heat-induced inkless eco-printing is proposed, in which the required text or graphics are formed on the printing paper via yellowing and blackening produced by thermal energy. This process does not require ink during the printing process; thus, it completely eliminates the aforementioned health and environmental issues. This research also contributes to related interdisciplinary research in biology, laser technology, photochemistry, nano-science, paper manufacturing and color science. Copyright © 2012 Elsevier Ltd. All rights reserved.
Weisensee, Marilyn
1990-01-01
Describes a relief printmaking unit for sixth graders with the objective of decorating the inside of a pyramid. Ancient Egyptian imagery was used to help students become familiar with the style. Students designed and printed linoleum prints in different colors. They then critiqued their work and made their selection for the pyramid. (KM)
Medical 3D Printing for the Radiologist
Mitsouras, Dimitris; Liacouras, Peter; Imanzadeh, Amir; Giannopoulos, Andreas A.; Cai, Tianrun; Kumamaru, Kanako K.; George, Elizabeth; Wake, Nicole; Caterson, Edward J.; Pomahac, Bohdan; Ho, Vincent B.; Grant, Gerald T.
2015-01-01
While use of advanced visualization in radiology is instrumental in diagnosis and communication with referring clinicians, there is an unmet need to render Digital Imaging and Communications in Medicine (DICOM) images as three-dimensional (3D) printed models capable of providing both tactile feedback and tangible depth information about anatomic and pathologic states. Three-dimensional printed models, already entrenched in the nonmedical sciences, are rapidly being embraced in medicine as well as in the lay community. Incorporating 3D printing from images generated and interpreted by radiologists presents particular challenges, including training, materials and equipment, and guidelines. The overall costs of a 3D printing laboratory must be balanced by the clinical benefits. It is expected that the number of 3D-printed models generated from DICOM images for planning interventions and fabricating implants will grow exponentially. Radiologists should at a minimum be familiar with 3D printing as it relates to their field, including types of 3D printing technologies and materials used to create 3D-printed anatomic models, published applications of models to date, and clinical benefits in radiology. Online supplemental material is available for this article. ©RSNA, 2015 PMID:26562233
Medical 3D Printing for the Radiologist.
Mitsouras, Dimitris; Liacouras, Peter; Imanzadeh, Amir; Giannopoulos, Andreas A; Cai, Tianrun; Kumamaru, Kanako K; George, Elizabeth; Wake, Nicole; Caterson, Edward J; Pomahac, Bohdan; Ho, Vincent B; Grant, Gerald T; Rybicki, Frank J
2015-01-01
While use of advanced visualization in radiology is instrumental in diagnosis and communication with referring clinicians, there is an unmet need to render Digital Imaging and Communications in Medicine (DICOM) images as three-dimensional (3D) printed models capable of providing both tactile feedback and tangible depth information about anatomic and pathologic states. Three-dimensional printed models, already entrenched in the nonmedical sciences, are rapidly being embraced in medicine as well as in the lay community. Incorporating 3D printing from images generated and interpreted by radiologists presents particular challenges, including training, materials and equipment, and guidelines. The overall costs of a 3D printing laboratory must be balanced by the clinical benefits. It is expected that the number of 3D-printed models generated from DICOM images for planning interventions and fabricating implants will grow exponentially. Radiologists should at a minimum be familiar with 3D printing as it relates to their field, including types of 3D printing technologies and materials used to create 3D-printed anatomic models, published applications of models to date, and clinical benefits in radiology. Online supplemental material is available for this article. (©)RSNA, 2015.
International Nuclear Information System (INIS)
Collins, Nathan A.; Hughes, Scott A.
2004-01-01
Astronomical observations have established that extremely compact, massive objects are common in the Universe. It is generally accepted that these objects are, in all likelihood, black holes. As observational technology has improved, it has become possible to test this hypothesis in ever greater detail. In particular, it is or will be possible to measure the properties of orbits deep in the strong field of a black hole candidate (using x-ray timing or future gravitational-wave measurements) and to test whether they have the characteristics of black hole orbits in general relativity. Past work has shown that, in principle, such measurements can be used to map the spacetime of a massive compact object, testing in particular whether the object's multipolar structure satisfies the rather strict constraints imposed by the black hole hypothesis. Performing such a test in practice requires that we be able to compare against objects with the 'wrong' multipole structure. In this paper, we present tools for constructing the spacetimes of bumpy black holes: objects that are almost black holes, but that have some multipoles with the wrong value. In this first analysis, we focus on objects with no angular momentum. Generalization to bumpy Kerr black holes should be straightforward, albeit labor intensive. Our construction has two particularly desirable properties. First, the spacetimes which we present are good deep into the strong field of the object--we do not use a 'large r' expansion (except to make contact with weak field intuition). Second, our spacetimes reduce to the exact black hole spacetimes of general relativity in a natural way, by dialing the 'bumpiness' of the black hole to zero. We propose that bumpy black holes can be used as the foundation for a null experiment: if black hole candidates are indeed the black holes of general relativity, their bumpiness should be zero. By comparing the properties of orbits in a bumpy spacetime with those measured from an
International Nuclear Information System (INIS)
Sloane, A.J.; Duff, J.L.; Hopwood, F.G.; Wilson, N.L.; Smith, P.E.; Hill, C.J.; Packer, N.H.; Williams, K.L.; Gooley, A.A.; Cole, R.A.; Cooley, P.W.; Wallace, D.B.
2001-01-01
We describe a 'chemical printer' that uses piezoelectric pulsing for rapid and accurate microdispensing of picolitre volumes of fluid for proteomic analysis of 'protein macroarrays'. Unlike positive transfer and pin transfer systems, our printer dispenses fluid in a non-contact process that ensures that the fluid source cannot be contaminated by substrate during a printing event. We demonstrate automated delivery of enzyme and matrix solutions for on-membrane protein digestion and subsequent peptide mass fingerprinting (pmf) analysis directly from the membrane surface using matrix-assisted laser-desorption/ionization time-of-flight (MALDI-TOF) mass spectrometry (MS). This approach bypasses the more commonly used multi-step procedures, thereby permitting a more rapid procedure for protein identification. We also highlight the advantage of printing different chemistries onto an individual protein spot for multiple microscale analyses. This ability is particularly useful when detailed characterisation of rare and valuable sample is required. Using a combination of PNGase F and trypsin we have mapped sites of N-glycosylation using on-membrane digestion strategies. We also demonstrate the ability to print multiple serum samples in a micro-ELISA format and rapidly screen a protein macroarray of human blood plasma for pathogen-derived antigens. We anticipate that the 'chemical printer' will be a major component of proteomic platforms for high-throughput protein identification and characterisation with widespread applications in biomedical and diagnostic discovery
All-printed capacitors with continuous solution dispensing technology
Ge, Yang; Plötner, Matthias; Berndt, Andreas; Kumar, Amit; Voit, Brigitte; Pospiech, Doris; Fischer, Wolf-Joachim
2017-09-01
Printed electronics have been introduced into the commercial markets in recent years. Various printing technologies have emerged aiming to process printed electronic devices with low cost, environmental friendliness, and compatibility with large areas and flexible substrates. The aim of this study is to propose a continuous solution dispensing technology for processing all-printed thin-film capacitors on glass substrates using a leading-edge printing instrument. Among all printing technologies, this study provides concrete proof of the following outstanding advantages of this technology: high tolerance to inks, high throughput, low cost, and precise pattern transfers. Ag nanoparticle ink based on glycol ethers was used to print the electrodes. To obtain dielectric ink, a copolymer powder of poly(methyl methacrylate-co-benzoylphenyl methacrylate) containing crosslinkable side groups was dissolved in anisole. Various layouts were designed to support multiple electronic applications. Scanning electron microscopy and atomic force microscopy were used to investigate the all-printed capacitor layers formed using the proposed process. Additionally, the printed capacitors were electrically characterized under direct current and alternating current. The measured electrical properties of the printed capacitors were consistent with the theoretical results.
International Nuclear Information System (INIS)
Sarapulova, O.; Sherstiuk, V.
2015-01-01
In order to produce nano photonic elements for smart packaging, we investigated the influence of the parameters of screen and offset gravure printing plates on features of printed application of coatings with nano photonic components and on parameters of their photoluminescence. To determine the dependence of luminescence intensity on the thickness of solid coating, we carried out the formation of nano photonic solid surfaces by means of screen printing with different layer thickness on polypropylene film. The obtained analytical dependencies were used to confirm the explanation of the processes that occur during the fabrication of nano photonic coverings with offset gravure printing plates. As a result of experimental studies, it was determined that the different character of the dependency of total luminescence intensity of nano photonic elements from the percentage of a pad is explained by the use of different types of offset gravure printing plates, where the size of raster points remains constant in one case and changes in the other case, while the depth of the printing elements accordingly changes or remains constant. To obtain nano photonic areas with predetermined photo luminescent properties, the influence of investigated factors on changes of photo luminescent properties of nano photonic printed surfaces should be taken into consideration
Review of Recent Inkjet-Printed Capacitive Tactile Sensors
Directory of Open Access Journals (Sweden)
Ahmed Salim
2017-11-01
Full Text Available Inkjet printing is an advanced printing technology that has been used to develop conducting layers, interconnects and other features on a variety of substrates. It is an additive manufacturing process that offers cost-effective, lightweight designs and simplifies the fabrication process with little effort. There is hardly sufficient research on tactile sensors and inkjet printing. Advancements in materials science and inkjet printing greatly facilitate the realization of sophisticated tactile sensors. Starting from the concept of capacitive sensing, a brief comparison of printing techniques, the essential requirements of inkjet-printing and the attractive features of state-of-the art inkjet-printed tactile sensors developed on diverse substrates (paper, polymer, glass and textile are presented in this comprehensive review. Recent trends in inkjet-printed wearable/flexible and foldable tactile sensors are evaluated, paving the way for future research.
Experiments on Printed Intelligence and Its Applications
Barbonelova, Angelina
2015-01-01
Printed intelligence technology refers to products and systems that are produced using traditional printing methods and that are able to communicate or react with the user, environment or other products and systems. The technology provides the foundations of innovative products such as printed OLEDs (organic light emitting device), electroluminescent displays, organic photovoltaics, thin film batteries and disposable sensors. This study presents research on different printing techniques i...
Moss, Ian G; Shiiki, N; Winstanley, E
2000-01-01
Charged black hole solutions with pion hair are discussed. These can be\\ud used to study monopole black hole catalysis of proton decay.\\ud There also exist\\ud multi-black hole skyrmion solutions with BPS monopole behaviour.
Tachibana, Tomihisa; Tanahashi, Katsuto; Mochizuki, Toshimitsu; Shirasawa, Katsuhiko; Takato, Hidetaka
2018-04-01
Bifacial interdigitated-back-contact (IBC) silicon solar cells with a high bifaciality of 0.91 were fabricated. Screen printing and firing technology were used to reduce the production cost. For the first time, the relationship between the rear side structure and carrier collection probability was evaluated using internal quantum efficiency (IQE) mapping. The measurement results showed that the screen-printed electrode and back surface field (BSF) area led to low IQE. The low carrier collection probability by BSF area can be explained by electrical shading effects. Thus, it is clear that the IQE mapping system is useful to evaluate the IBC cell.
Future of printing: changes and challenges, technologies and markets
Kipphan, Helmut
1998-01-01
Digitalization within the graphic arts industry is described and it is explained how it is improving and changing the print production strategies and which new kinds of print production systems are developed or can be expected. The relationship of printed media and electronic media is analyzed and a positioning for the next century is given. The state of the art of conventional printing technologies, especially using direct imagine techniques, and their position within the digital workflow are shortly described. Non-impact printing multicolor printing systems are explained, based on general design criteria and linked to existing and newly announced equipment. The use of high-tech components for building up successful systems with high reliability, high quality and low production costs is included with some examples. Digital printing systems open many opportunities in print production: distributed printing, personalization, print and book on demand are explained as examples. The overview of the several printing technologies and their positioning regarding quality and productivity leads to the scenario about the important position of printed media, also in the distant future.
Functional electronic screen printing – electroluminescent smart fabric watch
de Vos, Marc; Torah, Russel; Beeby, Steve; Tudor, John
2013-01-01
Motivation for screen printed smart fabrics.Introduce functional electronic screen printing on fabrics.Printed smart fabric watch design.Printing process for electroluminescent watch.Demonstration video.Conclusions and further work.Examples of other screen printed smart fabrics.
Contextual advertisement placement in printed media
Liu, Sam; Joshi, Parag
2010-02-01
Advertisements today provide the necessary revenue model supporting the WWW ecosystem. Targeted or contextual ad insertion plays an important role in optimizing the financial return of this model. Nearly all the current ads that appear on web sites are geared for display purposes such as banner and "pay-per-click". Little attention, however, is focused on deriving additional ad revenues when the content is repurposed for alternative mean of presentation, e.g. being printed. Although more and more content is moving to the Web, there are still many occasions where printed output of web content is desirable, such as maps and articles; thus printed ad insertion can potentially be lucrative. In this paper, we describe a contextual ad insertion network aimed to realize new revenue for print service providers for web printing. We introduce a cloud print service that enables contextual ads insertion, with respect to the main web page content, when a printout of the page is requested. To encourage service utilization, it would provide higher quality printouts than what is possible from current browser print drivers, which generally produce poor outputs, e.g. ill formatted pages. At this juncture we will limit the scope to only article-related web pages although the concept can be extended to arbitrary web pages. The key components of this system include (1) the extraction of article from web pages, (2) the extraction of semantics from article, (3) querying the ad database for matching advertisement or coupon, and (4) joint content and ad layout for print outputs.
Skyrmion black hole hair: Conservation of baryon number by black holes and observable manifestations
Energy Technology Data Exchange (ETDEWEB)
Dvali, Gia [Arnold Sommerfeld Center, Ludwig-Maximilians-Universität, 80333 München (Germany); Max-Planck-Institut für Physik, Werner-Heisenberg-Institut, 80805 München (Germany); Center for Cosmology and Particle Physics, Department of Physics, New York University, 4 Washington Place, New York, NY 10003 (United States); Gußmann, Alexander, E-mail: alexander.gussmann@physik.uni-muenchen.de [Arnold Sommerfeld Center, Ludwig-Maximilians-Universität, 80333 München (Germany)
2016-12-15
We show that the existence of black holes with classical skyrmion hair invalidates standard proofs that global charges, such as the baryon number, cannot be conserved by a black hole. By carefully analyzing the standard arguments based on a Gedankenexperiment in which a black hole is seemingly-unable to return the baryon number that it swallowed, we identify inconsistencies in this reasoning, which does not take into the account neither the existence of skyrmion black holes nor the baryon/skyrmion correspondence. We then perform a refined Gedankenexperiment by incorporating the new knowledge and show that no contradiction with conservation of baryon number takes place at any stage of black hole evolution. Our analysis also indicates no conflict between semi-classical black holes and the existence of baryonic gauge interaction arbitrarily-weaker than gravity. Next, we study classical cross sections of a minimally-coupled massless probe scalar field scattered by a skyrmion black hole. We investigate how the skyrmion hair manifests itself by comparing this cross section with the analogous cross section caused by a Schwarzschild black hole which has the same ADM mass as the skyrmion black hole. Here we find an order-one difference in the positions of the characteristic peaks in the cross sections. The peaks are shifted to smaller scattering angles when the skyrmion hair is present. This comes from the fact that the skyrmion hair changes the near horizon geometry of the black hole when compared to a Schwarzschild black hole with same ADM mass. We keep the study of this second aspect general so that the qualitative results which we obtain can also be applied to black holes with classical hair of different kind.
International Nuclear Information System (INIS)
Lemos, Jose P. S.; Zaslavskii, Oleg B.
2010-01-01
We trace the origin of the black hole entropy S, replacing a black hole by a quasiblack hole. Let the boundary of a static body approach its own gravitational radius, in such a way that a quasihorizon forms. We show that if the body is thermal with the temperature taking the Hawking value at the quasihorizon limit, it follows, in the nonextremal case, from the first law of thermodynamics that the entropy approaches the Bekenstein-Hawking value S=A/4. In this setup, the key role is played by the surface stresses on the quasihorizon and one finds that the entropy comes from the quasihorizon surface. Any distribution of matter inside the surface leads to the same universal value for the entropy in the quasihorizon limit. This can be of some help in the understanding of black hole entropy. Other similarities between black holes and quasiblack holes such as the mass formulas for both objects had been found previously. We also discuss the entropy for extremal quasiblack holes, a more subtle issue.
Lien, Derhsien
2014-08-26
We report the memory device on paper by means of an all-printing approach. Using a sequence of inkjet and screen-printing techniques, a simple metal-insulator-metal device structure is fabricated on paper as a resistive random access memory with a potential to reach gigabyte capacities on an A4 paper. The printed-paper-based memory devices (PPMDs) exhibit reproducible switching endurance, reliable retention, tunable memory window, and the capability to operate under extreme bending conditions. In addition, the PBMD can be labeled on electronics or living objects for multifunctional, wearable, on-skin, and biocompatible applications. The disposability and the high-security data storage of the paper-based memory are also demonstrated to show the ease of data handling, which are not achievable for regular silicon-based electronic devices. We envision that the PPMDs manufactured by this cost-effective and time-efficient all-printing approach would be a key electronic component to fully activate a paper-based circuit and can be directly implemented in medical biosensors, multifunctional devices, and self-powered systems. © 2014 American Chemical Society.
Larjo, Klaus; Lowe, David A.; Thorlacius, Larus
2013-05-01
The postulates of black hole complementarity do not imply a firewall for infalling observers at a black hole horizon. The dynamics of the stretched horizon, that scrambles and reemits information, determines whether infalling observers experience anything out of the ordinary when entering a large black hole. In particular, there is no firewall if the stretched horizon degrees of freedom retain information for a time of the order of the black hole scrambling time.
Contact mechanics: contact area and interfacial separation from small contact to full contact
International Nuclear Information System (INIS)
Yang, C; Persson, B N J
2008-01-01
We present a molecular dynamics study of the contact between a rigid solid with a randomly rough surface and an elastic block with a flat surface. The numerical calculations mainly focus on the contact area and the interfacial separation from small contact (low load) to full contact (high load). For a small load the contact area varies linearly with the load and the interfacial separation depends logarithmically on the load. For a high load the contact area approaches the nominal contact area (i.e. complete contact), and the interfacial separation approaches zero. The numerical results have been compared with analytical theory and experimental results. They are in good agreement with each other. The present findings may be very important for soft solids, e.g. rubber, or for very smooth surfaces, where complete contact can be reached at moderately high loads without plastic deformation of the solids
The effect of particle-hole interaction on the XPS core-hole spectrum
International Nuclear Information System (INIS)
Ohno, Masahide; Sjoegren, Lennart
2004-01-01
How the effective particle-hole interaction energy, U, or the polarization effect on a secondary electron in a final two-hole one-particle (2h1p) state created by the Coster-Kronig (CK) transition can solely affect the density of the CK particle states and consequently the core-hole spectral function, is discussed. The X-ray photoelectron spectroscopy (XPS) core-hole spectrum is predominantly governed by the unperturbed initial core-hole energy relative to the zero-point energy. At the latter energy, the real part of the initial core-hole self-energy becomes zero (no relaxation energy shift) and the imaginary part (the lifetime broadening) approximately maximizes. The zero-point energy relative to the double-ionization threshold energy is governed by the ratio of U relative to the bandwidth of the CK continuum. As an example, we study the 5p XPS spectra of atomic Ra (Z=88), Th (Z=90) and U (Z=92). The spectra are interpreted in terms of the change in the unperturbed initial core-hole energy relative to the zero-point energy. We explain why in general an ab initio atomic many-body calculation can provide an overall good description of solid-state spectra predominantly governed by the atomic-like localized core-hole dynamics. We explain this in terms of the change from free atom to metal in both U and the zero-point energy (self-energy)
Energy Technology Data Exchange (ETDEWEB)
Bouhmadi-Lopez, Mariam; Cardoso, Vitor; Nerozzi, Andrea; Rocha, Jorge V, E-mail: mariam.bouhmadi@ist.utl.pt, E-mail: vitor.cardoso@ist.utl.pt, E-mail: andrea.nerozzi@ist.utl.pt, E-mail: jorge.v.rocha@ist.utl.pt [CENTRA, Department de Fisica, Instituto Superior Tecnico, Av. Rovisco Pais 1, 1049 Lisboa (Portugal)
2011-09-22
A possible process to destroy a black hole consists on throwing point particles with sufficiently large angular momentum into the black hole. In the case of Kerr black holes, it was shown by Wald that particles with dangerously large angular momentum are simply not captured by the hole, and thus the event horizon is not destroyed. Here we reconsider this gedanken experiment for black holes in higher dimensions. We show that this particular way of destroying a black hole does not succeed and that Cosmic Censorship is preserved.
40 CFR 63.824 - Standards: Publication rotogravure printing.
2010-07-01
... (CONTINUED) National Emission Standards for the Printing and Publishing Industry § 63.824 Standards: Publication rotogravure printing. (a) Each owner or operator of any publication rotogravure printing affected... printing. 63.824 Section 63.824 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR...
International Nuclear Information System (INIS)
Bach, F.W.; Lindemaier, J.; Philipp, E.
1998-01-01
1. Status of the technology: Today austenitic steel components with a material thickness of more than 200 mm cannot be cut surely by using conventional thermal cutting techniques. A reduction of the wall thickness, by using an effective cutting technique with low restoring forces, is necessary but not available, now. 2. Objectives: Target of the project was the qualification of the thermal contact-arc-metal-drilling technique, based on the contact-arc-metal-cutting technique for the reduction of the wall thickness of steel components in preparation for other cutting techniques to finish the dismantling task if necessary. 3. Methode: Development of the contact-arc-metal-drilling technique for the production of deep (>200 mm) blind holes with non-circular cross sections. Optimization of the drilling parameters and quantification of the released emissions under a radiological aspect. Development of a monitoring system for the electrode wear and a device for changing weared electrodes automatically. 4. Result: The contact-arc-metal-drilling technique was qualified by producing blind holes with a depth of 230 mm. The aerosols, hydrosols and gas emissions of the process were quantified and various monitoring techniques for the wear of the electrode were tested. A pneumatically aided clamping and changing device for electrodes was designed and tested. 5. Applications: The designed clamping device with its integrated pneumatically aided electrode release can be adapted directly to a tool guiding machine. Using this cutting technique steel components with a material thickness of 230 mm can be reduced to a remaining wall thickness and the released emissions can be estimated. (orig.) [de
Printing Ancient Terracotta Warriors
Gadecki, Victoria L.
2010-01-01
Standing in awe in Xian, China, at the Terra Cotta warrior archaeological site, the author thought of sharing this experience and excitement with her sixth-grade students. She decided to let her students carve patterns of the ancient soldiers to understand their place in Chinese history. They would make block prints and print multiple soldiers on…
Ambipolar field-effect transistors by few-layer InSe with asymmetry contact metals
Directory of Open Access Journals (Sweden)
Chang-Yu Lin
2017-07-01
Full Text Available Group IIIA−VIA layered semiconductors (MX, where M = Ga and In, X = S, Se, and Te have attracted tremendous interest for their anisotropic optical, electronic, and mechanical properties. In this study, we demonstrated that metal and InSe junctions can lead to carrier behaviors in few-layered InSe FETs. These results indicate that the polarity of few-layered InSe FETs can be determined by using metals with different work functions. We adopted FET S/D metal contacts with asymmetric work functions to reduce the Schottky barriers of electrons and holes, and discovered that few-layered InSe FETs with carefully selected metal contacts can achieve ambipolar behaviors. These results indicate that group IIIA−VIA layered semiconductor FETs with asymmetry contact metals have great potential for applications in photovoltaic devices, optical sensors, and CMOS inverter circuits.
Mr. Azad Singh*
2017-01-01
Women carrier in printing organization today, printing industry is growing with an expositional rate & required skills manpower. In this survey based paper to getting recruit of women 10%small, 30%medium and 60% large scale print industry. Objective of this paper is too filled out manpower skills printing industries are seaking in B.Tech women printing students to bridge the gap between skills and required in printing organization. A survey 25 companies was carried out. the result indicated t...
Three-Dimensionally Printed Micro-electromechanical Switches.
Lee, Yongwoo; Han, Jungmin; Choi, Bongsik; Yoon, Jinsu; Park, Jinhee; Kim, Yeamin; Lee, Jieun; Kim, Dae Hwan; Kim, Dong Myong; Lim, Meehyun; Kang, Min-Ho; Kim, Sungho; Choi, Sung-Jin
2018-05-09
Three-dimensional (3D) printers have attracted considerable attention from both industry and academia and especially in recent years because of their ability to overcome the limitations of two-dimensional (2D) processes and to enable large-scale facile integration techniques. With 3D printing technologies, complex structures can be created using only a computer-aided design file as a reference; consequently, complex shapes can be manufactured in a single step with little dependence on manufacturer technologies. In this work, we provide a first demonstration of the facile and time-saving 3D printing of two-terminal micro-electromechanical (MEM) switches. Two widely used thermoplastic materials were used to form 3D-printed MEM switches; freely suspended and fixed electrodes were printed from conductive polylactic acid, and a water-soluble sacrificial layer for air-gap formation was printed from poly(vinyl alcohol). Our 3D-printed MEM switches exhibit excellent electromechanical properties, with abrupt switching characteristics and an excellent on/off current ratio value exceeding 10 6 . Therefore, we believe that our study makes an innovative contribution with implications for the development of a broader range of 3D printer applications (e.g., the manufacturing of various MEM devices and sensors), and the work highlights a uniquely attractive path toward the realization of 3D-printed electronics.
Scalable, full-colour and controllable chromotropic plasmonic printing
Xue, Jiancai; Zhou, Zhang-Kai; Wei, Zhiqiang; Su, Rongbin; Lai, Juan; Li, Juntao; Li, Chao; Zhang, Tengwei; Wang, Xue-Hua
2015-01-01
Plasmonic colour printing has drawn wide attention as a promising candidate for the next-generation colour-printing technology. However, an efficient approach to realize full colour and scalable fabrication is still lacking, which prevents plasmonic colour printing from practical applications. Here we present a scalable and full-colour plasmonic printing approach by combining conjugate twin-phase modulation with a plasmonic broadband absorber. More importantly, our approach also demonstrates controllable chromotropic capability, that is, the ability of reversible colour transformations. This chromotropic capability affords enormous potentials in building functionalized prints for anticounterfeiting, special label, and high-density data encryption storage. With such excellent performances in functional colour applications, this colour-printing approach could pave the way for plasmonic colour printing in real-world commercial utilization. PMID:26567803
Favero, Christian S; English, Jeryl D; Cozad, Benjamin E; Wirthlin, John O; Short, Megan M; Kasper, F Kurtis
2017-10-01
Three-dimensional (3D) printing technologies enable production of orthodontic models from digital files; yet a range of variables associated with the process could impact the accuracy and clinical utility of the models. The objective of this study was to investigate the effect of print layer height on the accuracy of orthodontic models printed 3 dimensionally using a stereolithography format printer and to compare the accuracy of orthodontic models fabricated with several commercially available 3D printers. Thirty-six identical models were produced with a stereolithography-based 3D printer using 3 layer heights (n = 12 per group): 25, 50, and 100 μm. Forty-eight additional models were printed using 4 commercially available 3D printers (n = 12 per group). Each printed model was digitally scanned and compared with the input file via superimposition analysis using a best-fit algorithm to assess accuracy. Statistically significant differences were found in the average overall deviations of models printed at each layer height, with the 25-μm and 100-μm layer height groups having the greatest and least deviations, respectively. Statistically significant differences were also found in the average overall deviations of models produced using the various 3D printer models, but all values fell within clinically acceptable limits. The print layer height and printer model can affect the accuracy of a 3D printed orthodontic model, but the impact should be considered with respect to the clinical tolerances associated with the envisioned application. Copyright © 2017 American Association of Orthodontists. Published by Elsevier Inc. All rights reserved.
Begelman, Mitchell C.
2014-01-01
I outline the theory of accretion onto black holes, and its application to observed phenomena such as X-ray binaries, active galactic nuclei, tidal disruption events, and gamma-ray bursts. The dynamics as well as radiative signatures of black hole accretion depend on interactions between the relatively simple black-hole spacetime and complex radiation, plasma and magnetohydrodynamical processes in the surrounding gas. I will show how transient accretion processes could provide clues to these ...
International Nuclear Information System (INIS)
Horowitz, G.T.; Ross, S.F.
1997-01-01
It is shown that there are large static black holes for which all curvature invariants are small near the event horizon, yet any object which falls in experiences enormous tidal forces outside the horizon. These black holes are charged and near extremality, and exist in a wide class of theories including string theory. The implications for cosmic censorship and the black hole information puzzle are discussed. copyright 1997 The American Physical Society
Ballistic hole magnetic microscopy
Haq, E.; Banerjee, T.; Siekman, M.H.; Lodder, J.C.; Jansen, R.
2005-01-01
A technique to study nanoscale spin transport of holes is presented: ballistic hole magnetic microscopy. The tip of a scanning tunneling microscope is used to inject hot electrons into a ferromagnetic heterostructure, where inelastic decay creates a distribution of electron-hole pairs.
Templated Dry Printing of Conductive Metal Nanoparticles
Rolfe, David Alexander
Printed electronics can lower the cost and increase the ubiquity of electrical components such as batteries, sensors, and telemetry systems. Unfortunately, the advance of printed electronics has been held back by the limited minimum resolution, aspect ratio, and feature fidelity of present printing techniques such as gravure, screen printing and inkjet printing. Templated dry printing offers a solution to these problems by patterning nanoparticle inks into templates before drying. This dissertation shows advancements in two varieties of templated dry nanoprinting. The first, advective micromolding in vapor-permeable templates (AMPT) is a microfluidic approach that uses evaporation-driven mold filling to create submicron features with a 1:1 aspect ratio. We will discuss submicron surface acoustic wave (SAW) resonators made through this process, and the refinement process in the template manufacturing process necessary to make these devices. We also present modeling techniques that can be applied to future AMPT templates. We conclude with a modified templated dry printing that improves throughput and isolated feature patterning by transferring dry-templated features with laser ablation. This method utilizes surface energy-defined templates to pattern features via doctor blade coating. Patterned and dried features can be transferred to a polymer substrate with an Nd:YAG MOPA fiber laser, and printed features can be smaller than the laser beam width.
Digital Dentistry — 3D Printing Applications
Directory of Open Access Journals (Sweden)
Zaharia Cristian
2017-03-01
Full Text Available Three-dimensional (3D printing is an additive manufacturing method in which a 3D item is formed by laying down successive layers of material. 3D printers are machines that produce representations of objects either planned with a CAD program or scanned with a 3D scanner. Printing is a method for replicating text and pictures, typically with ink on paper. We can print different dental pieces using different methods such as selective laser sintering (SLS, stereolithography, fused deposition modeling, and laminated object manufacturing. The materials are certified for printing individual impression trays, orthodontic models, gingiva mask, and different prosthetic objects. The material can reach a flexural strength of more than 80 MPa. 3D printing takes the effectiveness of digital projects to the production phase. Dental laboratories are able to produce crowns, bridges, stone models, and various orthodontic appliances by methods that combine oral scanning, 3D printing, and CAD/CAM design. Modern 3D printing has been used for the development of prototypes for several years, and it has begun to find its use in the world of manufacturing. Digital technology and 3D printing have significantly elevated the rate of success in dental implantology using custom surgical guides and improving the quality and accuracy of dental work.
Advances and Future Challenges in Printed Batteries.
Sousa, Ricardo E; Costa, Carlos M; Lanceros-Méndez, Senentxu
2015-11-01
There is an increasing interest in thin and flexible energy storage devices to meet modern society's needs for applications such as radio frequency sensing, interactive packaging, and other consumer products. Printed batteries comply with these requirements and are an excellent alternative to conventional batteries for many applications. Flexible and microbatteries are also included in the area of printed batteries when fabricated using printing technologies. The main characteristics, advantages, disadvantages, developments, and printing techniques of printed batteries are presented and discussed in this Review. The state-of-the-art takes into account both the research and industrial levels. On the academic level, the research progress of printed batteries is divided into lithium-ion and Zn-manganese dioxide batteries and other battery types, with emphasis on the different materials for anode, cathode, and separator as well as in the battery design. With respect to the industrial state-of-the-art, materials, device formulations, and manufacturing techniques are presented. Finally, the prospects and challenges of printed batteries are discussed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Kong, Yong Lin; Gupta, Maneesh K.; Johnson, Blake N.; McAlpine, Michael C.
2016-01-01
Summary The ability to three-dimensionally interweave biological and functional materials could enable the creation of bionic devices possessing unique and compelling geometries, properties, and functionalities. Indeed, interfacing high performance active devices with biology could impact a variety of fields, including regenerative bioelectronic medicines, smart prosthetics, medical robotics, and human-machine interfaces. Biology, from the molecular scale of DNA and proteins, to the macroscopic scale of tissues and organs, is three-dimensional, often soft and stretchable, and temperature sensitive. This renders most biological platforms incompatible with the fabrication and materials processing methods that have been developed and optimized for functional electronics, which are typically planar, rigid and brittle. A number of strategies have been developed to overcome these dichotomies. One particularly novel approach is the use of extrusion-based multi-material 3D printing, which is an additive manufacturing technology that offers a freeform fabrication strategy. This approach addresses the dichotomies presented above by (1) using 3D printing and imaging for customized, hierarchical, and interwoven device architectures; (2) employing nanotechnology as an enabling route for introducing high performance materials, with the potential for exhibiting properties not found in the bulk; and (3) 3D printing a range of soft and nanoscale materials to enable the integration of a diverse palette of high quality functional nanomaterials with biology. Further, 3D printing is a multi-scale platform, allowing for the incorporation of functional nanoscale inks, the printing of microscale features, and ultimately the creation of macroscale devices. This blending of 3D printing, novel nanomaterial properties, and ‘living’ platforms may enable next-generation bionic systems. In this review, we highlight this synergistic integration of the unique properties of nanomaterials with
3D Printed Bionic Nanodevices.
Kong, Yong Lin; Gupta, Maneesh K; Johnson, Blake N; McAlpine, Michael C
2016-06-01
The ability to three-dimensionally interweave biological and functional materials could enable the creation of bionic devices possessing unique and compelling geometries, properties, and functionalities. Indeed, interfacing high performance active devices with biology could impact a variety of fields, including regenerative bioelectronic medicines, smart prosthetics, medical robotics, and human-machine interfaces. Biology, from the molecular scale of DNA and proteins, to the macroscopic scale of tissues and organs, is three-dimensional, often soft and stretchable, and temperature sensitive. This renders most biological platforms incompatible with the fabrication and materials processing methods that have been developed and optimized for functional electronics, which are typically planar, rigid and brittle. A number of strategies have been developed to overcome these dichotomies. One particularly novel approach is the use of extrusion-based multi-material 3D printing, which is an additive manufacturing technology that offers a freeform fabrication strategy. This approach addresses the dichotomies presented above by (1) using 3D printing and imaging for customized, hierarchical, and interwoven device architectures; (2) employing nanotechnology as an enabling route for introducing high performance materials, with the potential for exhibiting properties not found in the bulk; and (3) 3D printing a range of soft and nanoscale materials to enable the integration of a diverse palette of high quality functional nanomaterials with biology. Further, 3D printing is a multi-scale platform, allowing for the incorporation of functional nanoscale inks, the printing of microscale features, and ultimately the creation of macroscale devices. This blending of 3D printing, novel nanomaterial properties, and 'living' platforms may enable next-generation bionic systems. In this review, we highlight this synergistic integration of the unique properties of nanomaterials with the
Estrogenic Activity of Mineral Oil Aromatic Hydrocarbons Used in Printing Inks.
Directory of Open Access Journals (Sweden)
Patrick Tarnow
Full Text Available The majority of printing inks are based on mineral oils (MOs which contain complex mixtures of saturated and aromatic hydrocarbons. Consumer exposure to these oils occurs either through direct skin contacts or, more frequently, as a result of MO migration into the contents of food packaging that was made from recycled newspaper. Despite this ubiquitous and frequent exposure little is known about the potential toxicological effects, particularly with regard to the aromatic MO fractions. From a toxicological point of view the huge amount of alkylated and unsubstituted compounds therein is reason for concern as they can harbor genotoxicants as well as potential endocrine disruptors. The aim of this study was to assess both the genotoxic and estrogenic potential of MOs used in printing inks. Mineral oils with various aromatic hydrocarbon contents were tested using a battery of in vitro assays selected to address various endpoints such as estrogen-dependent cell proliferation, activation of estrogen receptor α or transcriptional induction of estrogenic target genes. In addition, the comet assay has been applied to test for genotoxicity. Out of 15 MOs tested, 10 were found to potentially act as xenoestrogens. For most of the oils the effects were clearly triggered by constituents of the aromatic hydrocarbon fraction. From 5 oils tested in the comet assay, 2 showed slight genotoxicity. Altogether it appears that MOs used in printing inks are potential endocrine disruptors and should thus be assessed carefully to what extent they might contribute to the total estrogenic burden in humans.
3D printing technologies for electrochemical energy storage
Energy Technology Data Exchange (ETDEWEB)
Zhang, Feng; Wei, Min; Viswanathan, Vilayanur V.; Swart, Benjamin; Shao, Yuyan; Wu, Gang; Zhou, Chi
2017-10-01
Fabrication of electrodes and electrolytes play an important role in promoting the performance of electrochemical energy storage (EES) devices such as batteries and supercapacitors. Traditional fabrication techniques have limited capability in controlling the geometry and architecture of the electrode and solid-state electrolytes, which would otherwise compromise the performance. 3D printing, a disruptive manufacturing technology, has emerged as an innovative approach to fabricating EES devices from nanoscale to macroscale and from nanowatt to megawatt, providing great opportunities to accurately control device geometry (e.g., dimension, porosity, morphology) and structure with enhanced specific energy and power densities. Moreover, the additive manufacturing nature of 3D printing provides excellent controllability of the electrode thickness with much simplified process in a cost effective manner. With the unique spatial and temporal material manipulation capability, 3D printing can integrate multiple nanomaterials in the same print, and multi-functional EES devices (including functional gradient devices) can be fabricated. Herein, we review recent advances in 3D printing of EES devices. We focused on two major 3D printing technologies including direct writing and inkjet printing. The direct material deposition characteristics of these two processes enable them to print on a variety of flat substrates, even a conformal one, well suiting them to applications such as wearable devices and on-chip integrations. Other potential 3D printing techniques such as freeze nano-printing, stereolithography, fused deposition modeling, binder jetting, laminated object manufacturing, and metal 3D printing are also introduced. The advantages and limitations of each 3D printing technology are extensively discussed. More importantly, we provide a perspective on how to integrate the emerging 3D printing with existing technologies to create structures over multiple length scale from
Bin Hamzah, Hairul Hisham; Keattch, Oliver; Covill, Derek; Patel, Bhavik Anil
2018-06-14
Additive manufacturing also known as 3D printing is being utilised in electrochemistry to reproducibly develop complex geometries with conductive properties. In this study, we explored if the electrochemical behavior of 3D printed acrylonitrile butadiene styrene (ABS)/carbon black electrodes was influenced by printing direction. The electrodes were printed in both horizontal and vertical directions. The horizsontal direction resulted in a smooth surface (HPSS electrode) and a comparatively rougher surface (HPRS electrode) surface. Electrodes were characterized using cyclic voltammetry, electrochemical impedance spectroscopy and chronoamperometry. For various redox couples, the vertical printed (VP) electrode showed enhanced current response when compared the two electrode surfaces generated by horizontal print direction. No differences in the capacitive response was observed, indicating that the conductive surface area of all types of electrodes were identical. The VP electrode had reduced charge transfer resistance and uncompensated solution resistance when compared to the HPSS and HPRS electrodes. Overall, electrodes printed in a vertical direction provide enhanced electrochemical performance and our study indicates that print orientation is a key factor that can be used to enhance sensor performance.
Three-Dimensional Printing Surgical Applications.
AlAli, Ahmad B; Griffin, Michelle F; Butler, Peter E
2015-01-01
Three-dimensional printing, a technology used for decades in the industrial field, gains a lot of attention in the medical field for its potential benefits. With advancement of desktop printers, this technology is accessible and a lot of research is going on in the medical field. To evaluate its application in surgical field, which may include but not limited to surgical planning, surgical education, implants, and prosthesis, which are the focus of this review. Research was conducted by searching PubMed, Web of science, and other reliable sources. We included original articles and excluded articles based on animals, those more than 10 years old, and those not in English. These articles were evaluated, and relevant studies were included in this review. Three-dimensional printing shows a potential benefit in surgical application. Printed implants were used in patient in a few cases and show successful results; however, longer follow-up and more trials are needed. Surgical and medical education is believed to be more efficient with this technology than the current practice. Printed surgical instrument and surgical planning are also believed to improve with three-dimensional printing. Three-dimensional printing can be a very powerful tool in the near future, which can aid the medical field that is facing a lot of challenges and obstacles. However, despite the reported results, further research on larger samples and analytical measurements should be conducted to ensure this technology's impact on the practice.
Four-dimensional Printing of Liquid Crystal Elastomers.
Ambulo, Cedric P; Burroughs, Julia J; Boothby, Jennifer M; Kim, Hyun; Shankar, M Ravi; Ware, Taylor H
2017-10-25
Three-dimensional structures capable of reversible changes in shape, i.e., four-dimensional-printed structures, may enable new generations of soft robotics, implantable medical devices, and consumer products. Here, thermally responsive liquid crystal elastomers (LCEs) are direct-write printed into 3D structures with a controlled molecular order. Molecular order is locally programmed by controlling the print path used to build the 3D object, and this order controls the stimulus response. Each aligned LCE filament undergoes 40% reversible contraction along the print direction on heating. By printing objects with controlled geometry and stimulus response, magnified shape transformations, for example, volumetric contractions or rapid, repetitive snap-through transitions, are realized.
Du, Dongyi; Goldsmith, John; Aikin, Kathryn J; Encinosa, William E; Nardinelli, Clark
2012-05-01
In 2007 the federal government began requiring drug makers to include in their print direct-to-consumer advertisements information for consumers on how to contact the Food and Drug Administration directly, either by phone or through the agency's website, to report any adverse events that they experienced after taking a prescription drug. Adverse events can range from minor skin problems like itching to serious injuries or illness that result in hospitalization, permanent disability, or even death. Even so, current rates of adverse event reporting are low. We studied adverse event reports about 123 drugs that came from patients before and after the enactment of the print advertising requirement and estimated that requirement's impact with model simulations. We found that if monthly spending on print direct-to-consumer advertising increased from zero to $7.7 million per drug, the presence of the Food and Drug Administration contact information tripled the increase in patient-reported adverse events, compared to what would have happened in the absence of the law. However, the absolute monthly increase was fewer than 0.24 reports per drug, suggesting that the public health impact of the increase was small and that the adverse event reporting rate would still be low. The study results suggest that additional measures, such as more publicity about the Adverse Event Reporting System or more consumer education, should be considered to promote patient reporting of adverse events.
International Nuclear Information System (INIS)
Punsly, B.M.
1988-01-01
This dissertation is a study of the physical mechanism that allows a large scale magnetic field to torque a rapidly rotating, supermassive black hole. This is an interesting problem as it has been conjectured that rapidly rotating black holes are the central engines that power the observed extragalactic double radio sources. Axisymmetric solutions of the curved space-time version of Maxwell's equations in the vacuum do not torque black holes. Plasma must be introduced for the hole to mechanically couple to the field. The dynamical aspect of rotating black holes that couples the magnetic field to the hole is the following. A rotating black hole forces the external geometry of space-time to rotate (the dragging of inertial frames). Inside of the stationary limit surface, the ergosphere, all physical particle trajectories must appear to rotate in the same direction as the black hole as viewed by the stationary observers at asymptotic infinity. In the text, it is demonstrated how plasma that is created on field lines that thread both the ergosphere and the equatorial plane will be pulled by gravity toward the equator. By the aforementioned properties of the ergosphere, the disk must rotate. Consequently, the disk acts like a unipolar generator. It drives a global current system that supports the toroidal magnetic field in an outgoing, magnetically dominated wind. This wind carries energy (mainly in the form of Poynting flux) and angular momentum towards infinity. The spin down of the black hole is the ultimate source of this energy and angular momentum flux
Strategies in the digital printing value system
Mejtoft, Thomas
2006-01-01
The research objective of this thesis is to identify corporate strategies and strategic decisions in the digital printing business and to analyze how these have evolved due to the introduction of digital printing. This thesis comprises three separate studies, all based on qualitative case methodology. The first study is focused on digital printing houses and how their business strategies have changed due to their investment in digital printing production equipment. The second study concentrat...
Visual Attention to Print-Salient and Picture-Salient Environmental Print in Young Children
Neumann, Michelle M.; Summerfield, Katelyn; Neumann, David L.
2015-01-01
Environmental print is composed of words and contextual cues such as logos and pictures. The salience of the contextual cues may influence attention to words and thus the potential of environmental print in promoting early reading development. The present study explored this by presenting pre-readers (n = 20) and beginning readers (n = 16) with…
Image once, print thrice? Three-dimensional printing of replacement parts.
Rankin, Timothy M; Wormer, Blair A; Miller, John D; Giovinco, Nicholas A; Al Kassis, Salam; Armstrong, David G
2018-02-01
The last 20 years has seen an exponential increase in 3D printing as it pertains to the medical industry and more specifically surgery. Previous reviews in this domain have chosen to focus on applications within a specific field. To our knowledge, none have evaluated the broad applications of patient-specific or digital imaging and communications in medicine (DICOM) derived applications of this technology. We searched PUBMED and CINAHL from April 2012 to April 2017. 261 studies fulfilled the inclusion criteria. Proportions of articles reviewed: DICOM (5%), CT (38%), MRI (20%), Ultrasonography (28%), and Bio-printing (9%). There is level IV evidence to support the use of 3D printing for education, pre-operative planning, simulation and implantation. In order to make this technology widely applicable, it will require automation of DICOM to standard tessellation language to implant. Advances in knowledge: Recent lapses in intellectual property and greater familiarity with rapid prototyping in medicine has set the stage for the next generation of custom implants, simulators and autografts. Radiologists may be able to help establish reimbursable procedural terminology.
Hong, Soonil; Kang, Hongkyu; Kim, Geunjin; Lee, Seongyu; Kim, Seok; Lee, Jong-Hoon; Lee, Jinho; Yi, Minjin; Kim, Junghwan; Back, Hyungcheol; Kim, Jae-Ryoung; Lee, Kwanghee
2016-01-05
The fabrication of organic photovoltaic modules via printing techniques has been the greatest challenge for their commercial manufacture. Current module architecture, which is based on a monolithic geometry consisting of serially interconnecting stripe-patterned subcells with finite widths, requires highly sophisticated patterning processes that significantly increase the complexity of printing production lines and cause serious reductions in module efficiency due to so-called aperture loss in series connection regions. Herein we demonstrate an innovative module structure that can simultaneously reduce both patterning processes and aperture loss. By using a charge recombination feature that occurs at contacts between electron- and hole-transport layers, we devise a series connection method that facilitates module fabrication without patterning the charge transport layers. With the successive deposition of component layers using slot-die and doctor-blade printing techniques, we achieve a high module efficiency reaching 7.5% with area of 4.15 cm(2).
Designing Biomaterials for 3D Printing.
Guvendiren, Murat; Molde, Joseph; Soares, Rosane M D; Kohn, Joachim
2016-10-10
Three-dimensional (3D) printing is becoming an increasingly common technique to fabricate scaffolds and devices for tissue engineering applications. This is due to the potential of 3D printing to provide patient-specific designs, high structural complexity, rapid on-demand fabrication at a low-cost. One of the major bottlenecks that limits the widespread acceptance of 3D printing in biomanufacturing is the lack of diversity in "biomaterial inks". Printability of a biomaterial is determined by the printing technique. Although a wide range of biomaterial inks including polymers, ceramics, hydrogels and composites have been developed, the field is still struggling with processing of these materials into self-supporting devices with tunable mechanics, degradation, and bioactivity. This review aims to highlight the past and recent advances in biomaterial ink development and design considerations moving forward. A brief overview of 3D printing technologies focusing on ink design parameters is also included.
Batch fabrication of disposable screen printed SERS arrays.
Qu, Lu-Lu; Li, Da-Wei; Xue, Jin-Qun; Zhai, Wen-Lei; Fossey, John S; Long, Yi-Tao
2012-03-07
A novel facile method of fabricating disposable and highly reproducible surface-enhanced Raman spectroscopy (SERS) arrays using screen printing was explored. The screen printing ink containing silver nanoparticles was prepared and printed on supporting materials by a screen printing process to fabricate SERS arrays (6 × 10 printed spots) in large batches. The fabrication conditions, SERS performance and application of these arrays were systematically investigated, and a detection limit of 1.6 × 10(-13) M for rhodamine 6G could be achieved. Moreover, the screen printed SERS arrays exhibited high reproducibility and stability, the spot-to-spot SERS signals showed that the intensity variation was less than 10% and SERS performance could be maintained over 12 weeks. Portable high-throughput analysis of biological samples was accomplished using these disposable screen printed SERS arrays.
International Nuclear Information System (INIS)
Gibbons, G.
1976-01-01
Recent work, which has been investigating the use of the concept of entropy with respect to gravitating systems, black holes and the universe as a whole, is discussed. The resulting theory of black holes assigns a finite temperature to them -about 10 -7 K for ordinary black holes of stellar mass -which is in complete agreement with thermodynamical concepts. It is also shown that black holes must continuously emit particles just like ordinary bodies which have a certain temperature. (U.K.)
Printed organic thin-film transistor-based integrated circuits
International Nuclear Information System (INIS)
Mandal, Saumen; Noh, Yong-Young
2015-01-01
Organic electronics is moving ahead on its journey towards reality. However, this technology will only be possible when it is able to meet specific criteria including flexibility, transparency, disposability and low cost. Printing is one of the conventional techniques to deposit thin films from solution-based ink. It is used worldwide for visual modes of information, and it is now poised to enter into the manufacturing processes of various consumer electronics. The continuous progress made in the field of functional organic semiconductors has achieved high solubility in common solvents as well as high charge carrier mobility, which offers ample opportunity for organic-based printed integrated circuits. In this paper, we present a comprehensive review of all-printed organic thin-film transistor-based integrated circuits, mainly ring oscillators. First, the necessity of all-printed organic integrated circuits is discussed; we consider how the gap between printed electronics and real applications can be bridged. Next, various materials for printed organic integrated circuits are discussed. The features of these circuits and their suitability for electronics using different printing and coating techniques follow. Interconnection technology is equally important to make this product industrially viable; much attention in this review is placed here. For high-frequency operation, channel length should be sufficiently small; this could be achievable with a combination of surface treatment-assisted printing or laser writing. Registration is also an important issue related to printing; the printed gate should be perfectly aligned with the source and drain to minimize parasitic capacitances. All-printed organic inverters and ring oscillators are discussed here, along with their importance. Finally, future applications of all-printed organic integrated circuits are highlighted. (paper)
Your Next Airplane: Just Hit Print
2013-04-01
significantly impact the market, but if properly managed, 3-D printing can revolutionize the military through three principal benefits : cost...applications arise, many of which can be tailored to either commercial benefit or military utility. For the military to steer the dialogue over the...from custom chocolate sculptures, to firearms printed in your basement, to light-weight, fuel-efficient printed cars. University research grants
Miller, M. Coleman; Colbert, E. J. M.
2004-01-01
The mathematical simplicity of black holes, combined with their links to some of the most energetic events in the universe, means that black holes are key objects for fundamental physics and astrophysics. Until recently, it was generally believed that black holes in nature appear in two broad mass ranges: stellar-mass (M~3 20 M⊙), which are produced by the core collapse of massive stars, and supermassive (M~106 1010 M⊙), which are found in the centers of galaxies and are produced by a still uncertain combination of processes. In the last few years, however, evidence has accumulated for an intermediate-mass class of black holes, with M~102 104 M⊙. If such objects exist they have important implications for the dynamics of stellar clusters, the formation of supermassive black holes, and the production and detection of gravitational waves. We review the evidence for intermediate-mass black holes and discuss future observational and theoretical work that will help clarify numerous outstanding questions about these objects.
Dramatic Advance in Quality in Flexographic Printing
Directory of Open Access Journals (Sweden)
Jochen Richter
2004-12-01
Full Text Available The enormous changes in flexography printing in recent years concerning the printing quality achievable cannot generally be ascribed to a single revolutionary invention, but are the result of continuous developments to the complete system. Thus the direct drive technology in all machine types and its associated advantages in terms of printing length corrections has become established since drupa 2000. The race for ever finer raster rolls has also been completed to the benefit of improvements in bowl geometry and in ceramic surfaces. Clearly improved colour transfer behaviour has become feasible as a result. In a closely intermeshed system such as flexography printing this naturally has to have an effect on the printing colours used. Further improvements in bonding agents and pigment concentrations now allow users to print ever thinner colour layers while maintaining all of the required authenticities.Furthermore, it has become possible to reduce additional disturbing characteristics in the UV colour area, such as the unpleasant odour. While the digital imaging of printing plates has primarily been improved in terms of economic efficiency by the use of up to eight parallel laser beams, extreme improvements in the system are noticeable especially in the area of directly engraved printing moulds. Whereas many still dismissed directly engraved polymer plates at the last drupa as a laboratory system, the first installation was recently placed on the market a mere three years later. A further noteworthy innovation of recent years that has reached market maturity is thin sleeve technology, which combines the advantages of a photopolymer plate with a round imaged printing mould. There are no high sleeve costs for each printing mould, except for one-off cost for an adapter sleeve. To conclude, it can be said that although flexography printing has experienced many new features in the time between drupa 2000 and today, it still has enormous potential for
3D Printing Electrically Small Spherical Antennas
DEFF Research Database (Denmark)
Kim, Oleksiy S.
2013-01-01
3D printing is applied for rapid prototyping of an electrically small spherical wire antenna. The model is first printed in plastic and subsequently covered with several layers of conductive paint. Measured results are in good agreement with simulations.......3D printing is applied for rapid prototyping of an electrically small spherical wire antenna. The model is first printed in plastic and subsequently covered with several layers of conductive paint. Measured results are in good agreement with simulations....
3D-printing technologies for electrochemical applications.
Ambrosi, Adriano; Pumera, Martin
2016-05-21
Since its conception during the 80s, 3D-printing, also known as additive manufacturing, has been receiving unprecedented levels of attention and interest from industry and research laboratories. This is in addition to end users, who have benefited from the pervasiveness of desktop-size and relatively cheap printing machines available. 3D-printing enables almost infinite possibilities for rapid prototyping. Therefore, it has been considered for applications in numerous research fields, ranging from mechanical engineering, medicine, and materials science to chemistry. Electrochemistry is another branch of science that can certainly benefit from 3D-printing technologies, paving the way for the design and fabrication of cheaper, higher performing, and ubiquitously available electrochemical devices. Here, we aim to provide a general overview of the most commonly available 3D-printing methods along with a review of recent electrochemistry related studies adopting 3D-printing as a possible rapid prototyping fabrication tool.
High speed printing with polygon scan heads
Stutz, Glenn
2016-03-01
To reduce and in many cases eliminate the costs associated with high volume printing of consumer and industrial products, this paper investigates and validates the use of the new generation of high speed pulse on demand (POD) lasers in concert with high speed (HS) polygon scan heads (PSH). Associated costs include consumables such as printing ink and nozzles, provisioning labor, maintenance and repair expense as well as reduction of printing lines due to high through put. Targets that are applicable and investigated include direct printing on plastics, printing on paper/cardboard as well as printing on labels. Market segments would include consumer products (CPG), medical and pharmaceutical products, universal ID (UID), and industrial products. In regards to the POD lasers employed, the wavelengths include UV(355nm), Green (532nm) and IR (1064nm) operating within the repetition range of 180 to 250 KHz.
Variable-data Printing Serves - Niches Here, There & Everywhere
Directory of Open Access Journals (Sweden)
Roger Ynostroza
2004-12-01
Full Text Available A milestone focus on high-end digital color presses capable of variable-data imaging - a technology that was introduced ten years ago and is just now at the beginning of wider, more successful implementation in commercial printing-tends to overshadow some real achievements on other variable-data fronts. Those activities involve ink-jet and electrophotographic imaging for high-volume transactional printing, print-on-demand books and catalogs, wide-format proofing and imaging, label production, and printing of text and coding of printed packaging.The capabilities of digital production color presses intrigue commercial printers the most, especially new units referred to by manufacturers as "Series II" or "third-generation" systems. Besides having more press-like characteristics, from offset-caliber quality, image consistency, and high output rates to sturdy construction, reliability, and stock choice, the units seem to represent a way to produce printing that’s beyond the norm.Some users are producing hybrid printed products (offset printing a quantity of "shells" that are later personalized by digital presses, while others are utilizing clients’ "dynamic" databases to personalize marketing materials that drive response rates up to 15%, even 35%. Finally, digital color systems prompt the creation of high-margin Internet-based print providers offering easy-to-design and easy-toorder print materials. Printers may do well to adopt the high-value communications capability that digital imaging offers.
Printing of Wearable Antenna on Textile
Directory of Open Access Journals (Sweden)
Khirotdin Rd. Khairilhijra
2018-01-01
Full Text Available A wearable antenna which is meant to be a part of the clothing used for communication purposes includes tracking, navigation and mobile computing has been seen in demand due to the recent miniaturization of wireless devices. Printing of conductive ink provides flexibility properties on electronics thus allowing it to be used on conformal surfaces. However, the current printing techniques mostly suffer from ink incompatibility and limited of substrates to be printed with. Hence, this paper intend to discloses the printing of wearable antenna using alternative technique via syringe-based deposition system with conductive ink on textile. A validation between simulation and measurement of return loss, (S11 and radiation pattern of the antenna printed is also performed. It was found that a functional antenna is successfully printed on textile since the performances obtained are as expected. The antenna resonated at a minimum resonant frequency of 1.82 GHz which the S11 gathered at-18.90 dB. The radiation pattern for both simulation and measurement is as predicted since both have a larger magnitude of the main lobe than the side lobe. The magnitude of the main lobe from measurement was observed to be 8.83 dB higher than the magnitude of the main lobe of the simulation which is only 3.77 dB. It is proven that the syringe-based deposition system is capable of printing functional antenna on textile.
Inkjet Printed Radio Frequency Passive Components
McKerricher, Garret
2015-12-01
Inkjet printing is a mature technique for colourful graphic arts. It excels at customized, large area, high resolution, and small volume production. With the developments in conductive, and dielectric inks, there is potential for large area inkjet electronics fabrication. Passive radio frequency devices can benefit greatly from a printing process, since the size of these devices is defined by the frequency of operation. The large size of radio frequency passives means that they either take up expensive space “on chip” or that they are fabricated on a separate lower cost substrate and somehow bonded to the chips. This has hindered cost-sensitive high volume applications such as radio frequency identification tags. Substantial work has been undertaken on inkjet-printed conductors for passive antennas on microwave substrates and even paper, yet there has been little work on the printing of the dielectric materials aimed at radio frequency passives. Both the conductor and dielectric need to be integrated to create a multilayer inkjet printing process that is capable of making quality passives such as capacitors and inductors. Three inkjet printed dielectrics are investigated in this thesis: a ceramic (alumina), a thermal-cured polymer (poly 4 vinyl phenol), and a UV-cured polymer (acrylic based). For the conductor, both a silver nanoparticle ink as well as a custom in-house formulated particle-free silver ink are explored. The focus is on passives, mainly capacitors and inductors. Compared to low frequency electronics, radio frequency components have additional sensitivity regarding skin depth of the conductor and surface roughness, as well as dielectric constant and loss tangent of the dielectric. These concerns are investigated with the aim of making the highest quality components possible and to understand the current limitations of inkjet-fabricated radio frequency devices. An inkjet-printed alumina dielectric that provides quality factors of 200 and high
HOLE-BLOCKING LAYERS FOR SILICON/ORGANIC HETEROJUNCTIONS: A NEW CLASS OF HIGH-EFFICIENCY LOW-COST PV
Energy Technology Data Exchange (ETDEWEB)
Sturm, James [Princeton Univ., NJ (United States)
2017-12-04
This project is the first investigation of the use of thin titanium dioxide layers on silicon as a hole-blocking / electron-transparent selective contact to silicon. The work was motivated by the goal of a high-efficiency low-cost silicon-based solar cells that could be processed entirely at low temperature (300 Degree Celsius) or less, without requiring plasma-processing.
Black hole multiplicity at particle colliders (Do black holes radiate mainly on the brane?)
International Nuclear Information System (INIS)
Cavaglia, Marco
2003-01-01
If gravity becomes strong at the TeV scale, we may have the chance to produce black holes at particle colliders. In this Letter we revisit some phenomenological signatures of black hole production in TeV-gravity theories. We show that the bulk-to-brane ratio of black hole energy loss during the Hawking evaporation phase depends crucially on the black hole greybody factors and on the particle degrees of freedom. Since the greybody factors have not yet been calculated in the literature, and the particle content at trans-Planckian energies is not known, it is premature to claim that the black hole emits mainly on the brane. We also revisit the decay time and the multiplicity of the decay products of black hole evaporation. We give general formulae for black hole decay time and multiplicity. We find that the number of particles produced during the evaporation phase may be significantly lower than the average multiplicity which has been used in the past literature
Energy Technology Data Exchange (ETDEWEB)
Hubeny, V.
2005-01-12
We investigate the geometry of four dimensional black hole solutions in the presence of stringy higher curvature corrections to the low energy effective action. For certain supersymmetric two charge black holes these corrections drastically alter the causal structure of the solution, converting seemingly pathological null singularities into timelike singularities hidden behind a finite area horizon. We establish, analytically and numerically, that the string-corrected two-charge black hole metric has the same Penrose diagram as the extremal four-charge black hole. The higher derivative terms lead to another dramatic effect--the gravitational force exerted by a black hole on an inertial observer is no longer purely attractive. The magnitude of this effect is related to the size of the compactification manifold.
Sutcliffe, James; Colborn, Kathryn L
2015-05-13
Holes in netting provide potential routes for mosquitoes to enter ITNs. Despite this, there is little information on how mosquitoes respond to holes in bed nets and how their responses are affected by hole size, shape and orientation or by ambient conditions around the net. Female Anopheles gambiae (G3) were recorded in a simulated bed net consisting of two sizes of untreated netting-covered behavioural arenas placed above and beside (to simulate the bed net roof and sides respectively) the experimenter who was a source of host cues from 'inside' the net. A round hole of 9 mm or 13 mm diameter was cut into the centre of the netting of each arena. Videos of unfed female mosquitoes in arenas were analysed for time spent flying, walking and standing still and for exit through the hole. The effects of the experimenter on temperature and relative humidity around the simulated net were also measured. Mosquitoes were significantly more active in overhead arenas than in arenas to the side. Hole passage was significantly more likely in smaller arenas than larger ones and for larger holes than smaller ones. In arenas to the side, hole passage rate through small holes was about 50% less likely than what could be explained by area alone. Passage rate through holes in overhead arenas was consistent with hole area. Temperature in arenas did not strongly reflect the experimenter's presence in the simulated net. Relative humidity and absolute humidity in overhead arenas, but not in arenas to the side, were immediately affected by experimenter presence. Higher levels of activity in overhead arenas than in arenas to the side were likely due to the rising heat and humidity plume from the experimenter. Lower than expected passage rates through smaller vertically oriented holes may have been be due to an edge effect that does not apply to horizontally oriented holes. Results suggest that current methods of assessing the importance of physical damage to ITNs may not accurately reflect
Wang, Di; Wang, Yimeng; Wang, Jianhua; Song, Changhui; Yang, Yongqiang; Zhang, Zimian; Lin, Hui; Zhen, Yongqiang; Liao, Suixiang
2016-07-22
In order to meet the clinical requirements of spine surgery, this paper proposes the fabrication of the customized template for spine surgery through computer-aided design. A 3D metal printing-selective laser melting (SLM) technique was employed to directly fabricate the 316L stainless steel template, and the metal template with tiny locating holes was used as an auxiliary tool to insert spinal screws inside the patient's body. To guarantee accurate fabrication of the template for cervical vertebra operation, the contact face was placed upwards to improve the joint quality between the template and the cervical vertebra. The joint surface of the printed template had a roughness of Ra = 13 ± 2 μm. After abrasive blasting, the surface roughness was Ra = 7 ± 0.5 μm. The surgical metal template was bound with the 3D-printed Acrylonitrile Butadiene Styrene (ABS) plastic model. The micro-hardness values determined at the cross-sections of SLM-processed samples varied from HV0.3 250 to HV0.3 280, and the measured tensile strength was in the range of 450 MPa to 560 MPa, which showed that the template had requisite strength. Finally, the metal template was clinically used in the patient's surgical operation, and the screws were inserted precisely as the result of using the auxiliary template. The geometrical parameters of the template hole (e.g., diameter and wall thickness) were optimized, and measures were taken to optimize the key geometrical units (e.g., hole units) in metal 3D printing. Compared to the traditional technology of screw insertion, the use of the surgical metal template enabled the screws to be inserted more easily and accurately during spinal surgery. However, the design of the high-quality template should fully take into account the clinical demands of surgeons, as well as the advice of the designing engineers and operating technicians.
Kuglstatter, A; Stihle, M; Neumann, C; Müller, C; Schaefer, W; Klein, C; Benz, J
2017-09-01
An increasing number of bispecific therapeutic antibodies are progressing through clinical development. The Knob-into-Hole (KiH) technology uses complementary mutations in the CH3 region of the antibody Fc fragment to achieve heavy chain heterodimerization. Here we describe the X-ray crystal structures of glycosylated and disulfide-engineered heterodimeric KiH Fc fragment and its homodimeric Knob-Knob and Hole-Hole side products. The heterodimer structure confirms the KiH design principle and supports the hypothesis that glycosylation stabilizes a closed Fc conformation. Both homodimer structures show parallel Fc fragment architectures, in contrast to recently reported crystal structures of the corresponding aglycosylated Fc fragments which in the absence of disulfide mutations show an unexpected antiparallel arrangement. The glycosylated Knob-Knob Fc fragment is destabilized as indicated by variability in the relative orientation of its CH3 domains. The glycosylated Hole-Hole Fc fragment shows an unexpected intermolecular disulfide bond via the introduced Y349C Hole mutation which results in a large CH3 domain shift and a new CH3-CH3 interface. The crystal structures of glycosylated, disulfide-linked KiH Fc fragment and its Knob-Knob and Hole-Hole side products reported here will facilitate further design of highly efficient antibody heterodimerization strategies. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.