WorldWideScience

Sample records for contact hole etching

  1. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  2. Design of etch holes to compensate spring width loss for reliable resonant frequencies

    International Nuclear Information System (INIS)

    Jang, Yun-Ho; Kim, Jong-Wan; Kim, Yong-Kweon; Kim, Jung-Mu

    2012-01-01

    A pattern width loss during the fabrication of lateral silicon resonators degrades resonant frequency reliability since such a width loss causes the significant deviation of spring stiffness. Here we present a design guide for etch holes to obtain reliable resonant frequencies by controlling etch holes geometries. The new function of an etch hole is to generate the comparable amount of the width loss between springs and etch holes, in turn to minimize the effect of the spring width loss on resonant frequency shift and deviation. An analytic expression reveals that a compensation factor (CF), defined by the circumference (C u ) of a unit etch hole divided by its silicon area (A u ), is a key parameter for reliable frequencies. The protrusive etch holes were proposed and compared with square etch holes to demonstrate the frequency reliability according to CF values and etch hole shapes. The normalized resonant frequency shift and deviation of the protrusive etch hole (−13.0% ± 6.9%) were significantly improved compared to those of a square etch hole with a small CF value (−42.8% ± 14.8%). The proposed design guide based on the CF value and protrusive shapes can be used to achieve reliable resonant frequencies for high performance silicon resonators. (technical note)

  3. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    Directory of Open Access Journals (Sweden)

    Woong-Kirl Choi

    2018-01-01

    Full Text Available Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks.

  4. Eliminating dependence of hole depth on aspect ratio by forming ammonium bromide during plasma etching of deep holes in silicon nitride and silicon dioxide

    Science.gov (United States)

    Iwase, Taku; Yokogawa, Kenetsu; Mori, Masahito

    2018-06-01

    The reaction mechanism during etching to fabricate deep holes in SiN/SiO2 stacks by using a HBr/N2/fluorocarbon-based gas plasma was investigated. To etch SiN and SiO2 films simultaneously, HBr/fluorocarbon gas mixture ratio was controlled to achieve etching selectivity closest to one. Deep holes were formed in the SiN/SiO2 stacks by one-step etching at several temperatures. The surface composition of the cross section of the holes was analyzed by time-of-flight secondary-ion mass spectrometry. It was found that bromine ions (considered to be derived from NH4Br) were detected throughout the holes in the case of low-temperature etching. It was also found that the dependence of hole depth on aspect ratio decreases as temperature decreases, and it becomes significantly weaker at a substrate temperature of 20 °C. It is therefore concluded that the formation of NH4Br supplies the SiN/SiO2 etchant to the bottom of the holes. Such a finding will make it possible to alleviate the decrease in etching rate due to a high aspect ratio.

  5. Etched-hole formation in LR-115 cellulose nitrate detector irradiated with fast neutrons

    International Nuclear Information System (INIS)

    Sawamura, Teruko; Yamazaki, Hatsuo

    1988-01-01

    This paper deals with the neutron detection sensitivity of LR-115 cellulose nitrate by counting the etched holes of α-tracks produced by the (n,α) reactions of the constituent nuclei of the cellulose nitrate. A formula for the etched-hole formation efficiency is derived, and applied to obtain the efficiency for each of the (n,α) reactions of 14 N, 16 O and 12 C by using an experimental expression relating the track-to-bulk etch-rate ratio to the residual range of the α-particle. From the efficiencies obtained, and the reaction cross sections, the neutron detection sensitivity is evaluated against neutron energy up to 11 MeV, and compared with the experimental values in the energy region between 2.2 and 5 MeV; the agreement is fairly good in the region. (author)

  6. A numerical model for etching through a circular hole

    International Nuclear Information System (INIS)

    Rath, P; Chai, J C; Zheng, H; Lam, Y C; Murukeshan, V M

    2006-01-01

    A numerical model based on the total concentration of etchant is proposed to model the wet chemical etching through a circular hole. The reaction at the etchant-substrate interface is assumed to be infinitely fast i.e. etching is controlled by the diffusion of etchant to the interface. The proposed model is based on a fixed-grid approach analogous to the enthalpy method. The total concentration of etchant is the sum of the unreacted etchant concentration and the reacted etchant concentration. The reacted concentration of etchant is a measure of the etchfront position during etching. The governing mass diffusion equation based on the total concentration of etchant includes the interface condition. The etchfront position is found implicitly using the proposed approach. The computational domain is fixed, which includes the whole etchant and substrate domain including the mask region. For demonstration purposes, the finite volume method is used to solve the governing mass diffusion equation with prescribed initial and boundary conditions. The effect of mask thickness and initial etchant concentration on the shape evolution of etchfront is studied

  7. CoSix contact resistance after etching and ashing plasma exposure

    International Nuclear Information System (INIS)

    Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya

    2009-01-01

    The authors investigated the contact resistance fluctuation caused by CoSi x damage in plasma etching and ashing processes. They found that CoSi x layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH 3 F is used instead of CF 4 during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H 2 /N 2 ashing process in which O 2 was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi x . This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.

  8. Conformal coating by photoresist of sharp corners of anisotropically etched through-holes in silicon

    DEFF Research Database (Denmark)

    Heschel, Matthias; Bouwstra, Siebe

    1997-01-01

    The authors describe a photoresist treatment yielding conformal coating of three-dimensional silicon structures. This even includes the sharp corners of through-holes obtained by anisotropic etching in (100)-silicon. Resist reflow from these corners is avoided by replacing the common baking...

  9. CD-SEM metrology of spike detection on sub-40 nm contact holes

    Science.gov (United States)

    Momonoi, Yoshinori; Osabe, Taro; Yamaguchi, Atsuko; Mclellan Martin, Erin; Koyanagi, Hajime; Colburn, Matthew E.; Torii, Kazuyoshi

    2010-03-01

    In this work, for the purpose of contact-hole process control, new metrics for contact-hole edge roughness (CER) are being proposed. The metrics are correlated to lithographic process variation which result in increased electric fields; a primary driver of time-dependent dielectric breakdown (TDDB). Electric field strength at the tip of spoke-shaped CER has been simulated; and new hole-feature metrics have been introduced. An algorithm for defining critical features like spoke angle, spoke length, etc has been defined. In addition, a method for identifying at-risk holes has been demonstrated. The number of spike holes can determine slight defocus conditions that are not detected though the conventional CER metrics. The newly proposed metrics can identify contact holes with a propensity for increased electric field concentration and are expected to improve contact-hole reliability in the sub-40-nm contact-hole process.

  10. The Role of III-V Substrate Roughness and Deoxidation Induced by Digital Etch in Achieving Low Resistance Metal Contacts

    Directory of Open Access Journals (Sweden)

    Florent Ravaux

    2017-06-01

    Full Text Available To achieve low contact resistance between metal and III-V material, transmission-line-model (TLM structures of molybdenum (Mo were fabricated on indium phosphide (InP substrate on the top of an indium gallium arsenide (InGaAs layer grown by molecular beam epitaxy. The contact layer was prepared using a digital etch procedure before metal deposition. The contact resistivity was found to decrease significantly with the cleaning process. High Resolution Transmission & Scanning Electron Microscopy (HRTEM & HRSTEM investigations revealed that the surface roughness of treated samples was increased. Further analysis of the metal-semiconductor interface using Energy Electron Loss Spectroscopy (EELS showed that the amount of oxides (InxOy, GaxOy or AsxOy was significantly decreased for the etched samples. These results suggest that the low contact resistance obtained after digital etching is attributed to the combined effects of the induced surface roughness and oxides removal during the digital etch process.

  11. Influence of hole transport material/metal contact interface on perovskite solar cells

    Science.gov (United States)

    Lei, Lei; Zhang, Shude; Yang, Songwang; Li, Xiaomin; Yu, Yu; Wei, Qingzhu; Ni, Zhichun; Li, Ming

    2018-06-01

    Interfaces have a significant impact on the performance of perovskite solar cells. This work investigated the influence of hole transport material/metal contact interface on photovoltaic behaviours of perovskite solar devices. Different hole material/metal contact interfaces were obtained by depositing the metal under different conditions. High incident kinetic energy metal particles were proved to penetrate and embed into the hole transport material. These isolated metal particles in hole transport materials capture holes and increase the apparent carrier transport resistance of the hole transport layer. Sample temperature was found to be of great significance in metal deposition. Since metal vapour has a high temperature, the deposition process accumulated a large amount of heat. The heat evaporated the additives in the hole transport layer and decreased the hole conductivity. On the other hand, high temperature may cause iodization of the metal contact.

  12. CoSi{sub x} contact resistance after etching and ashing plasma exposure

    Energy Technology Data Exchange (ETDEWEB)

    Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya [Nagasaki Production Division 1, Sony Semiconductor Kyushu Corporation, 1883-43 Tsukuba-machi, Isahaya-shi, Nagasaki 854-0065 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan)

    2009-07-15

    The authors investigated the contact resistance fluctuation caused by CoSi{sub x} damage in plasma etching and ashing processes. They found that CoSi{sub x} layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH{sub 3}F is used instead of CF{sub 4} during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H{sub 2}/N{sub 2} ashing process in which O{sub 2} was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi{sub x}. This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.

  13. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  14. Measurement of contact angle in a clearance-fit pin-loaded hole

    Science.gov (United States)

    Prabhakaran, R.; Naik, R. A.

    1986-01-01

    A technique which measures load-contact variation in a clearance-fit, pin-loaded hole is presented in detail. A steel instrumented pin, which activates a make-or-break electrical circuit in the pin-hole contact region, was inserted into one aluminum and one polycarbonate specimen. The resulting load-contact variations are indicated schematically. The ability to accurately determine the arc of contact at any load was crucial to this measurement. It is noted that this simple experimental technique is applicable to both conducting and nonconducting materials.

  15. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  16. Process and structures for fabrication of solar cells with laser ablation steps to form contact holes

    Science.gov (United States)

    Harley, Gabriel; Smith, David D; Dennis, Tim; Waldhauer, Ann; Kim, Taeseok; Cousins, Peter John

    2013-11-19

    Contact holes of solar cells are formed by laser ablation to accomodate various solar cell designs. Use of a laser to form the contact holes is facilitated by replacing films formed on the diffusion regions with a film that has substantially uniform thickness. Contact holes may be formed to deep diffusion regions to increase the laser ablation process margins. The laser configuration may be tailored to form contact holes through dielectric films of varying thickness.

  17. Uniform nano-ripples on the sidewall of silicon carbide micro-hole fabricated by femtosecond laser irradiation and acid etching

    Energy Technology Data Exchange (ETDEWEB)

    Khuat, Vanthanh [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China); Le Quy Don Technical University, No. 100, Hoang Quoc Viet Street, Hanoi 7EN-248 (Viet Nam); Chen, Tao; Gao, Bo; Si, Jinhai, E-mail: jinhaisi@mail.xjtu.edu.cn; Ma, Yuncan; Hou, Xun [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China)

    2014-06-16

    Uniform nano-ripples were observed on the sidewall of micro-holes in silicon carbide fabricated by 800-nm femtosecond laser and chemical selective etching. The morphology of the ripple was analyzed using scanning electronic microscopy. The formation mechanism of the micro-holes was attributed to the chemical reaction of the laser affected zone with mixed solution of hydrofluoric acid and nitric acid. The formation of nano-ripples on the sidewall of the holes could be attributed to the standing wave generated in z direction due to the interference between the incident wave and the reflected wave.

  18. Interface and transport properties of metallization contacts to flat and wet-etching roughed N-polar n-type GaN.

    Science.gov (United States)

    Wang, Liancheng; Liu, Zhiqiang; Guo, Enqing; Yang, Hua; Yi, Xiaoyan; Wang, Guohong

    2013-06-26

    The electrical characteristics of metallization contacts to flat (F-sample, without wet-etching roughed) and wet-etching roughed (R-sample) N-polar (Nitrogen-polar) n-GaN have been investigated. R-sample shows higher contact resistance (Rc) to Al/Ti/Au (~2.5 × 10(-5) Ω·cm(2)) and higher Schottky barriers height (SBH, ~0.386 eV) to Ni/Au, compared with that of F-sample (~1.3 × 10(-6) Ω·cm(2), ~0.154 eV). Reasons accounting for this discrepancy has been detail investigated and discussed: for R-sample, wet-etching process caused surface state and spontaneous polarization variation will degraded its electrical characteristics. Metal on R-sample shows smoother morphology, however, the effect of metal deposition state on electrical characteristics is negligible. Metallization contact area for both samples has also been further considered. Electrical characteristics of metallization contact to both samples show degradation upon annealing. The VLED chip (1 mm × 1 mm), which was fabricated on the basis of a hybrid scheme, coupling the advantage of F- and R-sample, shows the lowest forward voltage (2.75 V@350 mA) and the highest light output power.

  19. Predictable topography simulation of SiO2 etching by C5F8 gas combined with a plasma simulation, sheath model and chemical reaction model

    International Nuclear Information System (INIS)

    Takagi, S; Onoue, S; Iyanagi, K; Nishitani, K; Shinmura, T; Kanoh, M; Itoh, H; Shioyama, Y; Akiyama, T; Kishigami, D

    2003-01-01

    We have developed a simulation for predicting reactive ion etching (RIE) topography, which is a combination of plasma simulation, the gas reaction model, the sheath model and the surface reaction model. The simulation is applied to the SiO 2 etching process of a high-aspect-ratio contact hole using C 5 F 8 gas. A capacitively coupled plasma (CCP) reactor of an 8-in. wafer was used in the etching experiments. The baseline conditions are RF power of 1500 W and gas pressure of 4.0 Pa in a gas mixture of Ar, O 2 and C 5 F 8 . The plasma simulation reproduces the tendency that CF 2 radical density increases rapidly and the electron density decreases gradually with increasing gas flow rate of C 5 F 8 . In the RIE topography simulation, the etching profiles such as bowing and taper shape at the bottom are reproduced in deep holes with aspect ratios greater than 19. Moreover, the etching profile, the dependence of the etch depth on the etching time, and the bottom diameter can be predicted by this simulation

  20. Impact of recess etching and surface treatments on ohmic contacts regrown by molecular-beam epitaxy for AlGaN/GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Joglekar, S.; Azize, M.; Palacios, T. [Microsystems Technology Laboratories, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139 (United States); Beeler, M.; Monroy, E. [Université Grenoble-Alpes, 38000 Grenoble (France); CEA Grenoble, INAC-PHELIQS, 38000 Grenoble (France)

    2016-07-25

    Ohmic contacts fabricated by regrowth of n{sup +} GaN are favorable alternatives to metal-stack-based alloyed contacts in GaN-based high electron mobility transistors. In this paper, the influence of reactive ion dry etching prior to regrowth on the contact resistance in AlGaN/GaN devices is discussed. We demonstrate that the dry etch conditions modify the surface band bending, dangling bond density, and the sidewall depletion width, which influences the contact resistance of regrown contacts. The impact of chemical surface treatments performed prior to regrowth is also investigated. The sensitivity of the contact resistance to the surface treatments is found to depend upon the dangling bond density of the sidewall facets exposed after dry etching. A theoretical model has been developed in order to explain the observed trends.

  1. Selective laser etching or ablation for fabrication of devices

    KAUST Repository

    Buttner, Ulrich

    2017-01-12

    Methods of fabricating devices vial selective laser etching are provided. The methods can include selective laser etching of a portion of a metal layer, e.g. using a laser light source having a wavelength of 1,000 nm to 1,500 nm. The methods can be used to fabricate a variety of features, including an electrode, an interconnect, a channel, a reservoir, a contact hole, a trench, a pad, or a combination thereof. A variety of devices fabricated according to the methods are also provided. In some aspects, capacitive humidity sensors are provided that can be fabricated according to the provided methods. The capacitive humidity sensors can be fabricated with intricate electrodes, e.g. having a fractal pattern such as a Peano curve, a Hilbert curve, a Moore curve, or a combination thereof.

  2. Growth of fine holes in polyethylenenaphthalate film irradiated by fission fragments

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.

    1976-01-01

    Growth of fine holes by chemical etching in polyethylenenaphthalate films exposed to fission fragments were examined by measuring gas flow through the films. The etching rate along tracks, the radial etching rate, and the bulk etching rate were determined at effective hole diameters of 100 to 1000 A and hole densities of approximately 10 8 cm -2 . The effects of ethanol and surfactants on the etching rates were studied from the viewpoint of attaining less-tapered holes

  3. Growth of fine holes in polyethyleneterephthalate film irradiated by fission fragments

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.

    1975-01-01

    Growth of fine holes by chemical etching in polyethyleneterephthalate films exposed to fission fragments were followed by measuring gas flow through films. The etching rate along tracks and the radial etching rate were determined at hole diameters of 100--3000 A and hole densities of 10 6 --10 8 /cm 2

  4. Equipment for electrochemical etching of dielectric track detectors

    International Nuclear Information System (INIS)

    Turek, K.; Novak, M.

    1992-01-01

    The facility is designed for electrochemical etching of solid state track detectors, devised for thicknesses in excess of 200 μm as employed for direct detection of charged particles or neutrons. The device consists of a high-voltage a.c. supply for the electrodes and an assembly whose body consists of a flat tank, on the surface of which is formed a channel for feeding the heating and cooling media. The tank is covered by a gasket, an earthed metal plate for the detector, a mask with holes determining the shape of the etched area, and a pressure plate. The pressure plate is fitted with a system of holes for the etching solution. Needle-shaped high-voltage electrodes are accommodated in the holes of the mask. The underlying principle of the invention consists in the fact that a rubber pad with guide holes for the needle-shaped electrodes lies on the pressure plate. Each electrode is composed of a central tip and an outer jacket, separated by an insulating layer; connection is provided by a light emitting diode. (Z.S.). 2 figs

  5. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  6. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  7. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  8. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  9. Performance improvement inpolymer-based thin film transistor using modified bottom-contact structures with etched SiO{sub 2} layers

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jeong Woo [R and D Center, Samsung Corning Precision Materials Co., Ltd, Asan (Korea, Republic of); You, Young Jun; Shim, Jae Won [Dept. of Electronics and Electrical Engineering, Dongguk University-Seoul, Seoul (Korea, Republic of)

    2017-02-15

    Polymer-based thin film transistors (TFTs) with a modified bottom-contact structure and etched SiO{sub 2} layer were developed and investigated. An increase in the field-effect mobility in the developed TFTs compared to TFTs with a normal bottom-contact structure was ascertained. A bottom-contact structure and the photolithographic processing method were used to ensure that the developed TFTs were suitable for commercial applications. Increased mobility of the modified bottom-contact structure was attributed to direct contact of the Au electrode with the active polymer layer.

  10. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  11. Improvement of the optical quality of site-controlled InAs quantum dots by a double stack growth technique in wet-chemically etched holes

    Energy Technology Data Exchange (ETDEWEB)

    Pfau, Tino Johannes; Gushterov, Aleksander; Reithmaier, Johann-Peter [Technische Physik, INA, Universitaet Kassel (Germany); Cestier, Isabelle; Eisenstein, Gadi [Electrical Engineering Dept., Technion, Haifa (Israel); Linder, Evgany; Gershoni, David [Solid State Institute and Physics Dept., Technion, Haifa (Israel)

    2010-07-01

    The optimization of the wet-chemically etching of holes and a special MBE growth stack technique allows enlarging the site-control of low density InAs QDs on GaAs substrates up to a buffer layer thickness of 55 nm. The strain of InAs QDs, grown in the etched holes, reduces the hole closing, so that a pre-patterned surface is conserved for the second QD layer. The distance of 50 nm GaAs between the two QD layers exceeds drastically the maximum vertical alignment based on pure strain coupling (20 nm). Compared to stacks with several QD layers, this method avoids electronic coupling between the different QD layers and reduces the problems to distinguish the dots of different layers optically. Confocal microphotoluminescence reveals a significant diminution of the low temperature photoluminescence linewidth of the second InAs QD layer to an average value of 505{+-}53 {mu}eV and a minimum width of 460 {mu}eV compared to 2 to 4 meV for QDs grown on thin buffer layers. The increase of the buffer layer thickness decreases the influence of the surface defects caused by prepatterning.

  12. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  13. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  14. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  15. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  16. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  17. Electro-optical properties of a polymer light-emitting diode with an injection-limited hole contact

    NARCIS (Netherlands)

    van Woudenbergh, T; Blom, PWM; Huiberts, JN

    2003-01-01

    The electro-optical characteristics of a polymer light-emitting diode with a strongly reduced hole injection have been investigated. A silver contact on poly-dialkoxy-p-phenylene vinylene decreases the hole injection by five orders of magnitude, resulting in both a highly reduced light output and

  18. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  19. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  20. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  1. Third-order particle-hole ring diagrams with contact-interactions and one-pion exchange

    Energy Technology Data Exchange (ETDEWEB)

    Kaiser, N. [Technische Universitaet Muenchen, Physik-Department T39, Garching (Germany)

    2017-05-15

    The third-order particle-hole ring diagrams are evaluated for a NN-contact interaction of the Skyrme type. The pertinent four-loop coefficients in the energy per particle anti E(k{sub f}) ∝ k{sub f}{sup 5+2n} are reduced to double integrals over cubic expressions in Euclidean polarization functions. Dimensional regularization of divergent integrals is performed by subtracting power divergences and the validity of this method is checked against the known analytical results at second order. The complete O(p{sup 2}) NN-contact interaction is obtained by adding two tensor terms and their third-order ring contributions are also calculated in detail. The third-order ring energy arising from long-range 1π-exchange is computed and it is found that direct and exchange contributions are all attractive. The very large size of the three-ring energy due to point-like 1π-exchange, anti E(k{sub f0}) ≅ -92 MeV at saturation density, is however in no way representative for that of realistic chiral NN-potentials. Moreover, the third-order (particle-particle and hole-hole) ladder diagrams are evaluated with the full O(p{sup 2}) contact interaction, and the simplest three-ring contributions to the isospin-asymmetry energy A(k{sub f}) ∝ k{sub f}{sup 5} are studied. (orig.)

  2. Ultra-low contact resistance in graphene devices at the Dirac point

    Science.gov (United States)

    Anzi, Luca; Mansouri, Aida; Pedrinazzi, Paolo; Guerriero, Erica; Fiocco, Marco; Pesquera, Amaia; Centeno, Alba; Zurutuza, Amaia; Behnam, Ashkan; Carrion, Enrique A.; Pop, Eric; Sordan, Roman

    2018-04-01

    Contact resistance is one of the main factors limiting performance of short-channel graphene field-effect transistors (GFETs), preventing their use in low-voltage applications. Here we investigated the contact resistance between graphene grown by chemical vapor deposition (CVD) and different metals, and found that etching holes in graphene below the contacts consistently reduced the contact resistance, down to 23 Ω \\cdot μ m with Au contacts. This low contact resistance was obtained at the Dirac point of graphene, in contrast to previous studies where the lowest contact resistance was obtained at the highest carrier density in graphene (here 200 Ω \\cdot μ m was obtained under such conditions). The ‘holey’ Au contacts were implemented in GFETs which exhibited an average transconductance of 940 S m-1 at a drain bias of only 0.8 V and gate length of 500 nm, which out-perform GFETs with conventional Au contacts.

  3. Patterning 45nm flash/DRAM contact hole mask with hyper-NA immersion lithography and optimized illumination

    Science.gov (United States)

    Chen, Ting; Van Den Broeke, Doug; Hsu, Stephen; Park, Sangbong; Berger, Gabriel; Coskun, Tamer; de Vocht, Joep; Corcoran, Noel; Chen, Fung; van der Heijden, Eddy; Finders, Jo; Engelen, Andre; Socha, Robert

    2006-03-01

    Patterning contact-hole mask for Flash/DRAM is probably one of the most challenging tasks for design rule below 50nm due to the extreme low-k I printing conditions common in the memory designs. When combined with optical proximity corrections (OPC) to the mask, using optimized illumination has become a viable part of the production lithography process for 65nm node. At k Ipitch design rules. Here we use 6% attPSM mask for simulation and actual exposure in ASML XT 1400i (NA=0.93) and 1700i (NA=1.2) respectively. We begin with the illumination source optimization using full vector high-NA calculation (VHNA) with production resist stack and all manufacturability requirements for the source shaping diffractive optical element (DOE) are accounted for during the source optimization. Using the optimized source, IML TM technology based scattering bars (SB) placement together with model based OPC (MOPC) are applied to the original contact-hole design. In-focus printing and process latitude simulations are used to gauge the performance and manufacturability of the final optimized process, which includes the optimized mask, optimized source and required imaging settings. Our results show that for the 130nm pitch Flash contact-hole patterns, on ASML XT 1400i at NA=0.93, both optimized illumination source and immersion lithography are necessary in order to achieve manufacturability. The worst-case depth of focus (DOF) before SB and MOPC is 100-130nm at 6% EL, without common process window (PW) and with MOPC, the worst-case DOF is >200nm at 6% EL. The latter is in excellent agreement with the wafer results from ASML XT 1400i, and the predicated CDs match well with the measured at isolated, medium and dense pitch contact-holes to within 5nm. For the 120nm pitch Flash contact patterns, ASML XT 1700i at NA=1.2 must be used, together with optimized illumination source, to achieve the same or better process latitude (worst-case DOF at 6% EL), and for the Flash pattern used, further

  4. Refractive Index Sensor Using a Two-Hole Fiber

    Energy Technology Data Exchange (ETDEWEB)

    Lopez-Cortes, D; Sanchez-Mondragon, J J [Photonics and Optical Physics Laboratory, Optics Department, INAOE Apdo. Postal 51 and 216, Tonantzintla, Puebla 72000 (Mexico); Margulis, W [Department Fiber Photonics, ACREO, Electrum 236, 16440 Stockholm (Sweden); Dominguez-Cruz, R; May-Arrioja, D A, E-mail: darrioja@uat.edu.mx [Depto. de Ingenieria Electronica, UAM Reynosa Rodhe, Universidad Autonoma de Tamaulipas, Carr. Reynosa-San Fernando S/N, Reynosa, Tamaulipas 88779 (Mexico)

    2011-01-01

    We propose to use a twin-hole fiber to measure refractive index of liquids. The key idea is to have a single mode fiber (SMF) having two large air-holes running along the fiber length, the holes do not interact with the core. However, using wet chemical etching we can have access to the hole around the fiber, and further etching increases the holes diameter. The diameter is increased until the fiber exhibits a specific birefringence. Since the holes are open, by immersing the fiber in different liquids (n=1.33 to n=1.42) the value of the birefringence is modified and the refractive index of the liquid can be estimated from the change on the beat length. This process provides a very simple and highly sensitive mechanism for sensing refractive index in liquids, and can also be used for other applications.

  5. Ultra-High-Efficiency Apodized Grating Coupler Using a Fully Etched Photonic Crystal

    DEFF Research Database (Denmark)

    Ding, Yunhong; Peucheret, Christophe; Ou, Haiyan

    2013-01-01

    We demonstrate an apodized fiber-to-chip grating coupler using fully etched photonic crystal holes on the silicon-on-insulator platform. An ultra-high coupling efficiency of 1.65 dB (68%) with 3 dB bandwidth of 60 nm is experimentally demonstrated.......We demonstrate an apodized fiber-to-chip grating coupler using fully etched photonic crystal holes on the silicon-on-insulator platform. An ultra-high coupling efficiency of 1.65 dB (68%) with 3 dB bandwidth of 60 nm is experimentally demonstrated....

  6. Toward Annealing-Stable Molybdenum-Oxide-Based Hole-Selective Contacts For Silicon Photovoltaics

    KAUST Repository

    Essig, Stephanie

    2018-02-21

    Molybdenum oxide (MoOX) combines a high work function with broadband optical transparency. Sandwiched between a hydrogenated intrinsic amorphous silicon passivation layer and a transparent conductive oxide, this material allows a highly efficient hole-selective front contact stack for crystalline silicon solar cells. However, hole extraction from the Si wafer and transport through this stack degrades upon annealing at 190 °C, which is needed to cure the screen-printed Ag metallization applied to typical Si solar cells. Here, we show that effusion of hydrogen from the adjacent layers is a likely cause for this degradation, highlighting the need for hydrogen-lean passivation layers when using such metal-oxide-based carrier-selective contacts. Pre-MoOX-deposition annealing of the passivating a-Si:H layer is shown to be a straightforward approach to manufacturing MoOX-based devices with high fill factors using screen-printed metallization cured at 190 °C.

  7. Amorphous germanium as an electron or hole blocking contact on high-purity germanium detectors

    International Nuclear Information System (INIS)

    Hansen, W.L.; Haller, E.E.

    1976-10-01

    Experiments were performed in an attempt to make thin n + contacts on high-purity germanium by the solid phase/sup 1)/ epitaxial regrowth of arsenic doped amorphous germanium. After cleaning the crystal surface with argon sputtering and trying many combinations of layers, it was not found possible to induce recrystallization below 400 0 C. However, it was found that simple thermally evaporated amorphous Ge made fairly good electron or hole blocking contacts. Excellent spectrometers have been made with amorphous Ge replacing the n + contact. As presently produced, the amorphous Ge contact diodes show a large variation in high-voltage leakage current

  8. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  9. Detection and Control of Spin-Orbit Interactions in a GaAs Hole Quantum Point Contact

    Science.gov (United States)

    Srinivasan, A.; Miserev, D. S.; Hudson, K. L.; Klochan, O.; Muraki, K.; Hirayama, Y.; Reuter, D.; Wieck, A. D.; Sushkov, O. P.; Hamilton, A. R.

    2017-04-01

    We investigate the relationship between the Zeeman interaction and the inversion-asymmetry-induced spin-orbit interactions (Rashba and Dresselhaus SOIs) in GaAs hole quantum point contacts. The presence of a strong SOI results in the crossing and anticrossing of adjacent spin-split hole subbands in a magnetic field. We demonstrate theoretically and experimentally that the anticrossing energy gap depends on the interplay between the SOI terms and the highly anisotropic hole g tensor and that this interplay can be tuned by selecting the crystal axis along which the current and magnetic field are aligned. Our results constitute the independent detection and control of the Dresselhaus and Rashba SOIs in hole systems, which could be of importance for spintronics and quantum information applications.

  10. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  11. Improved Ohmic-contact to AlGaN/GaN using Ohmic region recesses by self-terminating thermal oxidation assisted wet etching technique

    Science.gov (United States)

    Liu, J.; Wang, J.; Wang, H.; Zhu, L.; Wu, W.

    2017-06-01

    Lower Ti/Al/Ni/Au Ohmic contact resistance on AlGaN/GaN with wider rapid thermal annealing (RTA) temperature window was achieved using recessed Ohmic contact structure based on self-terminating thermal oxidation assisted wet etching technique (STOAWET), in comparison with conventional Ohmic contacts. Even at lower temperature such as 650°C, recessed structure by STOAWET could still obtain Ohmic contact with contact resistance of 1.97Ω·mm, while conventional Ohmic structure mainly featured as Schottky contact. Actually, both Ohmic contact recess and mesa isolation processes could be accomplished by STOAWET in one process step and the process window of STOAWET is wide, simplifying AlGaN/GaN HEMT device process. Our experiment shows that the isolation leakage current by STOAWET is about one order of magnitude lower than that by inductivity coupled plasma (ICP) performed on the same wafer.

  12. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  13. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  14. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  15. Transport Properties of an Electron-Hole Bilayer in Contact with a Superconductor Hybrid Junction

    Science.gov (United States)

    Bercioux, D.; Klapwijk, T. M.; Bergeret, F. S.

    2017-08-01

    We investigate the transport properties of a junction consisting of an electron-hole bilayer in contact with normal and superconducting leads. The electron-hole bilayer is considered as a semimetal with two electronic bands. We assume that in the region between the contacts the system hosts an exciton condensate described by a BCS-like model with a gap Γ in the quasiparticle density of states. We first discuss how the subgap electronic transport through the junction is mainly governed by the interplay between two kinds of reflection processes at the interfaces: the standard Andreev reflection at the interface between the superconductor and the exciton condensate, and a coherent crossed reflection at the semimetal-exciton-condensate interface that converts electrons from one layer into the other. We show that the differential conductance of the junction shows a minimum at voltages of the order of Γ /e . Such a minimum can be seen as a direct hallmark of the existence of the gapped excitonic state.

  16. An investigation of highly accurate and precise robotic hole measurements using non-contact devices

    Directory of Open Access Journals (Sweden)

    Usman Zahid

    2016-01-01

    Full Text Available Industrial robots arms are widely used in manufacturing industry because of their support for automation. However, in metrology, robots have had limited application due to their insufficient accuracy. Even using error compensation and calibration methods, robots are not effective for micrometre (μm level metrology. Non-contact measurement devices can potentially enable the use of robots for highly accurate metrology. However, the use of such devices on robots has not been investigated. The research work reported in this paper explores the use of different non-contact measurement devices on an industrial robot. The aim is to experimentally investigate the effects of robot movements on the accuracy and precision of measurements. The focus has been on assessing the ability to accurately measure various geometric and surface parameters of holes despite the inherent inaccuracies of industrial robot. This involves the measurement of diameter, roundness and surface roughness. The study also includes scanning of holes for measuring internal features such as start and end point of a taper. Two different non-contact measurement devices based on different technologies are investigated. Furthermore, effects of eccentricity, vibrations and thermal variations are also assessed. The research contributes towards the use of robots for highly accurate and precise robotic metrology.

  17. Method for Cleaning Laser-Drilled Holes on Printed Wiring Boards by Plasma Treatment

    Science.gov (United States)

    Hirogaki, Toshiki; Aoyama, Eiichi; Minagi, Ryu; Ogawa, Keiji; Katayama, Tsutao; Matsuoka, Takashi; Inoue, Hisahiro

    We propose a new method for cleaning blind via holes after laser drilling of PWBs using oxygen plasma treatment. This report dealt with three kinds of PWB materials: epoxy resin and two kinds of aramid fiber reinforced plastics (AFRP: Technora or Kevlar fiber reinforcement). We observed the drilled holes after plasma treatment using both an optical and a scanning electric microscope (SEM). It was confirmed that adequate etching took place in the drilled holes by plasma treatment. We also compared the hole wall and hole bottom after plasma treatment with ones after chemical etching. It was clear that there was no damage to the aramid fiber tip on the hole wall, and that a smooth roughness of the hole wall was obtained by means of plasma treatment. As a result, we demonstrated that the plasma treatment is effective in cleaning the laser drilled holes of PWBs.

  18. Change of wettability of PTFE surface by sputter etching and excimer laser. Sputter etching oyobi excimer laser ni yoru PTFE hyomen no shinsuika

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, S. (Nitto Denko Corp., Osaka (Japan)); Kubo, U. (Kinki University, Osaka (Japan))

    1994-06-20

    The wettability of PTFE (polytetrafluoroethylene) surfaces was improved by sputter etching and excimer laser irradiation. In sputter etching, the PTFE surface was treated by reactive sputter etching with H2O gas to give active groups on the surface. In laser irradiation, the surface was irradiated in pure water by high-energy KrF excimer laser. As the surface wettability was evaluated with a contact angle to water, the contact angle decreased remarkably in both treatments resulting in a good improvement effect. In sputter etching, various new chemical bonds such as F-C=O, F2C-FC-O, F2C-C-O and C-O were observed because of a decrease in F and incorporation of oxygen. Such chemical bonds could be eliminated by ultraviolet ray irradiation, and the treated surface condition approached the initial condition after irradiation of 200 hours. In laser irradiation, it was suggested that C-F bonds were broken, and OH groups were added to the surface by dissociation of H2O to H and OH. 7 refs., 8 figs., 1 tab.

  19. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  20. Effect of surface etching on condensing heat transfer

    Energy Technology Data Exchange (ETDEWEB)

    Seok, Sung Chul; Park, Jae Won; Jung, Jiyeon; Choi, Chonggun; Choi, Gyu Hong; Hwang, Seung Sik; Chung, Tae Yong; Shin, Donghoon [Kookmin University, Seoul (Korea, Republic of); Kim, Jin Jun [Hoseo University, Asan (Korea, Republic of)

    2016-02-15

    This study conducted experiments on humid air condensation during heat transfer in an air preheating exchanger attached to a home condensing boiler to improve thermal efficiency. An etchant composed of sulfuric acid and sodium nitrate was used to create roughness on the heat exchanger surface made from STS430J1L. A counter flow heat exchanger was fabricated to test the performance of heat transfer. Results showed that the overall heat transfer coefficients of all specimens treated with etchant improved with respect to the original specimens (not treated with etchant), and the overall heat transfer coefficient of the 60 s etching specimen increased by up to 15%. However, the increasing rate of the heat transfer coefficient was disproportional to the etching time. When the etching time specifically increased above 60 s, the heat transfer coefficient decreased. This effect was assumed to be caused by surface characteristics such as contact angle. Furthermore, a smaller contact angle or higher hydrophilicity leads to higher heat transfer coefficient.

  1. Sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, Vincent L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    A new technique is presented that provides planarization after a very deep etching step in silicon. This offers the possibility for as well resist spinning and layer patterning as realization of bridges or cantilevers across deep holes or grooves. The sacrificial wafer bonding technique contains a

  2. Characteristics of SiO{sub 2} etching with a C{sub 4}F{sub 8}/Ar/CHF{sub 3}/O{sub 2} gas mixture in 60-MHz/2-MHz dual-frequency capacitively coupled plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, M. H.; Kang, S. K.; Park, J. Y.; Yeom, G. Y. [Sungkyunkwan University, Suwon (Korea, Republic of)

    2011-11-15

    Nanoscale SiO{sub 2} contact holes were etched by using C{sub 4}F{sub 8}/CHF{sub 3}/O{sub 2}/Ar gas mixtures in dual frequency capacitively coupled plasmas (DF-CCPs) where a 60-MHz source power was applied to the top electrode while a 2-MHz bias power was applied to the bottom electrode. The initial increase in the CHF{sub 3} gas flow rate at a fixed CHF{sub 3}+O{sub 2} flow rate increased the SiO{sub 2} etch rate as well as SiO{sub 2} etch selectivity over that of the amorphous carbon layer (ACL). When the high-frequency (HF) power was increased both SiO{sub 2} etch rate and the etch selectivity over ACL were increased. For a 300 W/500 W power ratio of 60-MHz HF power/ 2-MHz low-freqeuncy (LF) and a gas mixture of Ar (140 sccm) /C{sub 4}F{sub 8} (30 sccm) /CHF{sub 3} (25 sccm) /O{sub 2} (5 sccm) while maintaining 20 mTorr, an anisotropic etch profile with an SiO{sub 2} etch rate of 3350 A/min and an etch selectivity of higher than 6 over ACL could be obtained.

  3. Ultrahigh-efficiency apodized grating coupler using fully etched photonic crystals

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ou, Haiyan; Peucheret, Christophe

    2013-01-01

    We present an efficient method to design apodized grating couplers with Gaussian output profiles for efficient coupling between standard single mode fibers and silicon chips. An apodized grating coupler using fully etched photonic crystal holes on the silicon-on-insulator platform is designed......, and fabricated in a single step of lithography and etching. An ultralow coupling loss of x2212;1.74x2009;x2009;dB (67% coupling efficiency) with a 3xA0;dB bandwidth of 60xA0;nm is experimentally measured....

  4. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    International Nuclear Information System (INIS)

    Geng, Xuewen; Grismer, Dane A; Bohn, Paul W; Duan, Barrett K; Zhao, Liancheng

    2013-01-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal–semiconductor interface. (paper)

  5. Limitations of Cl2/O2-based ICP-RIE of deep holes for planar photonic crystals in InP

    International Nuclear Information System (INIS)

    Kaspar, Peter; Fougner, Christopher; Kappeler, Roman; Jaeckel, Heinz

    2012-01-01

    A detailed study of dry-etching of high-aspect-ratio holes into an indium phosphide substrate is presented for a Cl 2 /O 2 -based plasma chemistry. The etching is performed in an inductively coupled plasma reactive ion etching reactor. The separate influence of the various etching parameters on the quality of the etched holes is identified. Quality measures such as high aspect ratio, hole cylindricity and verticality as well as sidewall smoothness can be controlled by varying the ICP power, the relative O 2 flow rate and the self-bias of the plasma. We were able to clearly identify trade-offs that have to be made and limitations of the etching chemistry/technology used: If the aspect ratio improves, then the cylindricity also improves, whereas the verticality and the sidewall smoothness degrade. In previous reports, a certain ambiguity is generally observed in the sense that different process parameters exhibit partially contradicting trade-offs. We show that this behaviour can be remedied by a careful selection of the variable parameters. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    International Nuclear Information System (INIS)

    Choi, Yunju; Kim, Yangsoo; Ahn, Kwang-Soon; Kim, Hyunsoo

    2014-01-01

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10 −3 , 4.3 × 10 −4 , and 1.1 × 10 −3 Ω cm 2 for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N 2 ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples

  7. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yunju [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Kim, Yangsoo [Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Ahn, Kwang-Soon, E-mail: kstheory@ynu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, Gyeongbuk 712-749 (Korea, Republic of); Kim, Hyunsoo, E-mail: hskim7@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2014-10-30

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10{sup −3}, 4.3 × 10{sup −4}, and 1.1 × 10{sup −3} Ω cm{sup 2} for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N{sub 2} ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples.

  8. Holes generation in glass using large spot femtosecond laser pulses

    Science.gov (United States)

    Berg, Yuval; Kotler, Zvi; Shacham-Diamand, Yosi

    2018-03-01

    We demonstrate high-throughput, symmetrical, holes generation in fused silica glass using a large spot size, femtosecond IR-laser irradiation which modifies the glass properties and yields an enhanced chemical etching rate. The process relies on a balanced interplay between the nonlinear Kerr effect and multiphoton absorption in the glass which translates into symmetrical glass modification and increased etching rate. The use of a large laser spot size makes it possible to process thick glasses at high speeds over a large area. We have demonstrated such fabricated holes with an aspect ratio of 1:10 in a 1 mm thick glass samples.

  9. A fiber-optic technique for the measurement of contact angle in a clearance-fit pin-loaded hole

    Science.gov (United States)

    Prabhakaran, R.; Naik, R. A.

    1987-01-01

    A fiber-optic technique for measuring contact angle during pin loading of a specimen is proposed. The experimental design and procedures for loading a 49.8-mm-diameter instrumented pin into an quasi-isotropic graphite-epoxy specimen are described. The optical fiber was located just above the surface of the pin outer diameter in order to obtain accurate pin-hole contact-angle measurements at increasing load levels. The movement of the optical fiber through the no-contact, contact, and no-contact regions is discussed; the photodiode output decreased monotonically as the fiber moved from the no-contact to the contact region and then decreased monotonically as the fiber moved from the contact region to the no-contact region. Variations in the contact angle measurements are examined as function of applied load level. The measurements are compared to contact angle values obtained using a finite element analysis and an electrical technique; it is determined that the data correlate well.

  10. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  11. Modelling investigations of DBRs and cavities with photonic crystal holes for application in VCSELs

    International Nuclear Information System (INIS)

    Ivanov, P; Ho, Y-L D; Cryan, M J; Rorison, J

    2012-01-01

    We investigate the reflection spectra of distributed Bragg reflectors (DBRs) and DBR cavities with and without photonic crystal holes fabricated within them. A finite-difference time domain (FDTD) electromagnetic model which is considered to provide the exact solution of Maxwell equations is used as a reference model. Two simplified modelling approaches are compared to the FDTD results: an effective index model where the individual DBR constituent layers penetrated by holes possess an effective index and a spatial loss model where optical losses are introduced spatially where the holes are fabricated. Results of the FDTD and the spatial loss model show that optical loss determines the properties of an etched DBR and DBR cavity when the lattice constant of the holes of exceeds 1 μm and the hole depth is small. The spatial loss model compares well to the FDTD results for holes with a lattice period exceeding 1 μm. We also consider the realistic effect of angling the sides of the etched holes. (paper)

  12. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  13. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  14. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  15. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  16. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    International Nuclear Information System (INIS)

    Riedel, Nicholas A.; Smith, Barbara S.; Williams, John D.; Popat, Ketul C.

    2012-01-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO 2 peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: ►Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. ►Oxygen etched substrates showed fewer adhered platelets. ►Platelet activation was reduced by the improved oxide surface. ►Oxygen etched substrates exhibited increased whole blood clotting times. ►Although clotting reductions were seen, protein adsorption remained similar.

  17. Low temperature sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, V.L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    1994-01-01

    A new technique, at temperatures of 150°C or 450°C, that provides planarization after a very deep etching step in silicon is presented. Resist spinning and layer patterning as well as realization of bridges or cantilevers across deep holes becomes possible. The sacrificial wafer bonding technique

  18. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  19. Two-dimensional network formation of cardiac myocytes in agar microculture chip with 1480 nm infrared laser photo-thermal etching.

    Science.gov (United States)

    Kojima, Kensuke; Moriguchi, Hiroyuki; Hattori, Akihiro; Kaneko, Tomoyuki; Yasuda, Kenji

    2003-11-01

    We have developed a new method that enables agar microstructures to be used to cultivate cells and that allows cell network patterns to be controlled. The method makes use of non-contact three-dimensional photo-thermal etching with a 1480 nm infrared focused laser beam, which is strongly absorbed by water and agar gel, to form the shapes of agar microstructures. It allows microstructures to be easily formed in an agar layer within a few minutes, with cell-culture holes formed by the spot heating of a 100 mW laser and tunnels by the tracing of a 100 microm s(-1), 40 mW laser. We cultivated rat cardiac myocytes in adjacent microstructures and observed synchronized beating in them 90 min after they had made physical contact. Our results indicate that the system can make and use microstructures for cell-network cultivation in a minimal amount of time without any expensive microfabrication facilities or complicated procedures.

  20. Schottky contact analysis of photovoltaic chalcopyrite thin film absorbers

    International Nuclear Information System (INIS)

    Schlenker, E.; Mertens, V.; Parisi, J.; Reineke-Koch, R.; Koentges, M.

    2007-01-01

    Current-voltage and capacitance-voltage measurements serve to analyze thermally evaporated Al Schottky contacts on Cu(In, Ga)Se 2 based photovoltaic thin film devices, either taken as grown or etched in a bromine-methanol solution. The characteristics of the Schottky contacts on the as-grown films give evidence for some dielectric layer developing between the metal and the semiconductor. Etching the semiconductor surface prior to evaporation of the Al front contact yields a pure metal-semiconductor behavior, including effects that can be attributed to an additional diode at the Mo contact. Simulations confirm the experimental results

  1. Solvent composition of one-step self-etch adhesives and dentine wettability.

    Science.gov (United States)

    Grégoire, Geneviève; Dabsie, Firas; Dieng-Sarr, Farimata; Akon, Bernadette; Sharrock, Patrick

    2011-01-01

    Our aim was to determine the wettability of dentine by four commercial self-etch adhesives and evaluate their spreading rate on the dentine surface. Any correlation with chemical composition was sought, particularly with the amount of solvent or HEMA present in the adhesive. The adhesives used were AdheSE One, Optibond All.In.One, Adper Easy Bond and XenoV. Chemical compositions were determined by proton nuclear magnetic resonance (NMR) spectroscopy of the adhesives dissolved in dimethylsulfoxide. Apparent contact angles for sessile drops of adhesives were measured on dentine slices as a function of time for up to 180s. The water contact angles were determined for fully polymerised adhesives. All adhesives were water-based with total solvent contents ranging from 27% to 73% for HEMA-free adhesives, and averaging 45% for HEMA containing adhesives. The contents in hydrophobic groups decreased as water contents increased. No differences were found in the adhesive contact angles after 180s even though the spreading rates were different for the products tested. Water contact angles differed significantly but were not correlated with HEMA or solvent presence. Manufacturers use different approaches to stabilise acid co-monomer ingredients in self-etch adhesives. Co-solvents, HEMA, or acrylamides without co-solvents are used to simultaneously etch and infiltrate dentine. A large proportion of water is necessary for decalcification action. Copyright © 2010 Elsevier Ltd. All rights reserved.

  2. Investigation of aluminium ohmic contacts to n-type GaN grown by molecular beam epitaxy

    Science.gov (United States)

    Kribes, Y.; Harrison, I.; Tuck, B.; Kim, K. S.; Cheng, T. S.; Foxon, C. T.

    1997-11-01

    Using epi-layers of different doping concentrations, we have investigated aluminium contacts on n-type gallium nitride grown by plasma source molecular beam epitaxy. To achieve repeatable and reliable results it was found that the semiconductor needed to be etched in aqua-regia before the deposition of the contact metallization. Scanning electron micrographs of the semiconductor surface show a deterioration of the semiconductor surface on etching. The specific contact resistivity of the etched samples were, however, superior. Annealing the contacts at 0268-1242/12/11/030/img9 produced contacts with the lowest specific contact resistance of 0268-1242/12/11/030/img10. The long-term aging of these contacts was also investigated. The contacts and the sheet resistance were both found to deteriorate over a three-month period.

  3. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  4. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  5. A multi-step electrochemical etching process for a three-dimensional micro probe array

    International Nuclear Information System (INIS)

    Kim, Yoonji; Youn, Sechan; Cho, Young-Ho; Park, HoJoon; Chang, Byeung Gyu; Oh, Yong Soo

    2011-01-01

    We present a simple, fast, and cost-effective process for three-dimensional (3D) micro probe array fabrication using multi-step electrochemical metal foil etching. Compared to the previous electroplating (add-on) process, the present electrochemical (subtractive) process results in well-controlled material properties of the metallic microstructures. In the experimental study, we describe the single-step and multi-step electrochemical aluminum foil etching processes. In the single-step process, the depth etch rate and the bias etch rate of an aluminum foil have been measured as 1.50 ± 0.10 and 0.77 ± 0.03 µm min −1 , respectively. On the basis of the single-step process results, we have designed and performed the two-step electrochemical etching process for the 3D micro probe array fabrication. The fabricated 3D micro probe array shows the vertical and lateral fabrication errors of 15.5 ± 5.8% and 3.3 ± 0.9%, respectively, with the surface roughness of 37.4 ± 9.6 nm. The contact force and the contact resistance of the 3D micro probe array have been measured to be 24.30 ± 0.98 mN and 2.27 ± 0.11 Ω, respectively, for an overdrive of 49.12 ± 1.25 µm.

  6. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Riedel, Nicholas A. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Smith, Barbara S. [School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Williams, John D. [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); Popat, Ketul C., E-mail: ketul.popat@colostate.edu [Department of Mechanical Engineering, Colorado State University, Fort Collins, CO 80523 (United States); School of Biomedical Engineering, Colorado State University, Fort Collins, CO 80523 (United States)

    2012-07-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO{sub 2} peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: Black-Right-Pointing-Pointer Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates showed fewer adhered platelets. Black-Right-Pointing-Pointer Platelet activation was reduced by the improved oxide surface. Black-Right-Pointing-Pointer Oxygen etched substrates exhibited increased whole blood clotting times. Black-Right-Pointing-Pointer Although clotting reductions were

  7. Experimental and numerical investigation of contact-area-limited doping for top-contact pentacene thin-film transistors with Schottky contact.

    Science.gov (United States)

    Noda, Kei; Wada, Yasuo; Toyabe, Toru

    2015-10-28

    Effects of contact-area-limited doping for pentacene thin-film transistors with a bottom-gate, top-contact configuration were investigated. The increase in the drain current and the effective field-effect mobility was achieved by preparing hole-doped layers underneath the gold contact electrodes by coevaporation of pentacene and 2,3,5,6-tetrafluoro-7,7,8,8-tetracyanoquinodimethane (F4TCNQ), confirmed by using a thin-film organic transistor advanced simulator (TOTAS) incorporating Schottky contact with a thermionic field emission (TFE) model. Although the simulated electrical characteristics fit the experimental results well only in the linear regime of the transistor operation, the barrier height for hole injection and the gate-voltage-dependent hole mobility in the pentacene transistors were evaluated with the aid of the device simulation. This experimental data analysis with the simulation indicates that the highly-doped semiconducting layers prepared in the contact regions can enhance the charge carrier injection into the active semiconductor layer and concurrent trap filling in the transistor channel, caused by the mitigation of a Schottky energy barrier. This study suggests that both the contact-area-limited doping and the device simulation dealing with Schottky contact are indispensable in designing and developing high-performance organic thin-film transistors.

  8. The Effect of Hydrofluoric Acid Etching Duration on the Surface Micromorphology, Roughness, and Wettability of Dental Ceramics

    Science.gov (United States)

    Ramakrishnaiah, Ravikumar; Alkheraif, Abdulaziz A.; Divakar, Darshan Devang; Matinlinna, Jukka P.; Vallittu, Pekka K.

    2016-01-01

    The current laboratory study is evaluating the effect of hydrofluoric acid etching duration on the surface characteristics of five silica-based glass ceramics. Changes in the pore pattern, crystal structure, roughness, and wettability were compared and evaluated. Seventy-five rectangularly shaped specimens were cut from each material (IPS e-max™, Dentsply Celtra™, Vita Suprinity™, Vita mark II™, and Vita Suprinity FC™); the sectioned samples were finished, polished, and ultrasonically cleaned. Specimens were randomly assigned into study groups: control (no etching) and four experimental groups (20, 40, 80 and 160 s of etching). The etched surfaces’ microstructure including crystal structure, pore pattern, pore depth, and pore width was studied under a scanning electron microscope, and the surface roughness and wettability were analyzed using a non-contact surface profilometer and a contact angle measuring device, respectively. The results were statistically analyzed using one-way analysis of variance (ANOVA) and the post hoc Tukey’s test. The results showed a significant change in the pore number, pore pattern, crystal structure, surface roughness, and wettability with increased etching duration. Etching for a short time resulted in small pores, and etching for longer times resulted in wider, irregular grooves. A significant increase in the surface roughness and wettability was observed with an increase in the etching duration. The findings also suggested a strong association between the surface roughness and wettability. PMID:27240353

  9. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  10. A tunable sub-100 nm silicon nanopore array with an AAO membrane mask: reducing unwanted surface etching by introducing a PMMA interlayer

    Science.gov (United States)

    Lim, Namsoo; Pak, Yusin; Kim, Jin Tae; Hwang, Youngkyu; Lee, Ryeri; Kumaresan, Yogeenth; Myoung, Nosoung; Ko, Heung Cho; Jung, Gun Young

    2015-08-01

    Highly ordered silicon (Si) nanopores with a tunable sub-100 nm diameter were fabricated by a CF4 plasma etching process using an anodic aluminum oxide (AAO) membrane as an etching mask. To enhance the conformal contact of the AAO membrane mask to the underlying Si substrate, poly(methyl methacrylate) (PMMA) was spin-coated on top of the Si substrate prior to the transfer of the AAO membrane. The AAO membrane mask was fabricated by two-step anodization and subsequent removal of the aluminum support and the barrier layer, which was then transferred to the PMMA-coated Si substrate. Contact printing was performed on the sample with a pressure of 50 psi and a temperature of 120 °C to make a conformal contact of the AAO membrane mask to the Si substrate. The CF4 plasma etching was conducted to transfer nanopores onto the Si substrate through the PMMA interlayer. The introduced PMMA interlayer prevented unwanted surface etching of the Si substrate by eliminating the etching ions and radicals bouncing at the gap between the mask and the substrate, resulting in a smooth Si nanopore array.Highly ordered silicon (Si) nanopores with a tunable sub-100 nm diameter were fabricated by a CF4 plasma etching process using an anodic aluminum oxide (AAO) membrane as an etching mask. To enhance the conformal contact of the AAO membrane mask to the underlying Si substrate, poly(methyl methacrylate) (PMMA) was spin-coated on top of the Si substrate prior to the transfer of the AAO membrane. The AAO membrane mask was fabricated by two-step anodization and subsequent removal of the aluminum support and the barrier layer, which was then transferred to the PMMA-coated Si substrate. Contact printing was performed on the sample with a pressure of 50 psi and a temperature of 120 °C to make a conformal contact of the AAO membrane mask to the Si substrate. The CF4 plasma etching was conducted to transfer nanopores onto the Si substrate through the PMMA interlayer. The introduced PMMA interlayer

  11. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    Science.gov (United States)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  12. Study of etching processes in the GEM detectors

    CERN Document Server

    Zavazieva, Darina

    2016-01-01

    Gaseous Electron Multiplier (GEM) detectors are known to operate stably at high gains and high particle fluxes. Though, at very high gains and fluxes it was observed that the insulating polyimide layer between the GEM electrodes gets etched, changing the original shape of the hole, and therefore varying the gain and the energy resolution of the detector. The idea of the project to observe degradation effect of the GEM foils during the Triple GEM detector operation in extreme conditions under X-ray radiation.

  13. A general design strategy for block copolymer directed self-assembly patterning of integrated circuits contact holes using an alphabet approach.

    Science.gov (United States)

    Yi, He; Bao, Xin-Yu; Tiberio, Richard; Wong, H-S Philip

    2015-02-11

    Directed self-assembly (DSA) is a promising lithography candidate for technology nodes beyond 14 nm. Researchers have shown contact hole patterning for random logic circuits using DSA with small physical templates. This paper introduces an alphabet approach that uses a minimal set of small physical templates to pattern all contacts configurations on integrated circuits. We illustrate, through experiments, a general and scalable template design strategy that links the DSA material properties to the technology node requirements.

  14. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  15. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  16. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  17. Fabrication of a vertical sidewall using double-sided anisotropic etching of 〈1 0 0〉 oriented silicon

    International Nuclear Information System (INIS)

    Kim, Hyun-Seok; Bang, Yong-Seung; Song, Eun-Seok; Kim, Yong-Kweon; Kim, Jung-Mu; Ji, Chang-Hyeon

    2012-01-01

    A double-sided wet etch process has been proposed to fabricate vertical structures in 〈1 0 0〉 oriented silicon substrate. Both sides of a {1 0 0} silicon wafer have been patterned identically along the 〈1 1 0〉 direction, and etched using potassium hydroxide (KOH) solution. By precisly controlling the etch time, using etch-timer structure and additive control, structures with smooth and vertical {1 1 0} sidewalls have been fabricated at the edges of a rectangular opening without undercut. Rectangular through-holes, bridges and cantilevers have been constructed using the proposed process. The measured average surface roughness of the vertical sidewall was 481 nm, which has been further reduced to 217 nm and 218 nm by postetching using a KOH–IPA and TMAH–Triton mixture, respectively. Slanted {4 1 1} planes exposed at the concave corners during the vertical etch process have been successfully removed or diminished by the postetching process. A bridge structure with a high aspect ratio of 39:1 has been fabricated, and cantilevers without undercutting were successfully constructed by applying the compensation technique. The proposed process can potentially be utilized in place of the deep reactive ion etching process for the fabrication of structures having vertical through-holes, such as through-silicon vias, high aspect ratio springs and filters for microfluidic applications. (paper)

  18. TEM/SEM investigation of microstructural changes within the white etching area under rolling contact fatigue and 3-D crack reconstruction by focused ion beam

    International Nuclear Information System (INIS)

    Grabulov, A.; Ziese, U.; Zandbergen, H.W.

    2007-01-01

    The white etching area (WEA) surrounding the cracks formed under high-cycle rolling contact fatigue was investigated by transmission electron microscopy (TEM) and Dual Beam (scanning electron microscopy (SEM)/focused ion beam). SEM revealed the initiation of cracks formed around artificially introduced Al 2 O 3 inclusions in the model steel (composition similar to SAE 52100). TEM investigations showed a microstructural difference between the WEA (formation of nanocrystalline ferrite) and the steel matrix (tempered martensitic structure). A three-dimensional image of the crack reconstructed from ∼400 Dual Beam cross-section images is reported

  19. Metal-support interactions in electrocatalysis: Hydrogen effects on electron and hole transport at metal-support contacts

    International Nuclear Information System (INIS)

    Heller, A.

    1986-01-01

    This paper discusses the effects of hydrogen on electron and hole transport at metal support contacts during electrocatalysis. When hydrogen dissolves in high work function metals such as Pt, Rh or Ru the contact forms between the semiconductor and the hydrogenated metal, which has a work function that is lower than that of the pure metal. Thus by changing the gaseous atmosphere that envelopes metal-substrate contacts, it is possible to reversibly change their diode characteristics. In some cases, such as Pt on n-TiO/sub 2/, Rh on n-TiO/sub 2/ and Ru on n-TiO/sub 2/, it is even possible to reversibly convert Schottky diodes into ohmic contacts by changing the atmosphere from air to hydrogen. In contacts between hydrogen dissolving group VIII metals and semiconducting substrates, one can test for interfacial reaction of the catalysts and the substrate by examining the electrical characteristics of the contacts in air (oxygen) and in hydrogen. In the absence of interfacial reaction, large hydrogen induced variation in the barrier heights is observed and the hydrogenated contacts, approach ideality (i.e. their non-ideality factor is close to unity). When a group VIII metal and a substrate do react, the reaction often produces a phase that blocks hydrogen transport to the interface between the substrate and the reaction product. In this case the hydrogen effect is reduced or absent. Furthermore, because such reaction often introduces defects into the surface of the semiconductor, the contacts have non-ideal diode characteristics

  20. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  1. Crosslinked Remote-Doped Hole-Extracting Contacts Enhance Stability under Accelerated Lifetime Testing in Perovskite Solar Cells.

    Science.gov (United States)

    Xu, Jixian; Voznyy, Oleksandr; Comin, Riccardo; Gong, Xiwen; Walters, Grant; Liu, Min; Kanjanaboos, Pongsakorn; Lan, Xinzheng; Sargent, Edward H

    2016-04-13

    A crosslinked hole-extracting electrical contact is reported, which simultaneously improves the stability and lowers the hysteresis of perovskite solar cells. Polymerizable monomers and crosslinking processes are developed to obviate in situ degradation of the under lying perovskite. The crosslinked material is band-aligned with perovskite. The required free carrier density is induced by a high-work-function metal oxide layer atop the device, following a remote-doping strategy. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Fabrication of Through via Holes in Ultra-Thin Fused Silica Wafers for Microwave and Millimeter-Wave Applications

    Directory of Open Access Journals (Sweden)

    Xiao Li

    2018-03-01

    Full Text Available Through via holes in fused silica are a key infrastructure element of microwave and millimeter-wave circuits and 3D integration. In this work, etching through via holes in ultra-thin fused silica wafers using deep reactive-ion etching (DRIE and laser ablation was developed and analyzed. The experimental setup and process parameters for both methods are presented and compared. For DRIE, three types of mask materials including KMPR 1035 (Nippon Kayaku, Tokyo, Japan photoresist, amorphous silicon and chromium—with their corresponding optimized processing recipes—were tested, aiming at etching through a 100 μm fused silica wafer. From the experiments, we concluded that using chromium as the masking material is the best choice when using DRIE. However, we found that the laser ablation method with a laser pulse fluence of 2.89 J/cm2 and a pulse overlap of 91% has advantages over DRIE. The laser ablation method has a simpler process complexity, while offering a fair etching result. In particular, the sidewall profile angle is measured to be 75° to the bottom surface of the wafer, which is ideal for the subsequent metallization process. As a demonstration, a two-inch wafer with 624 via holes was processed using both technologies, and the laser ablation method showed better efficiency compared to DRIE.

  3. Study of substrate topographical effects on epithelial cell behavior using etched alpha-particle tracks on PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Poon, W.L.; Li, W.Y.; Cheung, T.; Cheng, S.H.; Yu, K.N.

    2008-01-01

    Micrometer-size pits on the surface of a polymer (polyallyldiglycol carbonate or PADC) substrate created by alpha-particle irradiation and subsequent chemical etching were used to study the topographical effects alone on cell behavior. Vinculin, the cell adhesion and membrane protrusion protein, was used as an indicator of cytoskeletonal reorganization on the substrate and localization of vinculin was used to demonstrate the presence of focal adhesions. In our experiments, vinculin expressed in epithelial HeLa cells cultured on PADC films with track-etch pits, but not in cells cultured on the raw or chemically etched blank films. In other words, vinculin expression was induced by the topography of track-etch pits, while etching of the substrate alone (without alpha-particle irradiation) did not cause up-regulation of vinculin protein expression. HeLa cells cultured on PADC films with track-etch pits also showed changes in cell proliferation, cell area and cell circularity, and were largely contained by the pits. In other words, the cell membrane edges tended to be in contact with the pits. By comparing the correlation between the positions of HeLa cells and the pits, and that between the positions of cells and computer-simulated pits, the tendency for membrane edges of HeLa cells to be in contact with the pits was recognized. This could be explained by inhibition of membrane protrusion at the pits. In conclusion, substrate track-etch pits were an important determinant of epithelial cell behaviors

  4. Extremely superhydrophobic surfaces with micro- and nanostructures fabricated by copper catalytic etching.

    Science.gov (United States)

    Lee, Jung-Pil; Choi, Sinho; Park, Soojin

    2011-01-18

    We demonstrate a simple method for the fabrication of rough silicon surfaces with micro- and nanostructures, which exhibited superhydrophobic behaviors. Hierarchically rough silicon surfaces were prepared by copper (Cu)-assisted chemical etching process where Cu nanoparticles having particle size of 10-30 nm were deposited on silicon surface, depending on the period of time of electroless Cu plating. Surface roughness was controlled by both the size of Cu nanoparticles and etching conditions. As-synthesized rough silicon surfaces showed water contact angles ranging from 93° to 149°. Moreover, the hierarchically rough silicon surfaces were chemically modified by spin-coating of a thin layer of Teflon precursor with low surface energy. And thus it exhibited nonsticky and enhanced hydrophobic properties with extremely high contact angle of nearly 180°.

  5. The controlled fabrication of nanopores by focused electron-beam-induced etching

    International Nuclear Information System (INIS)

    Yemini, M; Ashkenasy, N; Hadad, B; Goldner, A; Liebes, Y

    2009-01-01

    The fabrication of nanometric holes within thin silicon-based membranes is of great importance for various nanotechnology applications. The preparation of such holes with accurate control over their size and shape is, thus, gaining a lot of interest. In this work we demonstrate the use of a focused electron-beam-induced etching (FEBIE) process as a promising tool for the fabrication of such nanopores in silicon nitride membranes and study the process parameters. The reduction of silicon nitride by the electron beam followed by chemical etching of the residual elemental silicon results in a linear dependence of pore diameter on electron beam exposure time, enabling accurate control of nanopore size in the range of 17-200 nm in diameter. An optimal pressure of 5.3 x 10 -6 Torr for the production of smaller pores with faster process rates, as a result of mass transport effects, was found. The pore formation process is also shown to be dependent on the details of the pulsed process cycle, which control the rate of the pore extension, and its minimal and maximal size. Our results suggest that the FEBIE process may play a key role in the fabrication of nanopores for future devices both in sensing and nano-electronics applications.

  6. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  7. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  8. Continuous deep reactive ion etching of tapered via holes for three-dimensional integration

    NARCIS (Netherlands)

    Li, R.; Lamy, Y.; Besling, W.F.A.; Roozeboom, F.; Sarro, P.M.

    2008-01-01

    A continuous SF6/O2 plasma process at room temperature has been used to etch tapered through-silicon vias using a DRIE-ICP tool. These features (10–100 µm in diameter) are aimed for applications in 3D integration and MEMS packaging. The effects of various process parameters such as O2 flow rate,

  9. Effect of the post-annealing temperature on the thermal-decomposed NiOx hole contact layer for perovskite solar cells

    Directory of Open Access Journals (Sweden)

    Yuxiao Guo

    2018-02-01

    Full Text Available A hysteresis-less inverted perovskite solar cell (PSC with power conversion efficiency (PCE of 13.57% was successfully achieved based on the thermal-decomposed NiOx hole contact layer, possessing better electron blocking and hole extraction properties for its suitable work function and high-conduction band edge position. Herein, the transparent and high-crystalline NiOx film is prepared by thermal-decomposing of the solution-derived Ni(OH2 film in our study, which is then employed as hole transport layer (HTL of the organic–inorganic hybrid PSCs. Reasonably, the post-annealing treatment, especially for the annealing temperature, could greatly affect the Ni(OH2 decomposition process and the quality of decomposed NiOx nanoparticles. The vital NiOx HTLs with discrepant morphology, crystallinity and transmission certainly lead to a wide range of device performance. As a result, an annealing process of 400∘C/2h significantly promotes the photovoltaic properties of the NiOx layer and the further device performance.

  10. A comparison of etched-geometry and overgrown silicon permeable base transistors by two-dimensional numerical simulations

    Science.gov (United States)

    Vojak, B. A.; Alley, G. D.

    1983-08-01

    Two-dimensional numerical simulations are used to compare etched geometry and overgrown Si permeable base transistors (PTBs), considering both the etched collector and etched emitter biasing conditions made possible by the asymmetry of the etched structure. In PTB devices, the two-dimensional nature of the depletion region near the Schottky contact base grating results in a smaller electron barrier and, therefore, a larger collector current in the etched than in the overgrown structure. The parasitic feedback effects which result at high base-to-emitter bias levels lead to a deviation from the square-law behavior found in the collector characteristics of the overgrown PBT. These structures also have lower device capacitances and smaller transconductances at high base-to-emitter voltages. As a result, overgrown and etched structures have comparable predicted maximum values of the small signal unity short-circuit current gain frequency and maximum oscillation frequency.

  11. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  12. Top contact organic field effect transistors fabricated using a photolithographic process

    International Nuclear Information System (INIS)

    Wang Hong; Peng Ying-Quan; Ji Zhuo-Yu; Shang Li-Wei; Liu Xing-Hua; Liu Ming

    2011-01-01

    This paper proposes an effective method of fabricating top contact organic field effect transistors by using a photolithographic process. The semiconductor layer is protected by a passivation layer. Through photolithographic and etching processes, parts of the passivation layer are etched off to form source/drain electrode patterns. Combined with conventional evaporation and lift-off techniques, organic field effect transistors with a top contact are fabricated successfully, whose properties are comparable to those prepared with the shadow mask method and one order of magnitude higher than the bottom contact devices fabricated by using a photolithographic process. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  13. GAS-FOVEAL CONTACT

    DEFF Research Database (Denmark)

    Alberti, Mark; la Cour, Morten

    2018-01-01

    PURPOSE: To compare gas-foveal contact in face-down positioning (FDP) and nonsupine positioning (NSP), to analyze causes of gas-foveal separation and to determine how gas-foveal contact affects clinical outcome after idiopathic macular hole repair. METHODS: Single center, randomized controlled...... study. Participants with an idiopathic macular hole were allocated to either FDP or NSP. Primary outcome was gas-foveal contact, calculated by analyzing positioning in relation to intraocular gas fill. Positioning was measured with an electronic device recording positioning for 72 hours postoperatively....... RESULTS: Positioning data were available for 33/35 in the FDP group and 35/37 in the NSP group, thus results are based on 68 analyzed participants. Median gas-foveal contact was 99.82% (range 73.6-100.0) in the FDP group and 99.57% (range 85.3-100.0) in the NSP group (P = 0.22). In a statistical model...

  14. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  15. The role of contacts in semiconductor gamma radiation detectors

    International Nuclear Information System (INIS)

    Lachish, U.

    1998-01-01

    It is proposed that the operation of semiconductor gamma radiation detectors, equipped with ohmic contacts, which allow free electron flow between the contacts and bulk material, will not be sensitive to low hole mobility, hole collection efficiency, or hole trapping. Such fast-operating detectors may be readily integrated into monolithic arrays. The detection mechanism and various material aspects are discussed and compared to those of blocking contact detectors. Some suggestions for detector realization are presented. (orig.)

  16. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  17. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  18. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  19. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  20. Superhydrophobic coatings for aluminium surfaces synthesized by chemical etching process

    Directory of Open Access Journals (Sweden)

    Priya Varshney

    2016-10-01

    Full Text Available In this paper, the superhydrophobic coatings on aluminium surfaces were prepared by two-step (chemical etching followed by coating and one-step (chemical etching and coating in a single step processes using potassium hydroxide and lauric acid. Besides, surface immersion time in solutions was varied in both processes. Wettability and surface morphologies of treated aluminium surfaces were characterized using contact angle measurement technique and scanning electron microscopy, respectively. Microstructures are formed on the treated aluminium surfaces which lead to increase in contact angle of the surface (>150°. Also on increasing immersion time, contact angle further increases due to increase in size and depth of microstructures. Additionally, these superhydrophobic coatings show excellent self-cleaning and corrosion-resistant behavior. Water jet impact, floatation on water surface, and low temperature condensation tests assert the excellent water-repellent nature of coatings. Further, coatings are to be found mechanically, thermally, and ultraviolet stable. Along with, these coatings are found to be excellent regeneration ability as verified experimentally. Although aforesaid both processes generate durable and regenerable superhydrophobic aluminium surfaces with excellent self-cleaning, corrosion-resistant, and water-repellent characteristics, but one-step process is proved more efficient and less time consuming than two-step process and promises to produce superhydrophobic coatings for industrial applications.

  1. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  2. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong, E-mail: xdwang@semi.ac.cn; Ji, An; Yang, Fuhua [Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Sciences, Beijing, 100083 (China)

    2014-03-15

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  3. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Science.gov (United States)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  4. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  5. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  6. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  7. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching

    Science.gov (United States)

    Chen, Limei; Deming, Christopher P.; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-07-01

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold

  8. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  9. Fabrication mechanism of friction-induced selective etching on Si(100) surface.

    Science.gov (United States)

    Guo, Jian; Song, Chenfei; Li, Xiaoying; Yu, Bingjun; Dong, Hanshan; Qian, Linmao; Zhou, Zhongrong

    2012-02-23

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems.

  10. Etching holes in graphene supercapacitor electrodes for faster performance.

    Science.gov (United States)

    Ervin, Matthew H

    2015-06-12

    Graphene is being widely investigated as a material to replace activated carbon in supercapacitor (electrochemical capacitor) electrodes. Supercapacitors have much higher energy density, but are typically slow devices (∼0.1 Hz) compared to other types of capacitors. Here, top-down semiconductor processing has been applied to graphene-based electrodes in order to fabricate ordered arrays of holes through the graphene electrodes. This is demonstrated to increase the speed of the electrodes by reducing the ionic impedance through the electrode thickness. This approach may also be applicable to speeding up other types of devices, such as batteries and sensors, that use porous electrodes.

  11. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  12. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  13. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  14. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  15. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Directory of Open Access Journals (Sweden)

    Yangyang Qi

    2014-02-01

    Full Text Available The electron transport characteristics of silicon nanowires (SiNWs fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  16. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  17. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  18. Etching holes in graphene supercapacitor electrodes for faster performance

    International Nuclear Information System (INIS)

    Ervin, Matthew H

    2015-01-01

    Graphene is being widely investigated as a material to replace activated carbon in supercapacitor (electrochemical capacitor) electrodes. Supercapacitors have much higher energy density, but are typically slow devices (∼0.1 Hz) compared to other types of capacitors. Here, top-down semiconductor processing has been applied to graphene-based electrodes in order to fabricate ordered arrays of holes through the graphene electrodes. This is demonstrated to increase the speed of the electrodes by reducing the ionic impedance through the electrode thickness. This approach may also be applicable to speeding up other types of devices, such as batteries and sensors, that use porous electrodes. (special)

  19. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  20. Acid etching and plasma sterilization fail to improve osseointegration of grit blasted titanium implants

    DEFF Research Database (Denmark)

    Mortensen, Mikkel Saksø; Jakobsen, Stig Storgaard; Saksø, Henrik

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation...... was evaluated by implant osseointegration and biomechanical fixation.The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were...... compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant...

  1. Oxidative trends of TiO2hole trapping at anatase and rutile surfaces

    DEFF Research Database (Denmark)

    Zawadzki, Pawel; Laursen, Anders B.; Jacobsen, Karsten Wedel

    2012-01-01

    Understanding the nature of photogenerated carriers in a photocatalyst is central to understanding its photocatalytic performance. Based on density functional theory calculation we show that for TiO2, the most popular photo-catalyst, the electron hole self-trapping leads to band gap states which...... position is dependent on the type of surface termination. Such variations in hole state energies can lead to differences in photocatalytic activity among rutile and anatase surface facets. We find that the calculated hole state energies correlate with photo-deposition and photo-etching rates. We...

  2. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  3. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  4. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  5. Investigating degradation behavior of hole-trapping effect under static and dynamic gate-bias stress in a dual gate a-InGaZnO thin film transistor with etch stop layer

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Po-Yung [Department of Physics, National Sun Yat-sen University, 70 Lien-hai Road, Kaohsiung 80424, Taiwan (China); Chang, Ting-Chang, E-mail: tcchang3708@gmail.com [Department of Physics, National Sun Yat-sen University, 70 Lien-hai Road, Kaohsiung 80424, Taiwan (China); Advanced Optoelectronics Technology Center, National Cheng Kung University, Taiwan (China); Hsieh, Tien-Yu [Department of Physics, National Sun Yat-sen University, 70 Lien-hai Road, Kaohsiung 80424, Taiwan (China); Tsai, Ming-Yen; Chen, Bo-Wei; Chu, Ann-Kuo [Department of Photonics, National Sun Yat-Sen University, 70 Lien-hai Road, Kaohsiung 80424, Taiwan (China); Chou, Cheng-Hsu; Chang, Jung-Fang [Product Technology Center, Chimei Innolux Corp., Tainan 741, Taiwan (China)

    2016-03-31

    The degree of degradation between the amorphous-indium–gallium–zinc oxide (a-IGZO) thin film transistor (TFT) using the top-gate only or bottom-gate only is compared. Under negative gate bias illumination stress (NBIS), the threshold voltage (V{sub T}) after bottom-gate NBIS monotonically shifts in the negative direction, whereas top-gate NBIS operation exhibits on-state current increases without V{sub T} shift. Such anomalous degradation behavior of NBIS under top-gate operation is due to hole-trapping in the etch stop layer above the central portion of the channel. These phenomena can be ascribed to the screening of the electric field by redundant source/drain electrodes. In addition, the device degradation of dual gate a-IGZO TFT stressed with different top gate pulse waveforms is investigated. It is observed that the degradation is dependent on the frequency of the top gate pulses. The V{sub T} shift increases with decreasing frequency, indicating the hole mobility of IGZO is low. - Highlights: • Static and dynamic gate bias stresses are imposed on dual gate InGaZnO TFTs. • Top-gate NBIS operation exhibits on-state current increases without VT shift. • The degradation behavior of top-gate NBIS is due to hole-trapping in the ESL. • The degradation is dependent on the frequency of the top gate pulses. • The V{sub T} shift increases with decreasing frequency of the top gate pulses.

  6. Investigating degradation behavior of hole-trapping effect under static and dynamic gate-bias stress in a dual gate a-InGaZnO thin film transistor with etch stop layer

    International Nuclear Information System (INIS)

    Liao, Po-Yung; Chang, Ting-Chang; Hsieh, Tien-Yu; Tsai, Ming-Yen; Chen, Bo-Wei; Chu, Ann-Kuo; Chou, Cheng-Hsu; Chang, Jung-Fang

    2016-01-01

    The degree of degradation between the amorphous-indium–gallium–zinc oxide (a-IGZO) thin film transistor (TFT) using the top-gate only or bottom-gate only is compared. Under negative gate bias illumination stress (NBIS), the threshold voltage (V T ) after bottom-gate NBIS monotonically shifts in the negative direction, whereas top-gate NBIS operation exhibits on-state current increases without V T shift. Such anomalous degradation behavior of NBIS under top-gate operation is due to hole-trapping in the etch stop layer above the central portion of the channel. These phenomena can be ascribed to the screening of the electric field by redundant source/drain electrodes. In addition, the device degradation of dual gate a-IGZO TFT stressed with different top gate pulse waveforms is investigated. It is observed that the degradation is dependent on the frequency of the top gate pulses. The V T shift increases with decreasing frequency, indicating the hole mobility of IGZO is low. - Highlights: • Static and dynamic gate bias stresses are imposed on dual gate InGaZnO TFTs. • Top-gate NBIS operation exhibits on-state current increases without VT shift. • The degradation behavior of top-gate NBIS is due to hole-trapping in the ESL. • The degradation is dependent on the frequency of the top gate pulses. • The V T shift increases with decreasing frequency of the top gate pulses.

  7. Oxygen and nitrogen plasma etching of three-dimensional hydroxyapatite/chitosan scaffolds fabricated by additive manufacturing

    Science.gov (United States)

    Myung, Sung-Woon; Kim, Byung-Hoon

    2016-01-01

    Three-dimensional (3D) chitosan and hydroxyapatite (HAp)/chitosan (CH) scaffolds were fabricated by additive manufacturing, then their surfaces were etched with oxygen (O2) and nitrogen (N2) plasma. O2 and N2 plasma etching was performed to increase surface properties such as hydrophilicity, roughness, and surface chemistry on the scaffolds. After etching, hydroxyapatite was exposed on the surface of 3D HAp/CH scaffolds. The surface morphology and chemical properties were characterized by contact angle measurement, scanning electron microscopy, X-ray diffraction, and attenuated total reflection Fourier infrared spectroscopy. The cell viability of 3D chitosan scaffolds was examined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay. The differentiation of preosteoblast cells was evaluated by alkaline phosphatase assay. The cell viability was improved by O2 and N2 plasma etching of 3D chitosan scaffolds. The present fabrication process for 3D scaffolds might be applied to a potential tool for preparing biocompatible scaffolds.

  8. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  9. Microstructure, Morphology, and Nanomechanical Properties Near Fine Holes Produced by Electro-Discharge Machining

    Science.gov (United States)

    Blau, P. J.; Howe, J. Y.; Coffey, D. W.; Trejo, R. M.; Kenik, E. D.; Jolly, B. C.; Yang, N.

    2012-08-01

    Fine holes in metal alloys are employed for many important technological purposes, including cooling and the precise atomization of liquids. For example, they play an important role in the metering and delivery of fuel to the combustion chambers in energy-efficient, low-emission diesel engines. Electro-discharge machining (EDM) is one process employed to produce such holes. Since the hole shape and bore morphology can affect fluid flow, and holes also represent structural discontinuities in the tips of the spray nozzles, it is important to understand the microstructures adjacent to these holes, the features of the hole walls, and the nanomechanical properties of the material that was in some manner altered by the EDM hole-making process. Several techniques were used to characterize the structure and properties of spray-holes in a commercial injector nozzle. These include scanning electron microscopy, cross sectioning and metallographic etching, bore surface roughness measurements by optical interferometry, scanning electron microscopy, and transmission electron microscopy of recast EDM layers extracted with the help of a focused ion beam.

  10. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  11. Selective laser-induced photochemical dry etching of semiconductors controlled by ion-bombardment-induced damage

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1987-01-01

    When a photochemical dry etching process requires direct participation of photogenerated carriers in the chemical reaction, it is sensitive to the electronic properties of the semiconductor. For such solid-excitation-based dry etching processes, the balance between reaction and carrier recombination rates determines the practical utility of a particular reaction for device fabrication. The distance from the surface at which the photocarriers are generated by light adsorption is determined by the absorption coefficient. In the absence of an external bias potential, only those carriers formed within a diffusion length of the surface space-charge region will have an opportunity to drive the dry etching reaction. When the absorption coefficient is high, most of the photons generate carriers within a diffusion length from the surface space-charge region, and the etching rate is largely determined by the balance between the rate of the carrier-driven reaction and the surface recombination velocity. When the recombination rate of free carriers in the bulk of the semiconductor is high, the effective diffusion length is reduced and fewer of the carriers generated in the subsurface region ever reach the surface. An important effect of ion bombardment is the creation of many lattice defects that increase the rate of recombination of electrons and holes. When a sufficient number of defects, which act as recombination sites, are formed during ion implantation, the recombination of photogenerated carriers at these defects in the subsurface region can greatly reduce the number of carriers which can reach the surface and drive a photochemical etching reaction

  12. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  13. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  14. Track etch detectors with air gap for measurements of radon in soil

    Energy Technology Data Exchange (ETDEWEB)

    Turek, K; Bednar, J [Czech Academy of Sciences, 18086 Prague (Czech Republic). Nuclear Research Inst., Dept. of Radiation Dosimetry; Neznal, M [Radon Corp., 28922 Lysa nad Labem (Czech Republic)

    1996-12-31

    The main aim of this study was to develop a method of radon concentration measurements in soil using track etch detectors without cups. Our approach enables to minimize the detector dimensions resulting into smaller diameter of drilled holes, more rigid construction, easier handling and mailing, lower consumption of material and consequently in lower costs. The parallel arrangement of two track etch detectors in the open metallic holder seems to be promising as the complementary method to the commonly used cup-technique for radon measurement. The firmness, simple and compact construction, small size as well as low costs could be successfully utilized mainly in field measurement. The possibility of a variable sensitivity by the distance between the detectors makes the system versatile for many applications, 2 detectors with different h can practically exclude of under- or overexposure. The more precise calibrations including exposures in radon-chamber and study of an eventual influence of humidity are supposed to be done in the nearest future. (J.K) 2 tabs.

  15. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  16. Silicon surface damage caused by reactive ion etching in fluorocarbon gas mixtures containing hydrogen

    International Nuclear Information System (INIS)

    Norstroem, H.; Blom, H.; Ostling, M.; Nylandsted Larsen, A.; Keinonen, J.; Berg, S.

    1991-01-01

    For selective etching of SiO 2 on silicon, gases or gas mixtures containing hydrogen are often used. Hydrogen from the glow discharge promotes the formation of a thin film polymer layer responsible for the selectivity of the etching process. The reactive ion etch (RIE) process is known to create damage in the silicon substrate. The influence of hydrogen on the damage and deactivation of dopants is investigated in the present work. The distribution of hydrogen in silicon, after different etching and annealing conditions have been studied. The influence of the RIE process on the charge carrier concentration in silicon has been investigated. Various analytical techniques like contact resistivity measurements, four point probe measurements, and Hall measurements have been used to determine the influence of the RIE process on the electrical properties of processed silicon wafers. The hydrogen profile in as-etched and post annealed wafers was determined by the 1 H( 15 N,αγ) 12 C nuclear reaction. The depth of the deactivated surface layer is discussed in terms of the impinging hydrogen ion energy, i.e., the possibility of H + ions to pick up an energy equal to the peak-to-peak voltage of the rf signal

  17. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  18. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  19. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  20. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  1. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  2. Surface photovoltage studies of p-type AlGaN layers after reactive-ion etching

    Science.gov (United States)

    McNamara, J. D.; Phumisithikul, K. L.; Baski, A. A.; Marini, J.; Shahedipour-Sandvik, F.; Das, S.; Reshchikov, M. A.

    2016-10-01

    The surface photovoltage (SPV) technique was used to study the surface and electrical properties of Mg-doped, p-type AlxGa1-xN (0.06 GaN:Mg thin films and from the predictions of a thermionic model for the SPV behavior. In particular, the SPV of the p-AlGaN:Mg layers exhibited slower-than-expected transients under ultraviolet illumination and delayed restoration to the initial dark value. The slow transients and delayed restorations can be attributed to a defective surface region which interferes with normal thermionic processes. The top 45 nm of the p-AlGaN:Mg layer was etched using a reactive-ion etch which caused the SPV behavior to be substantially different. From this study, it can be concluded that a defective, near-surface region is inhibiting the change in positive surface charge by allowing tunneling or hopping conductivity of holes from the bulk to the surface, or by the trapping of electrons traveling to the surface by a high concentration of defects in the near-surface region. Etching removes the defective layer and reveals a region of presumably higher quality, as evidenced by substantial changes in the SPV behavior.

  3. Chromium Trioxide Hole-Selective Heterocontacts for Silicon Solar Cells.

    Science.gov (United States)

    Lin, Wenjie; Wu, Weiliang; Liu, Zongtao; Qiu, Kaifu; Cai, Lun; Yao, Zhirong; Ai, Bin; Liang, Zongcun; Shen, Hui

    2018-04-25

    A high recombination rate and high thermal budget for aluminum (Al) back surface field are found in the industrial p-type silicon solar cells. Direct metallization on lightly doped p-type silicon, however, exhibits a large Schottky barrier for the holes on the silicon surface because of Fermi-level pinning effect. As a result, low-temperature-deposited, dopant-free chromium trioxide (CrO x , x solar cell as a hole-selective contact at the rear surface. By using 4 nm CrO x between the p-type silicon and Ag, we achieve a reduction of the contact resistivity for the contact of Ag directly on p-type silicon. For further improvement, we utilize a CrO x (2 nm)/Ag (30 nm)/CrO x (2 nm) multilayer film on the contact between Ag and p-type crystalline silicon (c-Si) to achieve a lower contact resistance (40 mΩ·cm 2 ). The low-resistivity Ohmic contact is attributed to the high work function of the uniform CrO x film and the depinning of the Fermi level of the SiO x layer at the silicon interface. Implementing the advanced hole-selective contacts with CrO x /Ag/CrO x on the p-type silicon solar cell results in a power conversion efficiency of 20.3%, which is 0.1% higher than that of the cell utilizing 4 nm CrO x . Compared with the commercialized p-type solar cell, the novel CrO x -based hole-selective transport material opens up a new possibility for c-Si solar cells using high-efficiency, low-temperature, and dopant-free deposition techniques.

  4. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  5. Enhanced electrochemical etching of ion irradiated silicon by localized amorphization

    Energy Technology Data Exchange (ETDEWEB)

    Dang, Z. Y.; Breese, M. B. H. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore Singapore 117542 (Singapore); Lin, Y.; Tok, E. S. [Department of Physics, National University of Singapore, 2 Science Drive 3, Singapore 117542 (Singapore); Vittone, E. [Physics Department, NIS Excellence Centre and CNISM, University of Torino, via Pietro Giuria 1, 10125 Torino (Italy)

    2014-05-12

    A tailored distribution of ion induced defects in p-type silicon allows subsequent electrochemical anodization to be modified in various ways. Here we describe how a low level of lattice amorphization induced by ion irradiation influences anodization. First, it superposes a chemical etching effect, which is observable at high fluences as a reduced height of a micromachined component. Second, at lower fluences, it greatly enhances electrochemical anodization by allowing a hole diffusion current to flow to the exposed surface. We present an anodization model, which explains all observed effects produced by light ions such as helium and heavy ions such as cesium over a wide range of fluences and irradiation geometries.

  6. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  7. Contacting graphene in a 200 mm wafer silicon technology environment

    Science.gov (United States)

    Lisker, Marco; Lukosius, Mindaugas; Kitzmann, Julia; Fraschke, Mirko; Wolansky, Dirk; Schulze, Sebastian; Lupina, Grzegorz; Mai, Andreas

    2018-06-01

    Two different approaches for contacting graphene in a 200 mm wafer silicon technology environment were tested. The key is the opportunity to create a thin SiN passivation layer on top of the graphene protecting it from the damage by plasma processes. The first approach uses pure Ni contacts with a thickness of 200 nm. For the second attempt, Ni is used as the contact metal which substitutes the Ti compared to a standard contact hole filling process. Accordingly, the contact hole filling of this "stacked via" approach is Ni/TiN/W. We demonstrate that the second "stacked Via" is beneficial and shows contact resistances of a wafer scale process with values below 200 Ohm μm.

  8. Selective etching of injection molded zirconia-toughened alumina: Towards osseointegrated and antibacterial ceramic implants.

    Science.gov (United States)

    Flamant, Quentin; Caravaca, Carlos; Meille, Sylvain; Gremillard, Laurent; Chevalier, Jérôme; Biotteau-Deheuvels, Katia; Kuntz, Meinhard; Chandrawati, Rona; Herrmann, Inge K; Spicer, Christopher D; Stevens, Molly M; Anglada, Marc

    2016-12-01

    Due to their outstanding mechanical properties and excellent biocompatibility, zirconia-toughened alumina (ZTA) ceramics have become the gold standard in orthopedics for the fabrication of ceramic bearing components over the last decade. However, ZTA is bioinert, which hampers its implantation in direct contact with bone. Furthermore, periprosthetic joint infections are now the leading cause of failure for joint arthroplasty prostheses. To address both issues, an improved surface design is required: a controlled micro- and nano-roughness can promote osseointegration and limit bacterial adhesion whereas surface porosity allows loading and delivery of antibacterial compounds. In this work, we developed an integrated strategy aiming to provide both osseointegrative and antibacterial properties to ZTA surfaces. The micro-topography was controlled by injection molding. Meanwhile a novel process involving the selective dissolution of zirconia (selective etching) was used to produce nano-roughness and interconnected nanoporosity. Potential utilization of the porosity for loading and delivery of antibiotic molecules was demonstrated, and the impact of selective etching on mechanical properties and hydrothermal stability was shown to be limited. The combination of injection molding and selective etching thus appears promising for fabricating a new generation of ZTA components implantable in direct contact with bone. Zirconia-toughened alumina (ZTA) is the current gold standard for the fabrication of orthopedic ceramic components. In the present work, we propose an innovative strategy to provide both osseointegrative and antibacterial properties to ZTA surfaces: we demonstrate that injection molding allows a flexible design of surface micro-topography and can be combined with selective etching, a novel process that induces nano-roughness and surface interconnected porosity without the need for coating, avoiding reliability issues. These surface modifications have the

  9. Influence factors and temperature reliability of ohmic contact on AlGaN/GaN HEMTs

    Directory of Open Access Journals (Sweden)

    Liang Song

    2018-03-01

    Full Text Available In this paper, we have studied the performance of Ti/Al/Ni/Au ohmic contact with different Al and Au thicknesses and pretreatments. The temperature dependence of contact resistances (Rc was investigated and it shows that there are different optimal annealing temperatures with different metal thicknesses and pretreatments. The optimal annealing temperature is affected by Al and Au thickness and AlGaN thickness. The etched AlGaN barrier is useful to achieve good ohmic contact (0.24 Ω·mm with a low annealing temperature. Only the contact resistances of the samples with 130 nm Al layer kept stable and the contact resistances of the samples with 100nm and 160 nm Al layers increased with the measurement temperatures. The contact resistances showed a similar increase and then keep stable trend for all the samples in the long-term 400 °C aging process. The ohmic metal of 20/130/50/50 nm Ti/Al/Ni/Au with ICP etching is the superior candidate considering the contact resistance and reliability.

  10. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  11. Towards nanometer-spaced silicon contacts to proteins

    Science.gov (United States)

    Schukfeh, Muhammed I.; Sepunaru, Lior; Behr, Pascal; Li, Wenjie; Pecht, Israel; Sheves, Mordechai; Cahen, David; Tornow, Marc

    2016-03-01

    A vertical nanogap device (VND) structure comprising all-silicon contacts as electrodes for the investigation of electronic transport processes in bioelectronic systems is reported. Devices were fabricated from silicon-on-insulator substrates whose buried oxide (SiO2) layer of a few nanometers in thickness is embedded within two highly doped single crystalline silicon layers. Individual VNDs were fabricated by standard photolithography and a combination of anisotropic and selective wet etching techniques, resulting in p+ silicon contacts, vertically separated by 4 or 8 nm, depending on the chosen buried oxide thickness. The buried oxide was selectively recess-etched with buffered hydrofluoric acid, exposing a nanogap. For verification of the devices’ electrical functionality, gold nanoparticles were successfully trapped onto the nanogap electrodes’ edges using AC dielectrophoresis. Subsequently, the suitability of the VND structures for transport measurements on proteins was investigated by functionalizing the devices with cytochrome c protein from solution, thereby providing non-destructive, permanent semiconducting contacts to the proteins. Current-voltage measurements performed after protein deposition exhibited an increase in the junctions’ conductance of up to several orders of magnitude relative to that measured prior to cytochrome c immobilization. This increase in conductance was lost upon heating the functionalized device to above the protein’s denaturation temperature (80 °C). Thus, the VND junctions allow conductance measurements which reflect the averaged electronic transport through a large number of protein molecules, contacted in parallel with permanent contacts and, for the first time, in a symmetrical Si-protein-Si configuration.

  12. Towards nanometer-spaced silicon contacts to proteins

    International Nuclear Information System (INIS)

    Schukfeh, Muhammed I; Behr, Pascal; Tornow, Marc; Sepunaru, Lior; Li, Wenjie; Pecht, Israel; Sheves, Mordechai; Cahen, David

    2016-01-01

    A vertical nanogap device (VND) structure comprising all-silicon contacts as electrodes for the investigation of electronic transport processes in bioelectronic systems is reported. Devices were fabricated from silicon-on-insulator substrates whose buried oxide (SiO_2) layer of a few nanometers in thickness is embedded within two highly doped single crystalline silicon layers. Individual VNDs were fabricated by standard photolithography and a combination of anisotropic and selective wet etching techniques, resulting in p"+ silicon contacts, vertically separated by 4 or 8 nm, depending on the chosen buried oxide thickness. The buried oxide was selectively recess-etched with buffered hydrofluoric acid, exposing a nanogap. For verification of the devices’ electrical functionality, gold nanoparticles were successfully trapped onto the nanogap electrodes’ edges using AC dielectrophoresis. Subsequently, the suitability of the VND structures for transport measurements on proteins was investigated by functionalizing the devices with cytochrome c protein from solution, thereby providing non-destructive, permanent semiconducting contacts to the proteins. Current–voltage measurements performed after protein deposition exhibited an increase in the junctions’ conductance of up to several orders of magnitude relative to that measured prior to cytochrome c immobilization. This increase in conductance was lost upon heating the functionalized device to above the protein’s denaturation temperature (80 °C). Thus, the VND junctions allow conductance measurements which reflect the averaged electronic transport through a large number of protein molecules, contacted in parallel with permanent contacts and, for the first time, in a symmetrical Si–protein–Si configuration. (paper)

  13. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  14. A variable angle slant-hole collimator

    International Nuclear Information System (INIS)

    Moore, R.H.; Alpert, N.M.; Strauss, H.W.

    1983-01-01

    A variable-angle slant-hole (VASH) collimator was constructed to show the feasibility of using multiple sliding plates to achieve a range of collimator channel inclinations. One hundred and sixty tungsten plates, 0.125 mm thick and 14 cm square, were photoetched to produce 3025 1.5-mm2 holes in each plate, separated by 0.8-mm septa. Along with the collimator holes, registration holes and positioning grooves were also etched. The plates were placed in a holder and stacked to form a collimator 2.0 cm high. The holder permitted the plates to be sheared to achieve viewing angles from 0 to 40 degrees from the vertical. Resolution and sensitivity were determined both across and along the shear directions. Resolution of a thin /sup 99m/Tc source, 1.24 mm diam and 7 cm long, located 5 cm from the collimator face in air, was 1.1 cm FWHM at 0 degree shear and remained unchanged with increasing slant. The resolution was similar both across and along the shear plane. Sensitivity was determined with a point source placed 7 cm from the collimator face. At 0 degree slant the sensitivity was 169 cps/MBq (6.24 csp/mu Ci). A general all purpose (GAP) collimator had a FWHM of 1 cm for the line source in air at 5 cm, and a sensitivity of 205 cps/MBq (7.58 cps/mu Ci) for the point source at 7 cm. The data suggest that a variable-angle slant-hole collimator can be constructed of laminated plates

  15. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  16. Neutron-induced modifications on Hostaphan and Makrofol wettability and etching behaviors

    International Nuclear Information System (INIS)

    El-Sayed, D.; El-Saftawy, A.A.; Abd El Aal, S.A.; Fayez-Hassan, M.; Al-Abyad, M.; Mansour, N.A.; Seddik, U.

    2017-01-01

    Understanding the nature of polymers used as nuclear detectors is crucial to enhance their behaviors. In this work, the induced modifications in wettability and etching properties of Hostaphan and Makrofol polymers irradiated by different fluences of thermal neutrons are investigated. The wetting properties are studied by contact angle technique which showed the spread out of various liquids over the irradiated polymers surfaces (wettability enhanced). This wetting behavior is attributed to the induced changes in surface free energy (SFE), morphology, roughness, structure, hardness, and chemistry. SFE values are calculated by three different models and found to increase after neutrons irradiation associated with differences depending on the used model. These differences result from the intermolecular interactions in the liquid/polymer system. Surface morphology and roughness of both polymers showed drastic changes after irradiation. Additionally, surface structure and hardness of pristine and irradiated polymers were discussed and correlated to the surface wettability improvements. The changes in surface chemistry are examined by Fourier transform infrared spectroscopy (FTIR), which indicate an increase in surface polarity due to the formation of polar groups. The irradiated polymers etching characteristics and activation energies are discussed as well. Lastly, it is evident that thermal neutrons show efficiency in improving surface wettability and etching properties of Hostaphan and Makrofol in a controlled way. - Highlights: • Neutrons radiation used to modify Hostaphan and Makrofol polymer wetting behavior. • Tailoring surface structure, topography and chemistry control its wettability. • Bulk etching rate and activation energy improved after neutrons irradiation.

  17. Effect of Oxygen Inhibition Layer of Universal Adhesives on Enamel Bond Fatigue Durability and Interfacial Characteristics With Different Etching Modes.

    Science.gov (United States)

    Ouchi, H; Tsujimoto, A; Nojiri, K; Hirai, K; Takamizawa, T; Barkmeier, W W; Latta, M A; Miyazaki, M

    The purpose of this study was to evaluate the effect of the oxygen inhibition layer of universal adhesive on enamel bond fatigue durability and interfacial characteristics with different etching modes. The three universal adhesives used were Scotchbond Universal Adhesive (3M ESPE, St Paul, MN, USA), Adhese Universal (Ivoclar Vivadent, Schaan, Lichtenstein), and G-Premio Bond (GC, Tokyo, Japan). The initial shear bond strength and shear fatigue strength to enamel was determined in the presence and absence of the oxygen inhibition layer, with and without phosphoric acid pre-etching. The water contact angle was also measured in all groups using the sessile drop method. The enamel bonding specimens with an oxygen inhibition layer showed significantly higher (padhesive type and etching mode. Moreover, the water contact angles on the specimens with an oxygen inhibition layer were significantly lower (puniversal adhesives significantly increases the enamel bond fatigue durability and greatly changes interfacial characteristics, suggesting that the bond fatigue durability and interfacial characteristics of these adhesives strongly rely on its presence.

  18. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  19. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  20. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  1. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  2. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    Science.gov (United States)

    Huan, Z.; Fratila-Apachitei, L. E.; Apachitei, I.; Duszczyk, J.

    2014-02-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  3. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    International Nuclear Information System (INIS)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-01-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel–titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO 2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces. (paper)

  4. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing.

    Science.gov (United States)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-02-07

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  5. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  6. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  7. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  8. Vertically contacting ultrathin semiconductor nanomembranes by rolled-up metallic contacts incorporating selective etching techniques

    Energy Technology Data Exchange (ETDEWEB)

    Thurmer, Dominic J.; Bof Bufon, Carlos Cesar; Deneke, Christoph [IFW Dresden, Dresden (Germany); Schmidt, Oliver G. [IFW Dresden, Dresden (Germany); TU Chemnitz, Chemnitz (Germany)

    2011-07-01

    Merging modern self-assembly techniques with well established top-down processing methods is paving the way for more sophisticated device generations in the future. Nanomembranes, composed of many different material classes, have already been shown to provide the necessary framework for a diverse range of structures and devices incorporating wrinkling, buckling, folding and rolling of thin films. In the past decade, an elegant symbiosis of bottom-up and top-down methods has emerged to fabricate hybrid layer systems incorporating the controlled release and rearrangement of inherently strained layers. Using selective III-V etchants in combination with inherently strained layers we are able to fabricate structures which allow us to contact through single and multi-material semiconductor nanomembrane creating many devices in parallel and on the original semiconductor substrate. We demonstrate this technique by creating hybrid superconducting junctions created by sandwiching the semiconductor nanomembrane between two superconducting contacts. Using solely optical lithography techniques we are able to form junctions with lateral dimensions of a few micrometers and a semiconductor barrier thickness of down to 5 nm.

  9. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  10. Study etching characteristics of a track detector CR-39 with ultraviolet laser irradiation

    International Nuclear Information System (INIS)

    Dwaikat, Nidal; Iida, Toshiyuki; Sato, Fuminobu; Kato, Yushi; Ishikawa, Ippei; Kada, Wataru; Kishi, Atsuya; Sakai, Makoto; Ihara, Yohei

    2007-01-01

    The effect of pulsed ultraviolet Indium-doped Yttrium Aluminum Garnet (UV-In:YAG) laser of λ=266 nm, pulse energy 42 mJ/pulse at repetition rate10 Hz on the etching characteristics of Japanese CR-39 was studied at various energy intensities. Fifteen detectors were divided into two sets, each of seven samples and one sample was kept as a reference.The first set (post-exposed) was first exposed to alpha radiation with close contact to 241 Am and then treated in air with laser in the energy intensity range from 40 to160 J/cm 2 , 20 J/cm 2 in step. The second set (pre-exposed) was irradiated in reverse process (laser+alpha) with the same sources as the first set and under the same condition. The laser energy intensities ranged between 20 and 140 J/cm 2 , 20 J/cm 2 in step. For post-exposed samples (alpha+laser) bulk etch rate decreases up to 60 J/cm 2 and increases thereafter, while for pre-exposed samples (laser+alpha) the bulk etch rate oscillates without showing any precise periodicity. The bulk etch rate for both sets was found to be the same at 60≤energy intensity≤80 J/cm 2 and this may indicate that the same structural changes have happened. The track etch rate was found to be equal to the bulk etch rate for both sets, so the sensitivity is constant. In both sets several changes on the detector surfaces: tracks of different sizes and shapes and high density within the laser spot were observed. Out of the laser spot, the tracks become larger and lower density, indicating cross-linking and scission have happened, simultaneously, on the same surface as a result of UV-laser irradiation

  11. A Twice Electrochemical-Etching Method to Fabricate Superhydrophobic-Superhydrophilic Patterns for Biomimetic Fog Harvest.

    Science.gov (United States)

    Yang, Xiaolong; Song, Jinlong; Liu, Junkai; Liu, Xin; Jin, Zhuji

    2017-08-18

    Superhydrophobic-superhydrophilic patterned surfaces have attracted more and more attention due to their great potential applications in the fog harvest process. In this work, we developed a simple and universal electrochemical-etching method to fabricate the superhydrophobic-superhydrophilic patterned surface on metal superhydrophobic substrates. The anti-electrochemical corrosion property of superhydrophobic substrates and the dependence of electrochemical etching potential on the wettability of the fabricated dimples were investigated on Al samples. Results showed that high etching potential was beneficial for efficiently producing a uniform superhydrophilic dimple. Fabrication of long-term superhydrophilic dimples on the Al superhydrophobic substrate was achieved by combining the masked electrochemical etching and boiling-water immersion methods. A long-term wedge-shaped superhydrophilic dimple array was fabricated on a superhydrophobic surface. The fog harvest test showed that the surface with a wedge-shaped pattern array had high water collection efficiency. Condensing water on the pattern was easy to converge and depart due to the internal Laplace pressure gradient of the liquid and the contact angle hysteresis contrast on the surface. The Furmidge equation was applied to explain the droplet departing mechanism and to control the departing volume. The fabrication technique and research of the fog harvest process may guide the design of new water collection devices.

  12. Hole states in diamond p-delta-doped field effect transistors

    International Nuclear Information System (INIS)

    Martinez-Orozco, J C; Rodriguez-Vargas, I; Mora-Ramos, M E

    2009-01-01

    The p-delta-doping in diamond allows to create high density two-dimensional hole gases. This technique has already been applied in the design and fabrication of diamond-based field effect transistors. Consequently, the knowledge of the electronic structure is of significant importance to understand the transport properties of diamond p-delta-doped systems. In this work the hole subbands of diamond p-type delta-doped quantum wells are studied within the framework of a local-density Thomas-Fermi-based approach for the band bending profile. The calculation incorporates an independent three-hole-band scheme and considers the effects of the contact potential, the delta-channel to contact distance, and the ionized impurity density.

  13. Hole states in diamond p-delta-doped field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Orozco, J C; Rodriguez-Vargas, I [Unidad Academica de Fisica, Universidad Autonoma de Zacatecas, Calzada Solidaridad Esquina con Paseo la Bufa S/N, CP 98060 Zacatecas, ZAC. (Mexico); Mora-Ramos, M E, E-mail: jcmover@correo.unam.m [Facultad de Ciencias, Universidad Autonoma del Estado de Morelos, Av. Universidad 1001, Col. Chamilpa, CP 62209 Cuernavaca, MOR. (Mexico)

    2009-05-01

    The p-delta-doping in diamond allows to create high density two-dimensional hole gases. This technique has already been applied in the design and fabrication of diamond-based field effect transistors. Consequently, the knowledge of the electronic structure is of significant importance to understand the transport properties of diamond p-delta-doped systems. In this work the hole subbands of diamond p-type delta-doped quantum wells are studied within the framework of a local-density Thomas-Fermi-based approach for the band bending profile. The calculation incorporates an independent three-hole-band scheme and considers the effects of the contact potential, the delta-channel to contact distance, and the ionized impurity density.

  14. Joint Services Electronics Program.

    Science.gov (United States)

    1981-04-01

    photolithographic technology suitable for making three-dimensional point contacts. By using an anisotropic etch, a small, pyramidal hole is defined in a wafer of...studied in detail, and strong anisotropics were observed. It was realized that these measurements provided a new and sensitive test of the standard theory...absorption data were apparently influenced by rotational hole filling by collisions. Under collisionless circumstances the ratio of the Rabi frequency to the

  15. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  16. Etched glass self-assembles into micron-size hollow platonic solids

    KAUST Repository

    Boukhalfa, Sofiane

    2012-10-03

    The interaction between the spreading of a hydrofluoric acid-based drop on a glass surface and its etching rate gives rise to hollow crystals of various shapes, including cubes, triangles, and icosahedra. These geometries are dependent on their position with respect to the contact line, where a rim forms by agglutination, similar to the formation of a coffee stain. Atomic force microscopy indentation and transmission electron microscopy observations revealed that these crystals are hollow ammonium-fluosilicate-based cryptohalite shells. © 2012 American Chemical Society.

  17. Etched glass self-assembles into micron-size hollow platonic solids

    KAUST Repository

    Boukhalfa, Sofiane; Chaieb, Saharoui

    2012-01-01

    The interaction between the spreading of a hydrofluoric acid-based drop on a glass surface and its etching rate gives rise to hollow crystals of various shapes, including cubes, triangles, and icosahedra. These geometries are dependent on their position with respect to the contact line, where a rim forms by agglutination, similar to the formation of a coffee stain. Atomic force microscopy indentation and transmission electron microscopy observations revealed that these crystals are hollow ammonium-fluosilicate-based cryptohalite shells. © 2012 American Chemical Society.

  18. Studies of biocompatibility of chemically etched CR-39 SSNTDs in view of their applications in alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Li, W.Y.; Chan, K.F.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2006-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require thin biocompatible materials which can record alpha-particle traversals as substrates for cell cultures. The biocompatibilities of chemically etched CR-39 solid-state nuclear track detectors (SSNTDs) using aqueous NaOH or NaOH/ehtanol are studied through the abundance and morphology of the cultured HeLa cells. The wetting properties of these etched CR-39 SSNTDs are also studied. The moderately hydrophobic CR-39 SSNTDs as well as the hydrophobic NaOH/ethanol-etched CR-39 SSNTDs are more biocompatible than the hydrophilic aqueous-NaOH-etched SSNTDs. Too small water contact angles, too large surface energy (γ s ) or the polar component γ s p do not favor the cell culture. On the other hand, the dispersive component γ s d of the surface energy and the ratio γ s p /γ s d do not seem to significantly affect the biocompatibility

  19. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  20. Redeposition of etch products on sidewalls during SiO2 etching in a fluorocarbon plasma. I. Effect of particle emission from the bottom surface in a CF4 plasma

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2002-01-01

    The effect of etch-product redeposition on sidewall properties during the etching of step-shaped SiO 2 patterns in a CF 4 plasma was examined using a Faraday cage located in a transformer coupled plasma etcher. Sidewall properties were observed for two cases: with and without particles emitted from the bottom surface in normal contact with the sidewall. Particles sputtered from the bottom surface were redeposited on the sidewall, which contributes to the formation of a passivation layer on the surface of the latter. The passivation layer consisted of silicon oxide, Si x O y , and fluorocarbon, C x F y , the latter comprising the major species. Ar plasma experiments confirmed that C x F y or a fluorocarbon polymer must be present on the sidewall in order for the Si x O y species to be deposited on the surface. The redeposited particles, which were largely F-deficient fluorocarbon species, as evidenced by x-ray photoelectron spectroscopy analyses, functioned as precursors for fluorocarbon polymerization, resulting in a rough sidewall surface. The chemical etch rates of SiO 2 were retarded by the redeposition of particles, which eventually formed a thick layer, eventually covering the bulk SiO 2 . Auger electron spectroscopy analyses of the sidewall surface affected by the emission from the bottom suggest that the surface consists of three distinct layers: a surface-carbon layer, a redeposition-etch combined layer, and bulk SiO 2

  1. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  2. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors

    Science.gov (United States)

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  3. Influence of duration of phosphoric acid pre-etching on bond durability of universal adhesives and surface free-energy characteristics of enamel.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2016-08-01

    The purpose of this study was to evaluate the influence of duration of phosphoric acid pre-etching on the bond durability of universal adhesives and the surface free-energy characteristics of enamel. Three universal adhesives and extracted human molars were used. Two no-pre-etching groups were prepared: ground enamel; and enamel after ultrasonic cleaning with distilled water for 30 s to remove the smear layer. Four pre-etching groups were prepared: enamel pre-etched with phosphoric acid for 3, 5, 10, and 15 s. Shear bond strength (SBS) values of universal adhesive after no thermal cycling and after 30,000 or 60,000 thermal cycles, and surface free-energy values of enamel surfaces, calculated from contact angle measurements, were determined. The specimens that had been pre-etched showed significantly higher SBS and surface free-energy values than the specimens that had not been pre-etched, regardless of the aging condition and adhesive type. The SBS and surface free-energy values did not increase for pre-etching times of longer than 3 s. There were no significant differences in SBS values and surface free-energy characteristics between the specimens with and without a smear layer. The results of this study suggest that phosphoric acid pre-etching of enamel improves the bond durability of universal adhesives and the surface free-energy characteristics of enamel, but these bonding properties do not increase for phosphoric acid pre-etching times of longer than 3 s. © 2016 Eur J Oral Sci.

  4. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  5. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  6. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  7. Geometry and surface damage in micro electrical discharge machining of micro-holes

    Science.gov (United States)

    Ekmekci, Bülent; Sayar, Atakan; Tecelli Öpöz, Tahsin; Erden, Abdulkadir

    2009-10-01

    Geometry and subsurface damage of blind micro-holes produced by micro electrical discharge machining (micro-EDM) is investigated experimentally to explore the relational dependence with respect to pulse energy. For this purpose, micro-holes are machined with various pulse energies on plastic mold steel samples using a tungsten carbide tool electrode and a hydrocarbon-based dielectric liquid. Variations in the micro-hole geometry, micro-hole depth and over-cut in micro-hole diameter are measured. Then, unconventional etching agents are applied on the cross sections to examine micro structural alterations within the substrate. It is observed that the heat-damaged segment is composed of three distinctive layers, which have relatively high thicknesses and vary noticeably with respect to the drilling depth. Crack formation is identified on some sections of the micro-holes even by utilizing low pulse energies during machining. It is concluded that the cracking mechanism is different from cracks encountered on the surfaces when machining is performed by using the conventional EDM process. Moreover, an electrically conductive bridge between work material and debris particles is possible at the end tip during machining which leads to electric discharges between the piled segments of debris particles and the tool electrode during discharging.

  8. Geometry and surface damage in micro electrical discharge machining of micro-holes

    International Nuclear Information System (INIS)

    Ekmekci, Bülent; Sayar, Atakan; Öpöz, Tahsin Tecelli; Erden, Abdulkadir

    2009-01-01

    Geometry and subsurface damage of blind micro-holes produced by micro electrical discharge machining (micro-EDM) is investigated experimentally to explore the relational dependence with respect to pulse energy. For this purpose, micro-holes are machined with various pulse energies on plastic mold steel samples using a tungsten carbide tool electrode and a hydrocarbon-based dielectric liquid. Variations in the micro-hole geometry, micro-hole depth and over-cut in micro-hole diameter are measured. Then, unconventional etching agents are applied on the cross sections to examine micro structural alterations within the substrate. It is observed that the heat-damaged segment is composed of three distinctive layers, which have relatively high thicknesses and vary noticeably with respect to the drilling depth. Crack formation is identified on some sections of the micro-holes even by utilizing low pulse energies during machining. It is concluded that the cracking mechanism is different from cracks encountered on the surfaces when machining is performed by using the conventional EDM process. Moreover, an electrically conductive bridge between work material and debris particles is possible at the end tip during machining which leads to electric discharges between the piled segments of debris particles and the tool electrode during discharging

  9. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  10. Effect of oxygen plasma etching on pore size-controlled 3D polycaprolactone scaffolds for enhancing the early new bone formation in rabbit calvaria.

    Science.gov (United States)

    Kook, Min-Suk; Roh, Hee-Sang; Kim, Byung-Hoon

    2018-05-02

    This study was to investigate the effects of O 2 plasma-etching of the 3D polycaprolactone (PCL) scaffold surface on preosteoblast cell proliferation and differentiation, and early new bone formation. The PCL scaffolds were fabricated by 3D printing technique. After O 2 plasma treatment, surface characterizations were examined by scanning electron microscopy, atomic force microscopy, and contact angle. MTT assay was used to determine cell proliferation. To investigate the early new bone formation, rabbits were sacrificed at 2 weeks for histological analyses. As the O 2 plasma etching time is increased, roughness and hydrophilicity of the PCL scaffold surface increased. The cell proliferation and differentiation on plasma-etched samples was significantly increased than on untreated samples. At 2 weeks, early new bone formation in O 2 plasma-etched PCL scaffolds was the higher than that of untreated scaffolds. The O 2 plasma-etched PCL scaffolds showed increased preosteoblast differentiation as well as increased new bone formation.

  11. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  12. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  13. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  14. Electrical Contacts in Monolayer Arsenene Devices.

    Science.gov (United States)

    Wang, Yangyang; Ye, Meng; Weng, Mouyi; Li, Jingzhen; Zhang, Xiuying; Zhang, Han; Guo, Ying; Pan, Yuanyuan; Xiao, Lin; Liu, Junku; Pan, Feng; Lu, Jing

    2017-08-30

    Arsenene, arsenic analogue of graphene, as an emerging member of two-dimensional semiconductors (2DSCs), is quite promising in next-generation electronic and optoelectronic applications. The metal electrical contacts play a vital role in the charge transport and photoresponse processes of nanoscale 2DSC devices and even can mask the intrinsic properties of 2DSCs. Here, we present a first comprehensive study of the electrical contact properties of monolayer (ML) arsenene with different electrodes by using ab initio electronic calculations and quantum transport simulations. Schottky barrier is always formed with bulk metal contacts owing to the Fermi level pinning (pinning factor S = 0.33), with electron Schottky barrier height (SBH) of 0.12, 0.21, 0.25, 0.35, and 0.50 eV for Sc, Ti, Ag, Cu, and Au contacts and hole SBH of 0.75 and 0.78 eV for Pd and Pt contacts, respectively. However, by contact with 2D graphene, the Fermi level pinning effect can be reduced due to the suppression of metal-induced gap states. Remarkably, a barrier free hole injection is realized in ML arsenene device with graphene-Pt hybrid electrode, suggestive of a high device performance in such a ML arsenene device. Our study provides a theoretical foundation for the selection of favorable electrodes in future ML arsenene devices.

  15. Hole-Collection Mechanism in Passivating Metal-Oxide Contacts on Si Solar Cells: Insights From Numerical Simulations

    KAUST Repository

    Vijayan, Ramachandran Ammapet

    2018-02-14

    Silicon heterojunction solar cells enable high conversion efficiencies, thanks to their passivating contacts which consist of layered stacks of intrinsic and doped amorphous silicon. However, such contacts may reduce the photo current, when present on the illuminated side of the cell. This motivates the search for wider bandgap contacting materials, such as metal oxides. In this paper, we elucidate the precise impact of the material parameters of MoO$_{x}$ on device characteristics, based on numerical simulations. The simulation results allow us to propose design principles for hole-collecting induced junctions. We find that if MoO$_{x}$ has a sufficiently high electron affinity ($\\\\ge\\\\! \\\\text{{5.7 eV}}$), direct band-to-band tunneling is the dominant transport mechanism; whereas if it has a lower electron affinity ($ <\\\\! \\\\text{{5.7 eV}}$), trap-assisted tunneling dominates, which might introduce additional series resistance. At even lower electron affinity, S-shaped J–V curves may appear for these solar cells, which are found to be due to an insufficient trap state density in the MoO$_{x}$ film in contrast to the expectation of better performance at low trap density. These traps may assist carrier transport when present near the conduction band edge of the MoO$_{x}$ film. Our simulations predict that performance optimization for the MoO$_{x}$ film has to target either 1) a high electron affinity and a moderate doping density film or, 2) if the electron affinity is lower than the optimum value, a high defect density not exceeding the doping density inside the film.

  16. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  17. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  18. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  19. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  20. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    Science.gov (United States)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  1. Microscopic mapping of specific contact resistances and long-term reliability tests on 4H-silicon carbide using sputtered titanium tungsten contacts for high temperature device applications

    Science.gov (United States)

    Lee, S.-K.; Zetterling, C.-M.; Ostling, M.

    2002-07-01

    We report on the microscopic mapping of specific contact resistances (rhoc) and long-term reliability tests using sputtered titanium tungsten (TiW) ohmic contacts to highly doped n-type epilayers of 4H-silicon carbide. The TiW ohmic contacts showed good uniformity with low contact resistivity of 3.3 x10-5 Omega cm2. Microscopic mapping of the rhoc showed that the rhoc had a distribution that decreased from the center to the edge of the wafer. This distribution of the rhoc is caused by variation of the doping concentration of the wafer. Sacrificial oxidation at high temperature partially recovered inductively coupled plasma etch damage. TiW contacts with platinum and gold capping layers have stable specific contact resistance at 500 and 600 degC in a vacuum chamber for 308 h.

  2. Composition and conductance distributions of single GeSi quantum rings studied by conductive atomic force microscopy combined with selective chemical etching.

    Science.gov (United States)

    Lv, Y; Cui, J; Jiang, Z M; Yang, X J

    2013-02-15

    Atomic force microscopy imaging combined with selective chemical etching is employed to quantitatively investigate three-dimensional (3D) composition distributions of single GeSi quantum rings (QRs). In addition, the 3D quantitative composition distributions and the corresponding conductance distributions are simultaneously obtained on the same single GeSi QRs by conductive atomic force microscopy combined with selective chemical etching, allowing us to investigate the correlations between the conductance and composition distributions of single QRs. The results show that the QRs' central holes have higher Ge content, but exhibit lower conductance, indicating that the QRs' conductance distribution is not consistent with their composition distribution. By comparing the topography, composition and conductance profiles of the same single QRs before and after different etching processes, it is found that the conductance distributions of GeSi QRs do not vary with the change of composition distribution. Instead, the QRs' conductance distributions are found to be consistent with their topographic shapes, which can be supposed to be due to the shape determined electronic structures.

  3. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  4. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  5. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  6. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  7. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  8. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  9. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  10. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  11. Development and application of the electrochemical etching technique

    International Nuclear Information System (INIS)

    Sanders, M.E.

    1984-07-01

    This report documents the advances achieved in the development and application of several etched damage track plastic dosimeters that can be used to measure dose-equivalent from neutrons with energies from thermal to 20 MeV. The project was initiated with the design of a rem-responding dosimeter that measured fast (> 1 MeV) neutron dose-equivalent as a function of the damage track density directly induced within the volume of polycarbonate foils amplified by electrochemical etching. Stillwagon (1978) adapted electrochemical etching of polycarbonate foils (ECEPF) to alpha dosimetry and used the technique to determine Pu-239 uptake in human bone. Su (1979) extended the usefulness of the ECEPF neutron dosimetry technique to encompass thermal neutron dose measurement. The thermal neutron dosimeter was composed of an external radiator tablet made of 7 LiF in contact with a polycarbonate foil and utilized the thermal neutron-induced 6 Li(n, α) 3 H reaction to give a dose-equivalent response as a function of alpha track density registered in the detector foil. An intermediate (1 eV-1 MeV) neutron dosimeter was developed and has been shown to give an approximately dose-equivalent response to neutrons with energies from 1 eV to 17 MeV. The intermediate neutron dosimeter consists of 6 LiF-Teflon/CR-39 Polymer foil assembly which is enclosed by a (Cd + In) neutron filter. The neutron dose-equivalent is measured as a variable function of the damage track density registered in the CR-39 detector foil due to alpha particles from the 1/v dependent 6 Li(n, α) 3 H reaction, recoil H, C, O nuclei from neutron-induced elastic scattering within the foil volume, and protons from the 6 Li(n, p) reaction for neutron energies above 2 MeV. 46 figs., 6 tabs

  12. Site-specific Pt deposition and etching on electrically and thermally isolated SiO2 micro-disk surfaces

    International Nuclear Information System (INIS)

    Saraf, Laxmikant V

    2010-01-01

    Electrically and thermally isolated surfaces are crucial for improving the detection sensitivity of microelectronic sensors. The site-specific in situ growth of Pt nano-rods on thermally and electrically isolated SiO 2 micro-disks using wet chemical etching and a focused ion/electron dual beam (FIB-SEM) is demonstrated. Fabrication of an array of micro-cavities on top of a micro-disk is also demonstrated. The FIB source is utilized to fabricate through-holes in the micro-disks. Due to the amorphous nature of SiO 2 micro-disks, the Ga implantation possibly modifies through-hole sidewall surface chemistry rather than affecting its transport properties. Some sensor design concepts based on micro-fabrication of SiO 2 micro-disks utilizing thermally and electrically isolated surfaces are discussed from the viewpoint of applications in photonics and bio-sensing.

  13. High-etch-rate bottom-antireflective coating and gap-fill materials using dextrin derivatives in via first dual-Damascene lithography process

    Science.gov (United States)

    Takei, Satoshi; Sakaida, Yasushi; Shinjo, Tetsuya; Hashimoto, Keisuke; Nakajima, Yasuyuki

    2008-03-01

    The present paper describes a novel class of bottom antireflective coating (BARC) and gap fill materials using dextrin derivatives. The general trend of interconnect fabrication for such a high performance LSI is to apply cupper (Cu)/ low-dielectric-constant (low-k) interconnect to reduce RC delay. A via-first dual damascene process is one of the most promising processes to fabricate Cu/ low-k interconnect due to its wide miss-alignment margin. The sacrificial materials containing dextrin derivatives under resist for lithography were developed in via-first dual damascene process. The dextrin derivatives in this study was obtained by the esterification of the hydroxyl groups of dextrin resulting in improved solubility in the resist solvents such as propylene glycol monomethylether, propylene glycol monomethylether acetate, and ethyl lactate due to avoid the issue of defects that were caused by incompatability. The etch rate of our developed BARC and gap fill materials using dextrin derivatives was more than two times faster than one of the ArF resists evaluated in a CF4 gas condition using reactive ion etching. The improved etch performance was also verified by comparison with poly(hydroxystyrene), acrylate-type materials and latest low-k materials as a reference. In addition to superior etch performance, these materials showed good resist profiles and via filling performance without voids in via holes.

  14. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  15. Enhancing hydrophilicity and water permeability of PET track-etched membranes by advanced oxidation process

    International Nuclear Information System (INIS)

    Korolkov, Ilya V.; Mashentseva, Anastassiya A.; Güven, Olgun; Zdorovets, Maxim V.; Taltenov, Abzal A.

    2015-01-01

    In this study we present results on the application of advanced oxidation systems for effective and non-toxic oxidation of poly(ethylene terephthalate) track-etched membranes (PET TeMs) to improve their wettability and water transport properties. Two oxidizing systems: H 2 O 2 under UV irradiation (H 2 O 2 /UV) and Fenton system under visible light (Fenton/H 2 O 2 /Vis) were compared. The surface of functionalized PET TeMs was characterized by using colorimetric assay, contact angle measurements and X-ray photoelectron spectroscopy (XPS). Results clearly showed that water permeability of PET TeMs treated with H 2 O 2 /UV was improved by 28 ± 5% compared with etched-only membrane, the same parameter was found to increase by 13 ± 4% in the case of Fenton/H 2 O 2 /Vis treatment. The proposed oxidation technique is very simple, environment friendly and not requiring special equipment or expensive chemicals. The surface hydrophilicity of the membranes stored for 360 days in air between paper sheets was analyzed by contact angle test, colorimetric assay to measure concentration of carboxylic groups on the surface with toluidine blue and XPS analysis. The hydrophilic properties of oxidized PET TeMs were found to be stable for a long period of time.

  16. Enhancing hydrophilicity and water permeability of PET track-etched membranes by advanced oxidation process

    Science.gov (United States)

    Korolkov, Ilya V.; Mashentseva, Anastassiya A.; Güven, Olgun; Zdorovets, Maxim V.; Taltenov, Abzal A.

    2015-12-01

    In this study we present results on the application of advanced oxidation systems for effective and non-toxic oxidation of poly(ethylene terephthalate) track-etched membranes (PET TeMs) to improve their wettability and water transport properties. Two oxidizing systems: H2O2 under UV irradiation (H2O2/UV) and Fenton system under visible light (Fenton/H2O2/Vis) were compared. The surface of functionalized PET TeMs was characterized by using colorimetric assay, contact angle measurements and X-ray photoelectron spectroscopy (XPS). Results clearly showed that water permeability of PET TeMs treated with H2O2/UV was improved by 28 ± 5% compared with etched-only membrane, the same parameter was found to increase by 13 ± 4% in the case of Fenton/H2O2/Vis treatment. The proposed oxidation technique is very simple, environment friendly and not requiring special equipment or expensive chemicals. The surface hydrophilicity of the membranes stored for 360 days in air between paper sheets was analyzed by contact angle test, colorimetric assay to measure concentration of carboxylic groups on the surface with toluidine blue and XPS analysis. The hydrophilic properties of oxidized PET TeMs were found to be stable for a long period of time.

  17. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  18. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  19. Realistic-contact-induced enhancement of rectifying in carbon-nanotube/graphene-nanoribbon junctions

    International Nuclear Information System (INIS)

    Zhang, Xiang-Hua; Li, Xiao-Fei; Wang, Ling-Ling; Xu, Liang; Luo, Kai-Wu

    2014-01-01

    Carbon-nanotube/graphene-nanoribbon junctions were recently fabricated by the controllable etching of single-walled carbon-nanotubes [Wei et al., Nat. Commun. 4, 1374 (2013)] and their electronic transport properties were studied here. First principles results reveal that the transmission function of the junctions show a heavy dependence on the shape of contacts, but rectifying is an inherent property which is insensitive to the details of contacts. Interestingly, the rectifying ratio is largely enhanced in the junction with a realistic contact and the enhancement is insensitive to the details of contact structures. The stability of rectifying suggests a significant feasibility to manufacture realistic all-carbon rectifiers in nanoelectronics

  20. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  1. Universal strategy for Ohmic hole injection into organic semiconductors with high ionization energies.

    Science.gov (United States)

    Kotadiya, Naresh B; Lu, Hao; Mondal, Anirban; Ie, Yutaka; Andrienko, Denis; Blom, Paul W M; Wetzelaer, Gert-Jan A H

    2018-04-01

    Barrier-free (Ohmic) contacts are a key requirement for efficient organic optoelectronic devices, such as organic light-emitting diodes, solar cells, and field-effect transistors. Here, we propose a simple and robust way of forming an Ohmic hole contact on organic semiconductors with a high ionization energy (IE). The injected hole current from high-work-function metal-oxide electrodes is improved by more than an order of magnitude by using an interlayer for which the sole requirement is that it has a higher IE than the organic semiconductor. Insertion of the interlayer results in electrostatic decoupling of the electrode from the semiconductor and realignment of the Fermi level with the IE of the organic semiconductor. The Ohmic-contact formation is illustrated for a number of material combinations and solves the problem of hole injection into organic semiconductors with a high IE of up to 6 eV.

  2. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  3. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  4. Effect of the electronic structure of the etched CdTe single crystals on the exciton radiation processes

    International Nuclear Information System (INIS)

    Tkachuk, P.M.; Tkachuk, V.Yi.; Mel'nichuk, S.V.; Kurik, M.V.

    2005-01-01

    Under optical excitation the structure of the radiation beyond fundamental absorption of the orientated CdTe single crystals caused by LO-phonon scattering processes of the electron-hole states is observed. Crystals have been doped with impurity of Cl as a result of the surface preparing by etching in Br-methanol. Electronic structure of the single crystals surface layer is identified on the basis of two-phonon radiation absorption investigation. Taking into account the modes selection rules the one and two phonon scattering mechanisms for two crystals surface orientations are determined

  5. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  6. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  7. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  8. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  9. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  10. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  11. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  12. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  13. Fabrication and Photovoltaic Characteristics of Coaxial Silicon Nanowire Solar Cells Prepared by Wet Chemical Etching

    Directory of Open Access Journals (Sweden)

    Chien-Wei Liu

    2012-01-01

    Full Text Available Nanostructured solar cells with coaxial p-n junction structures have strong potential to enhance the performances of the silicon-based solar cells. This study demonstrates a radial junction silicon nanowire (RJSNW solar cell that was fabricated simply and at low cost using wet chemical etching. Experimental results reveal that the reflectance of the silicon nanowires (SNWs declines as their length increases. The excellent light trapping was mainly associated with high aspect ratio of the SNW arrays. A conversion efficiency of ∼7.1% and an external quantum efficiency of ∼64.6% at 700 nm were demonstrated. Control of etching time and diffusion conditions holds great promise for the development of future RJSNW solar cells. Improving the electrode/RJSNW contact will promote the collection of carries in coaxial core-shell SNW array solar cells.

  14. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  15. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  16. Facile fabrication of superhydrophobic surfaces from austenitic stainless steel (AISI 304) by chemical etching

    Science.gov (United States)

    Kim, Jae-Hun; Mirzaei, Ali; Kim, Hyoun Woo; Kim, Sang Sub

    2018-05-01

    Stainless steels are among the most common engineering materials and are used extensively in humid areas. Therefore, it is important that these materials must be robust to humidity and corrosion. This paper reports the fabrication of superhydrophobic surfaces from austenitic stainless steel (type AISI 304) using a facile two-step chemical etching method. In the first step, the stainless steel plates were etched in a HF solution, followed by a fluorination process, where they showed a water contact angle (WCA) of 166° and a sliding angle of 5° under the optimal conditions. To further enhance the superhydrophobicity, in the second step, they were dipped in a 0.1 wt.% NaCl solution at 100 °C, where the WCA was increased to 168° and the sliding angle was decreased to ∼2°. The long-term durability of the fabricated superhydrophobic samples for 1 month storage in air and water was investigated. The potential applicability of the fabricated samples was demonstrated by the excellent superhydrophobicity after 1 month. In addition, the self-cleaning properties of the fabricated superhydrophobic surface were also demonstrated. This paper outlines a facile, low-cost and scalable chemical etching method that can be adopted easily for large-scale purposes.

  17. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  18. Hybrid emitter all back contact solar cell

    Science.gov (United States)

    Loscutoff, Paul; Rim, Seung

    2016-04-12

    An all back contact solar cell has a hybrid emitter design. The solar cell has a thin dielectric layer formed on a backside surface of a single crystalline silicon substrate. One emitter of the solar cell is made of doped polycrystalline silicon that is formed on the thin dielectric layer. The other emitter of the solar cell is formed in the single crystalline silicon substrate and is made of doped single crystalline silicon. The solar cell includes contact holes that allow metal contacts to connect to corresponding emitters.

  19. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  20. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  1. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  2. Atomic scale characterization of white etching area and its adjacent matrix in a martensitic 100Cr6 bearing steel

    Energy Technology Data Exchange (ETDEWEB)

    Li, Y.J., E-mail: y.li@mpie.de [Max-Planck Institut für Eisenforschung, Max-Planck-Str. 1, Düsseldorf D-40237 (Germany); Center for Interface-Dominated High Performance Materials, Ruhr-Universität Bochum, Bochum 44780 (Germany); Herbig, M.; Goto, S. [Max-Planck Institut für Eisenforschung, Max-Planck-Str. 1, Düsseldorf D-40237 (Germany); Raabe, D., E-mail: d.raabe@mpie.de [Max-Planck Institut für Eisenforschung, Max-Planck-Str. 1, Düsseldorf D-40237 (Germany)

    2017-01-15

    Atom probe tomography was employed to characterize the microstructure and C distribution in the white etching area (WEA) of a martensitic 100Cr6 bearing steel subjected to rolling contact fatigue. Different from its surrounding matrix where a plate-like martensitic structure prevails, the WEA exhibits equiaxed grains with a uniform grain size of about 10 nm. Significant C grain boundary enrichment (>7.5at.%) and an overall higher C concentration than the nominal value are observed in the WEA. These results suggest that the formation of WEA results from severe local plastic deformation that causes dissolution of carbides and the redistribution of C. - Highlights: •APT has been applied to characterize the microstructure of white etching area (WEA). •Quantitative analyses of C distribution indicate that carbides are dissolved on the WEA. •WEA contains equiaxed grains with a uniform grain size of 10 nm. •C segregation at grain boundaries stabilizes the nanosized grain structure. •Formation of WEA is explained by severe local plastic deformation introduced by cyclic contact loading.

  3. Enhancing hydrophilicity and water permeability of PET track-etched membranes by advanced oxidation process

    Energy Technology Data Exchange (ETDEWEB)

    Korolkov, Ilya V.; Mashentseva, Anastassiya A. [Institute of Nuclear Physics, Ibrahimov Str., 1, 050032 Almaty (Kazakhstan); The L.N. Gumilyov Eurasian National University, Satpaev Str., 5, 010008 Astana (Kazakhstan); Güven, Olgun [Department of Chemistry, Hacettepe University, 06800 Beytepe, Ankara (Turkey); Zdorovets, Maxim V. [Institute of Nuclear Physics, Ibrahimov Str., 1, 050032 Almaty (Kazakhstan); The L.N. Gumilyov Eurasian National University, Satpaev Str., 5, 010008 Astana (Kazakhstan); Taltenov, Abzal A. [The L.N. Gumilyov Eurasian National University, Satpaev Str., 5, 010008 Astana (Kazakhstan)

    2015-12-15

    In this study we present results on the application of advanced oxidation systems for effective and non-toxic oxidation of poly(ethylene terephthalate) track-etched membranes (PET TeMs) to improve their wettability and water transport properties. Two oxidizing systems: H{sub 2}O{sub 2} under UV irradiation (H{sub 2}O{sub 2}/UV) and Fenton system under visible light (Fenton/H{sub 2}O{sub 2}/Vis) were compared. The surface of functionalized PET TeMs was characterized by using colorimetric assay, contact angle measurements and X-ray photoelectron spectroscopy (XPS). Results clearly showed that water permeability of PET TeMs treated with H{sub 2}O{sub 2}/UV was improved by 28 ± 5% compared with etched-only membrane, the same parameter was found to increase by 13 ± 4% in the case of Fenton/H{sub 2}O{sub 2}/Vis treatment. The proposed oxidation technique is very simple, environment friendly and not requiring special equipment or expensive chemicals. The surface hydrophilicity of the membranes stored for 360 days in air between paper sheets was analyzed by contact angle test, colorimetric assay to measure concentration of carboxylic groups on the surface with toluidine blue and XPS analysis. The hydrophilic properties of oxidized PET TeMs were found to be stable for a long period of time.

  4. Contacting nanowires and nanotubes with atomic precision for electronic transport

    KAUST Repository

    Qin, Shengyong; Hellstrom, Sondra; Bao, Zhenan; Boyanov, Boyan; Li, An-Ping

    2012-01-01

    Making contacts to nanostructures with atomic precision is an important process in the bottom-up fabrication and characterization of electronic nanodevices. Existing contacting techniques use top-down lithography and chemical etching, but lack atomic precision and introduce the possibility of contamination. Here, we report that a field-induced emission process can be used to make local contacts onto individual nanowires and nanotubes with atomic spatial precision. The gold nano-islands are deposited onto nanostructures precisely by using a scanning tunneling microscope tip, which provides a clean and controllable method to ensure both electrically conductive and mechanically reliable contacts. To demonstrate the wide applicability of the technique, nano-contacts are fabricated on silicide atomic wires, carbon nanotubes, and copper nanowires. The electrical transport measurements are performed in situ by utilizing the nanocontacts to bridge the nanostructures to the transport probes. © 2012 American Institute of Physics.

  5. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  6. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  7. Formation of 2D-PhCs with missing holes based on Si-layers by EBL

    Science.gov (United States)

    Utkin, D. E.; Shklyev, A. A.; Tsarev, A. V.; Latyshev, A. V.

    2017-11-01

    The fabrication of the periodic structures, that is two-dimensional photonic crystals (2D PhCs) based on Si-materials by electron beam lithography (EBL) technique has been studied. We have investigated basic lithography processes such as designing, exposition, development, etching and others. The developed top-down approach allows close-packed arrays of elements and holes to be formed in nanometre range. This can be used to produce 2D PhCs with emitting micro-cavities (missing holes) with lateral size parameters with an accuracy of about 2% in the Si (100) substrate and in silicon-on-insulator structures. Such accuracy is expected to be sufficient for obtaining the cavities-coupling radiation interference from large areas of 2D PhCs.

  8. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  9. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  10. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  11. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  12. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  13. Surface modification of fluorosilicone acrylate RGP contact lens via low-temperature argon plasma

    International Nuclear Information System (INIS)

    Yin Shiheng; Wang Yingjun; Ren Li; Zhao Lianna; Kuang Tongchun; Chen Hao; Qu Jia

    2008-01-01

    A fluorosilicone acrylate rigid gas permeable (RGP) contact lens was modified via argon plasma to improve surface hydrophilicity and resistance to protein deposition. The influence of plasma treatment on surface chemical structure, hydrophilicity and morphology of RGP lens was investigated by X-ray photoelectron spectrometer (XPS), contact angle measurements and scanning electron microscope (SEM), respectively. The contact angle results showed that the hydrophilicity of the contact lens was improved after plasma treatment. XPS results indicated that the incorporation of oxygen-containing groups on surface and the transformation of silicone into hydrophilic silicate after plasma treatment are the main reasons for the surface hydrophilicity improvement. SEM results showed that argon plasma with higher power could lead to surface etching

  14. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  15. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  16. Preparing nano-hole arrays by using porous anodic aluminum oxide nano-structural masks for the enhanced emission from InGaN/GaN blue light-emitting diodes

    International Nuclear Information System (INIS)

    Nguyen, Hoang-Duy; Nguyen, Hieu Pham Trung; Lee, Jae-jin; Mho, Sun-Il

    2012-01-01

    We report on the achievement of the enhanced cathodoluminescence (CL) from InGaN/GaN light-emitting diodes (LEDs) by using roughening surface. Nanoporous anodic aluminum oxide (AAO) mask was utilized to form nano-hole arrays on the surface of InGaN/GaN LEDs. AAO membranes with ordered hexagonal structures were fabricated from aluminum foils by a two-step anodization method. The average pore densities of ∼1.0 × 10 10 cm −2 and 3.0 × 10 10 cm −2 were fabricated with the constant anodization voltages of 25 and 40 V, respectively. Anodic porous alumina film with a thickness of ∼600 nm has been used as a mask for the induced couple plasma etching process to fabricate nano-hole arrays on the LED surface. Diameter and depth of nano-holes can be controlled by varying the etching duration and/or the diameter of AAO membranes. Due to the reduction of total internal reflection obtained in the patterned samples, we have observed that the cathodoluminescence intensity of LEDs with nanoporous structures is increased up to eight times compared to that of samples without using nanoporous structure. (paper)

  17. Preparing nano-hole arrays by using porous anodic aluminum oxide nano-structural masks for the enhanced emission from InGaN/GaN blue light-emitting diodes

    Science.gov (United States)

    Nguyen, Hoang-Duy; Nguyen, Hieu Pham Trung; Lee, Jae-jin; Mho, Sun-Il

    2012-12-01

    We report on the achievement of the enhanced cathodoluminescence (CL) from InGaN/GaN light-emitting diodes (LEDs) by using roughening surface. Nanoporous anodic aluminum oxide (AAO) mask was utilized to form nano-hole arrays on the surface of InGaN/GaN LEDs. AAO membranes with ordered hexagonal structures were fabricated from aluminum foils by a two-step anodization method. The average pore densities of ˜1.0 × 1010 cm-2 and 3.0 × 1010 cm-2 were fabricated with the constant anodization voltages of 25 and 40 V, respectively. Anodic porous alumina film with a thickness of ˜600 nm has been used as a mask for the induced couple plasma etching process to fabricate nano-hole arrays on the LED surface. Diameter and depth of nano-holes can be controlled by varying the etching duration and/or the diameter of AAO membranes. Due to the reduction of total internal reflection obtained in the patterned samples, we have observed that the cathodoluminescence intensity of LEDs with nanoporous structures is increased up to eight times compared to that of samples without using nanoporous structure.

  18. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  19. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  20. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  1. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  2. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  3. Towards low-dimensional hole systems in Be-doped GaAs nanowires

    DEFF Research Database (Denmark)

    Ullah, A. R.; Gluschke, J. G.; Jeppesen, Peter Krogstrup

    2017-01-01

    -gates produced using GaAs nanowires with three different Be-doping densities and various AuBe contact processing recipes. We show that contact annealing only brings small improvements for the moderately doped devices under conditions of lower anneal temperature and short anneal time. We only obtain good......GaAs was central to the development of quantum devices but is rarely used for nanowire-based quantum devices with InAs, InSb and SiGe instead taking the leading role. p-type GaAs nanowires offer a path to studying strongly confined 0D and 1D hole systems with strong spin–orbit effects, motivating...... our development of nanowire transistors featuring Be-doped p-type GaAs nanowires, AuBe alloy contacts and patterned local gate electrodes towards making nanowire-based quantum hole devices. We report on nanowire transistors with traditional substrate back-gates and EBL-defined metal/oxide top...

  4. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  5. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  6. Etching of enamel for direct bonding with a thulium fiber laser

    Science.gov (United States)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  7. Segmentation of the Outer Contact on P-Type Coaxial Germanium Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Hull, Ethan L.; Pehl, Richard H.; Lathrop, James R.; Martin, Gregory N.; Mashburn, R. B.; Miley, Harry S.; Aalseth, Craig E.; Hossbach, Todd W.

    2006-09-21

    Germanium detector arrays are needed for low-level counting facilities. The practical applications of such user facilities include characterization of low-level radioactive samples. In addition, the same detector arrays can also perform important fundamental physics measurements including the search for rare events like neutrino-less double-beta decay. Coaxial germanium detectors having segmented outer contacts will provide the next level of sensitivity improvement in low background measurements. The segmented outer detector contact allows performance of advanced pulse shape analysis measurements that provide additional background reduction. Currently, n-type (reverse electrode) germanium coaxial detectors are used whenever a segmented coaxial detector is needed because the outer boron (electron barrier) contact is thin and can be segmented. Coaxial detectors fabricated from p-type germanium cost less, have better resolution, and are larger than n-type coaxial detectors. However, it is difficult to reliably segment p-type coaxial detectors because thick (~1 mm) lithium-diffused (hole barrier) contacts are the standard outside contact for p-type coaxial detectors. During this Phase 1 Small Business Innovation Research (SBIR) we have researched the possibility of using amorphous germanium contacts as a thin outer contact of p-type coaxial detectors that can be segmented. We have developed amorphous germanium contacts that provide a very high hole barrier on small planar detectors. These easily segmented amorphous germanium contacts have been demonstrated to withstand several thousand volts/cm electric fields with no measurable leakage current (<1 pA) from charge injection over the hole barrier. We have also demonstrated that the contact can be sputter deposited around and over the curved outside surface of a small p-type coaxial detector. The amorphous contact has shown good rectification properties on the outside of a small p-type coaxial detector. These encouraging

  8. Creation of nanosized holes in graphene planes for improvement of rate capability of lithium-ion batteries

    Science.gov (United States)

    Bulusheva, L. G.; Stolyarova, S. G.; Chuvilin, A. L.; Shubin, Yu V.; Asanov, I. P.; Sorokin, A. M.; Mel'gunov, M. S.; Zhang, Su; Dong, Yue; Chen, Xiaohong; Song, Huaihe; Okotrub, A. V.

    2018-04-01

    Holes with an average size of 2-5 nm have been created in graphene layers by heating of graphite oxide (GO) in concentrated sulfuric acid followed by annealing in an argon flow. The hot mineral acid acts simultaneously as a defunctionalizing and etching agent, removing a part of oxygen-containing groups and lattice carbon atoms from the layers. Annealing of the holey reduced GO at 800 °C-1000 °C causes a decrease of the content of residual oxygen and the interlayer spacing thus producing thin compact stacks from holey graphene layers. Electrochemical tests of the obtained materials in half-cells showed that the removal of oxygen and creation of basal holes lowers the capacity loss in the first cycle and facilitates intercalation-deintercalation of lithium ions. This was attributed to minimization of electrolyte decomposition reactions, easier desolvation of lithium ions near the hole boundaries and appearance of multiple entrances for the naked ions into graphene stacks.

  9. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  10. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  11. Influence of hydrogen peroxide treatment on Au/n-ZnO contact

    Energy Technology Data Exchange (ETDEWEB)

    Chen, X.D.; Gu, Q.L.; Ling, C.C.; Djurisic, A.B. [Department of Physics, The University of Hong Kong, Pokfulam Road (China); Brauer, G.; Anwand, W.; Skorupa, W.; Reuther, H. [Institut fuer Ionenstrahlphysik und Materialforschung, Forschungszentrum Rossendorf, Postfach 510119, 01314 Dresden (Germany)

    2007-07-01

    The Au/n-ZnO contact behavior was investigated as a function of hydrogen peroxide pre-treatment of the ZnO sample by current-voltage (IV) measurement. The change from non-rectifying to rectifying performance upon etching was discussed in connection with sample characterization by positron annihilation spectroscopy (PAS), Auger electron spectroscopy (AES) and scanning electron microscopy (SEM). It was concluded that the gain of the rectifying property was properly associated with the formation of a defective interfacial region at the Au/n-ZnO contact. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  13. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  14. Optical-fiber strain sensors with asymmetric etched structures.

    Science.gov (United States)

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  15. Surface changes of biopolymers PHB and PLLA induced by Ar+ plasma treatment and wet etching

    Science.gov (United States)

    Slepičková Kasálková, N.; Slepička, P.; Sajdl, P.; Švorčík, V.

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar+ plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers - polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  16. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  17. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  18. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  19. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  20. Type conversion, contacts, and surface effects in electroplated CdTe films

    International Nuclear Information System (INIS)

    Basol, B.M.; Ou, S.S.; Stafsudd, O.M.

    1985-01-01

    Efficient electroplated CdS/CdTe solar cells can be fabricated by heat treating and type-converting the n-CdTe films deposited on CdS layers. In this paper, various mechanisms which may give rise to the conversion of electroplated CdTe films from n to p type are investigated. It is concluded that Cd-vacancy generation is the main mechanism of type conversion. Possible effects of oxygen on this mechanism are also discussed. Evaporated Au contacts to electroplated p-CdTe films were studied. It was found that the Au contacts depleted the excess Te present on the surface of Br 2 -methanol etched p-CdTe films. Oxygen was found to affect the electrical characteristics of such contacts

  1. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  2. Growth, etching, and stability of sputtered ZnO:Al for thin-film silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Owen, Jorj Ian

    2011-07-01

    Aluminum-doped zinc oxide (ZnO:Al) can fulfill many requirements in thin-film solar cells, acting as (1) a transparent contact through which the incident light is transmitted, (2) part of the back reflector, and (3) a source of light scattering. Magnetron sputtered ZnO:Al thin-films are highly transparent, conductive, and are typically texturized by post-deposition etching in a dilute hydrochloric acid (HCl) solution to achieve light scattering. The ZnO:Al thin-film electronic and optical properties, as well as the surface texture after etching, depend on the deposition conditions and the post-deposition treatments. Despite having been used in thin-film solar cells for more than a decade, many aspects regarding the growth, effects of heat treatments, environmental stability, and etching of sputtered ZnO:Al are not fully understood. This work endeavors to further the understanding of ZnO:Al for the purpose improving silicon thin-film solar cell efficiency and reducing ZnO:Al production costs. With regard to the growth of ZnO:Al, the influence of various deposition conditions on the resultant electrical and structural properties and their evolution with film thickness were studied. The surface electrical properties extracted from a multilayer model show that while carrier concentration of the surface layer saturates already at film thickness of 100 nm, the surface mobility continues to increases with film thickness, and it is concluded that electronic transport across grain boundaries limits mobility in ZnO:Al thin films. ZnO:Al deposited onto a previously etched ZnO:Al surface grows epitaxially, preserving both the original orientation and grain structure. Further, it is determined that a typical ZnO:Al used in thin-film silicon solar cells grows Zn-terminated on glass substrates. Concerning the affects of heat treatments and stability, it is demonstrated that a layer of amorphous silicon can protect ZnO:Al from degradation during annealing, and the mobility of Zn

  3. A FIB/TEM study of butterfly crack formation and white etching area (WEA) microstructural changes under rolling contact fatigue in 100Cr6 bearing steel

    International Nuclear Information System (INIS)

    Evans, M.-H.; Walker, J.C.; Ma, C.; Wang, L.; Wood, R.J.K.

    2013-01-01

    Butterflies are microscopic damage features forming at subsurface material imperfections induced during rolling contact fatigue (RCF) in rolling element bearings. Butterflies can lead to degradation of the load bearing capacity of the material by their associated cracks causing premature spalling failures. Recently, butterfly formation has been cited to be related to a premature failure mode in wind turbine gearbox bearings; white structure flaking (WSF). Butterflies consist of cracks with surrounding microstructural change called ‘white etching area’ (WEA) forming wings that revolve around their initiators. The formation mechanisms of butterflies in bearing steels have been studied over the last 50 years, but are still not fully understood. This paper presents a detailed microstructural analysis of a butterfly that has initiated from a void in standard 100Cr6 bearing steel under rolling contact fatigue on a laboratory two-roller test rig under transient operating conditions. Analysis was conducted using focused ion beam (FIB) tomography, 3D reconstruction and transmission electron microscopy (STEM/TEM) methods. FIB tomography revealed an extensive presence of voids/cavities immediately adjacent to the main crack on the non-WEA side and at the crack tip. This provides evidence for a void/cavity coalescence mechanism for the butterfly cracks formation. Spherical M 3 C carbide deformation and dissolution as part of the microstructural change in WEA were observed in both FIB and STEM/TEM analyses, where TEM analyses also revealed the formation of superfine nano-grains (3–15 nm diameter) intersecting a dissolving spherical M 3 C carbide. This is evidence of the early formation of nano-grains associated with the WEA formation mechanism

  4. A FIB/TEM study of butterfly crack formation and white etching area (WEA) microstructural changes under rolling contact fatigue in 100Cr6 bearing steel

    Energy Technology Data Exchange (ETDEWEB)

    Evans, M.-H., E-mail: martin.evans@soton.ac.uk [National Centre for Advanced Tribology at Southampton (nCATS), University of Southampton, SO17 1BJ (United Kingdom); Walker, J.C.; Ma, C.; Wang, L.; Wood, R.J.K. [National Centre for Advanced Tribology at Southampton (nCATS), University of Southampton, SO17 1BJ (United Kingdom)

    2013-05-15

    Butterflies are microscopic damage features forming at subsurface material imperfections induced during rolling contact fatigue (RCF) in rolling element bearings. Butterflies can lead to degradation of the load bearing capacity of the material by their associated cracks causing premature spalling failures. Recently, butterfly formation has been cited to be related to a premature failure mode in wind turbine gearbox bearings; white structure flaking (WSF). Butterflies consist of cracks with surrounding microstructural change called ‘white etching area’ (WEA) forming wings that revolve around their initiators. The formation mechanisms of butterflies in bearing steels have been studied over the last 50 years, but are still not fully understood. This paper presents a detailed microstructural analysis of a butterfly that has initiated from a void in standard 100Cr6 bearing steel under rolling contact fatigue on a laboratory two-roller test rig under transient operating conditions. Analysis was conducted using focused ion beam (FIB) tomography, 3D reconstruction and transmission electron microscopy (STEM/TEM) methods. FIB tomography revealed an extensive presence of voids/cavities immediately adjacent to the main crack on the non-WEA side and at the crack tip. This provides evidence for a void/cavity coalescence mechanism for the butterfly cracks formation. Spherical M{sub 3}C carbide deformation and dissolution as part of the microstructural change in WEA were observed in both FIB and STEM/TEM analyses, where TEM analyses also revealed the formation of superfine nano-grains (3–15 nm diameter) intersecting a dissolving spherical M{sub 3}C carbide. This is evidence of the early formation of nano-grains associated with the WEA formation mechanism.

  5. Quantum conductance staircase of holes in silicon nanosandwiches

    Directory of Open Access Journals (Sweden)

    Nikolay T. Bagraev

    2017-03-01

    Full Text Available The results of studying the quantum conductance staircase of holes in one-dimensional channels obtained by the split-gate method inside silicon nanosandwiches that are the ultra-narrow quantum well confined by the delta barriers heavily doped with boron on the n-type Si (100 surface are reported. Since the silicon quantum wells studied are ultra-narrow (~2 nm and confined by the delta barriers that consist of the negative-U dipole boron centers, the quantized conductance of one-dimensional channels is observed at relatively high temperatures (T>77 K. Further, the current-voltage characteristic of the quantum conductance staircase is studied in relation to the kinetic energy of holes and their sheet density in the quantum wells. The results show that the quantum conductance staircase of holes in p-Si quantum wires is caused by independent contributions of the one-dimensional (1D subbands of the heavy and light holes. In addition, the field-related inhibition of the quantum conductance staircase is demonstrated in the situation when the energy of the field-induced heating of the carriers become comparable to the energy gap between the 1D subbands. The use of the split-gate method made it possible to detect the effect of a drastic increase in the height of the quantum conductance steps when the kinetic energy of holes is increased; this effect is most profound for quantum wires of finite length, which are not described under conditions of a quantum point contact. In the concluding section of this paper we present the findings for the quantum conductance staircase of holes that is caused by the edge channels in the silicon nanosandwiches prepared within frameworks of the Hall geometry. This longitudinal quantum conductance staircase, Gxx, is revealed by the voltage applied to the Hall contacts, with the plateaus and steps that bring into correlation respectively with the odd and even fractional values.

  6. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  7. On the Hole Injection for III-Nitride Based Deep Ultraviolet Light-Emitting Diodes.

    Science.gov (United States)

    Li, Luping; Zhang, Yonghui; Xu, Shu; Bi, Wengang; Zhang, Zi-Hui; Kuo, Hao-Chung

    2017-10-24

    The hole injection is one of the bottlenecks that strongly hinder the quantum efficiency and the optical power for deep ultraviolet light-emitting diodes (DUV LEDs) with the emission wavelength smaller than 360 nm. The hole injection efficiency for DUV LEDs is co-affected by the p-type ohmic contact, the p-type hole injection layer, the p-type electron blocking layer and the multiple quantum wells. In this report, we review a large diversity of advances that are currently adopted to increase the hole injection efficiency for DUV LEDs. Moreover, by disclosing the underlying device physics, the design strategies that we can follow have also been suggested to improve the hole injection for DUV LEDs.

  8. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  9. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  10. No-waiting dentine self-etch concept-Merit or hype.

    Science.gov (United States)

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  11. What's new in dentine bonding? Self-etch adhesives.

    Science.gov (United States)

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  12. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  13. The formation mechanism for printed silver-contacts for silicon solar cells.

    Science.gov (United States)

    Fields, Jeremy D; Ahmad, Md Imteyaz; Pool, Vanessa L; Yu, Jiafan; Van Campen, Douglas G; Parilla, Philip A; Toney, Michael F; van Hest, Maikel F A M

    2016-04-01

    Screen-printing provides an economically attractive means for making Ag electrical contacts to Si solar cells, but the use of Ag substantiates a significant manufacturing cost, and the glass frit used in the paste to enable contact formation contains Pb. To achieve optimal electrical performance and to develop pastes with alternative, abundant and non-toxic materials, a better understanding the contact formation process during firing is required. Here, we use in situ X-ray diffraction during firing to reveal the reaction sequence. The findings suggest that between 500 and 650 °C PbO in the frit etches the SiNx antireflective-coating on the solar cell, exposing the Si surface. Then, above 650 °C, Ag(+) dissolves into the molten glass frit - key for enabling deposition of metallic Ag on the emitter surface and precipitation of Ag nanocrystals within the glass. Ultimately, this work clarifies contact formation mechanisms and suggests approaches for development of inexpensive, nontoxic solar cell contacting pastes.

  14. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  15. Laser desorption/ionization mass spectrometry of lipids using etched silver substrates.

    Science.gov (United States)

    Schnapp, Andreas; Niehoff, Ann-Christin; Koch, Annika; Dreisewerd, Klaus

    2016-07-15

    Silver-assisted laser desorption/ionization mass spectrometry can be used for the analysis of small molecules. For example, adduct formation with silver cations enables the molecular analysis of long-chain hydrocarbons, which are difficult to ionize via conventional matrix-assisted laser desorption ionization (MALDI). Here we used highly porous silver foils, produced by etching with nitric acid, as sample substrates for LDI mass spectrometry. As model system for the analysis of complex lipid mixtures, cuticular extracts of fruit flies (Drosophila melanogaster) and worker bees (Apis mellifera) were investigated. The mass spectra obtained by spotting extract onto the etched silver substrates demonstrate the sensitive detection of numerous lipid classes such as long-chain saturated and unsaturated hydrocarbons, fatty acyl alcohols, wax esters, and triacylglycerols. MS imaging of cuticular surfaces with a lateral resolution of a few tens of micrometers became possible after blotting, i.e., after transferring lipids by physical contact with the substrate. The examples of pheromone-producing male hindwings of the squinting bush brown butterfly (Bicyclus anynana) and a fingermark are shown. Because the substrates are also easy to produce, they provide a viable alternative to colloidal silver nanoparticles and other so far described silver substrates. Copyright © 2016 Elsevier Inc. All rights reserved.

  16. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  17. Single Mode Optical Fiber based Refractive Index Sensor using Etched Cladding

    OpenAIRE

    Kumar, Ajay; Gupta, Geeta; Mallik, Arun; Bhatnagar, Anuj

    2011-01-01

    The use of optical fiber for sensor applications is a topic of current interest. We report the fabrication of etched single mode optical fiber based refractive index sensor. Experiments are performed to determine the etch rate of fiber in buffered hydrofluoric acid, which can be high or low depending upon the temperature at which etching is carried out. Controlled wet etching of fiber cladding is performed using these measurements and etched fiber region is tested for refractive index sensing...

  18. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  19. The fabrication and hydrophobic property of micro-nano patterned surface on magnesium alloy using combined sparking sculpture and etching route

    Science.gov (United States)

    Wu, Yunfeng; Wang, Yaming; Liu, Hao; Liu, Yan; Guo, Lixin; Jia, Dechang; Ouyang, Jiahu; Zhou, Yu

    2016-12-01

    Magnesium alloy with micro-nano structure roughness surface, can serve as the loading reservoirs of medicine capsule and industrial lubricating oil, or mimic 'lotus leaf' hydrophobic surface, having the potential applications in medical implants, automobile, aerospace and electronic products, etc. Herein, we propose a novel strategy to design a micro-nano structure roughness surface on magnesium alloy using combined microarc sparking sculpture and etching in CrO3 aqueous solution. A hydrophobic surface (as an applied example) was further fabricated by chemical decorating on the obtained patterned magnesium alloy surface to enhance the corrosion resistance. The results show that the combined micro-nano structure of 7-9 μm diameter big pores insetting with nano-scale fine pores was duplicated after etched the sparking sculptured 'over growth' oxide regions towards the magnesium substrate. The micro-nano structure surface was chemically decorated using AgNO3 and stearic acid, which enables the contact angle increased from 60° to 146.8°. The increasing contact angle is mainly attributed to the micro-nano structure and the chemical composition. The hydrophobic surface of magnesium alloy improved the corrosion potential from -1.521 V of the bare magnesium to -1.274 V. Generally, the sparking sculpture and then etching route demonstrates a low-cost, high-efficacy method to fabricate a micro-nano structure hydrophobic surface on magnesium alloy. Furthermore, our research on the creating of micro-nano structure roughness surface and the hydrophobic treatment can be easily extended to the other metal materials.

  20. Neutrophil migration through preexisting holes in the basal laminae of alveolar capillaries and epithelium during streptococcal pneumonia.

    Science.gov (United States)

    Walker, D C; Behzad, A R; Chu, F

    1995-11-01

    The purpose of this study was to determine whether or not there are preexisting holes in the endothelial and epithelial basal laminae of alveolar walls and to determine the path taken by neutrophils as they migrate from the capillaries to the airspace of the alveoli during inflammation. Using transmission electron microscopy and serial thin sections of normal rabbit and mouse lung, we have demonstrated the presence of slit-like holes in the capillary basal laminae and round holes in the basal laminae of type 2 pneumocytes. The slits in the capillary basal laminae were observed at the intersection of the thick and thin walls where endothelium, pericytes, and fibroblasts make close contact. The round holes in the type 2 cell basal laminae were observed at sites of close contact with fibroblasts. Neutrophils were observed to migrate through these slits and holes during streptococcal pneumonia in rabbit lungs. We conclude that during inflammation in the lung, migrating neutrophils displace pericytes and fibroblasts from the slits in the capillary basal lamina and then crawl through these slits into the alveolar interstitium. We postulate that neutrophils find their way to type 2 pneumocytes by following interstitial fibroblasts. We believe that neutrophils displace fibroblasts from their close contacts with the type 2 cells and then crawl through the holes in the basal lamina into the basal lateral space of the type 2 cells. From there, neutrophils migrate into the alveolar airspace.

  1. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  2. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  3. Optimized use of cooling holes to decrease the amount of thermal damage on a plastic gear tooth

    OpenAIRE

    Demagna Koffi; Alencar Bravo; Lotfi Toubal; Fouad Erchiqui

    2016-01-01

    The full potential of plastic gear usage is limited by not only poor mechanical properties but also equally poor temperature limits and poor heat conduction properties. Cooling holes were developed to decrease the amount of thermal damage on the contact surface. These cooling holes promote increased stress and tooth deflection, thus exerting a negative effect. This article compares various cooling holes for plastic gear configurations and proposes novel cooling holes. Thermal and mechanical s...

  4. Defect sensitive etching of hexagonal boron nitride single crystals

    Science.gov (United States)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  5. Electron and hole transport in ambipolar, thin film pentacene transistors

    International Nuclear Information System (INIS)

    Saudari, Sangameshwar R.; Kagan, Cherie R.

    2015-01-01

    Solution-processed, ambipolar, thin-film pentacene field-effect transistors were employed to study both electron and hole transport simultaneously in a single, organic solid-state device. Electron and hole mobilities were extracted from the respective unipolar saturation regimes and show thermally activated behavior and gate voltage dependence. We fit the gate voltage dependent saturation mobility to a power law to extract the characteristic Meyer-Neldel (MN) energy, a measure of the width of the exponential distribution of localized states extending into the energy gap of the organic semiconductor. The MN energy is ∼78 and ∼28 meV for electrons and holes, respectively, which reflects a greater density of localized tail states for electrons than holes. This is consistent with the lower measured electron than hole mobility. For holes, the well-behaved linear regime allows for four-point probe measurement of the contact resistance independent mobility and separate characterization of the width of the localized density of states, yielding a consistent MN energy of 28 meV

  6. Electron and hole transport in ambipolar, thin film pentacene transistors

    Energy Technology Data Exchange (ETDEWEB)

    Saudari, Sangameshwar R. [Department of Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania 19104 (United States); Kagan, Cherie R. [Department of Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania 19104 (United States); Department of Electrical and Systems Engineering, University of Pennsylvania, Philadelphia, Pennsylvania 19104 (United States); Department of Chemistry, University of Pennsylvania, Philadelphia, Pennsylvania 19104 (United States)

    2015-01-21

    Solution-processed, ambipolar, thin-film pentacene field-effect transistors were employed to study both electron and hole transport simultaneously in a single, organic solid-state device. Electron and hole mobilities were extracted from the respective unipolar saturation regimes and show thermally activated behavior and gate voltage dependence. We fit the gate voltage dependent saturation mobility to a power law to extract the characteristic Meyer-Neldel (MN) energy, a measure of the width of the exponential distribution of localized states extending into the energy gap of the organic semiconductor. The MN energy is ∼78 and ∼28 meV for electrons and holes, respectively, which reflects a greater density of localized tail states for electrons than holes. This is consistent with the lower measured electron than hole mobility. For holes, the well-behaved linear regime allows for four-point probe measurement of the contact resistance independent mobility and separate characterization of the width of the localized density of states, yielding a consistent MN energy of 28 meV.

  7. Multi-contact Variable-Compliance Manipulation in Extreme Clutter

    Science.gov (United States)

    2014-06-16

    house to find eggs and young. (b) When noodling , people find catfish holes from which to pull fish out. (c)-(d) A person makes contact along his...Figure 7: Haptic Map of detected rigid contacts. by mapping all the rigid taxels at every time- instant . For visualizing the haptic map, we use point...the environment while reaching into clutter. (a) A raccoon reaches into a bird house to find eggs and young. (b) When noodling , people find catfish

  8. Surface changes of biopolymers PHB and PLLA induced by Ar{sup +} plasma treatment and wet etching

    Energy Technology Data Exchange (ETDEWEB)

    Slepičková Kasálková, N. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Slepička, P., E-mail: petr.slepicka@vscht.cz [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Sajdl, P. [Department of Power Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Švorčík, V. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic)

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar{sup +} plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers – polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  9. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  10. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  11. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  12. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  13. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  14. Temperature increase beneath etched dentin discs during composite polymerization.

    Science.gov (United States)

    Karaarslan, Emine Sirin; Secilmis, Asli; Bulbul, Mehmet; Yildirim, Cihan; Usumez, Aslihan

    2011-01-01

    The purpose of this in vitro study was to measure the temperature increase during the polymerization of a composite resin beneath acid-etched or laser-etched dentin discs. The irradiation of dentin with an Er:YAG laser may have a positive effect on the thermal conductivity of dentin. This technique has not been studied extensively. Forty dentin discs (5 mm in diameter and 0.5 or 1 mm in height) were prepared from extracted permanent third molars. These dentin discs were etched with 20% orthophosphoric acid or an Er:YAG laser, and were then placed on an apparatus developed to measure temperature increases. The composite resin was polymerized with a high-intensity quartz tungsten halogen (HQTH) or light-emitting diode unit (LED). The temperature increase was measured under the dentin disc with a J-type thermocouple wire that was connected to a data logger. Five measurements were made for each dentin disc, curing unit, and etching system combination. Differences between the initial and the highest temperature readings were taken, and the five calculated temperature changes were averaged to determine the value of the temperature increase. Statistical analysis was performed with a three-way ANOVA and Tukey HSD tests at a 0.05 level of significance. Further SEM examinations were performed. The temperature increase values varied significantly, depending on etching systems (p < 0.05), dentin thicknesses (p < 0.05), and curing units (p < 0.05). Temperature increases measured beneath laser-etched discs were significantly higher than those for acid-etched dentin discs (p < 0.05). The HQTH unit induced significantly higher temperature increases than the LED unit (p < 0.05). The LED unit induced the lowest temperature change (5.2°C) in the 1-mm, acid-etched dentin group. The HQTH unit induced the highest temperature change (10.4°C) for the 0.5-mm, laser-etched dentin group. The risk of heat-induced pulpal damage should be taken into consideration

  15. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  16. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  17. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  18. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  19. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  20. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  1. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  2. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  3. Superconducting Sweet-Spot in Microcrystalline Graphite Revealed by Point-Contact Spectroscopy

    Science.gov (United States)

    Arnold, F.; Nyéki, J.; Saunders, J.

    2018-05-01

    In this letter we describe the observation of a magnetic field dependent electronic gap, suggestive of local superconductivity, in the point-contact spectrum of micro-crystalline graphite. Magnetic field dependent point-contact spectroscopy was carried out at a temperature of 1.8K using an etched aluminium tip. At zero field a gap structure in the differential conductance is observed, showing a gap of Δ = 4.2 meV. On applying magnetic fields of up to 500mT, this gap gradually closes, following the theoretical prediction by Ginzburg and Landau for a fully flux-penetrated superconductor. By applying BCS-theory, we infer a critical superconducting temperature of 14K.

  4. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  5. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  6. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  7. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  8. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  9. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  10. Transport comparison of multiwall carbon nanotubes by contacting outer shell and all shells.

    Science.gov (United States)

    Luo, Qiang; Cui, A-Juan; Zhang, Yi-Guang; Lu, Chao; Jin, Ai-Zi; Yang, Hai-Fang; Gu, Chang-Zhi

    2010-11-01

    Carbon nanotubes, particularly multiwall carbon nanotubes (MWCNTs) can serve as interconnects in nanoelectronic devices and integrated circuits because of their extremely large current-carrying capacity. Many experimental results about the transport properties of individual MWCNTs by contacting outer shell or all shells have been reported. In this work, a compatible method with integrated circuit manufacturing process was presented to compare the transport property of an individual multiwall carbon nanotube (MWCNT) by contacting outer shell only and all shells successively. First of the Ti/Au electrodes contacting outer shell only were fabricated onto the nanotube through the sequence of electron beam lithography (EBL) patterning, metal deposition and lift-off process. After the characterization of its transport property, focused ion beam (FIB) was used to drill holes through the same nanotube at the as-deposited electrodes. Then new contact to the holes and electrodes were made by ion-induced deposition of tungsten from W(CO)6 precursor gas. The transport results indicated that the new contact to all shells can clear up the intershell resistance and the electrical conductance of the tube can be improved about 8 times compared to that of by contacting outer shell only.

  11. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  12. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  13. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  14. Micro-hole array fluorescent sensor based on AC-Dielectrophoresis (DEP) for simultaneous analysis of nano-molecules

    Science.gov (United States)

    Kim, Hye Jin; Kang, Dong-Hoon; Lee, Eunji; Hwang, Kyo Seon; Shin, Hyun-Joon; Kim, Jinsik

    2018-02-01

    We propose a simple fluorescent bio-chip based on two types of alternative current-dielectrophoretic (AC-DEP) force, attractive (positive DEP) and repulsive (negative DEP) force, for simultaneous nano-molecules analysis. Various radius of micro-holes on the bio-chip are designed to apply the different AC-DEP forces, and the nano-molecules are concentrated inside the micro-hole arrays according to the intensity of the DEP force. The bio-chip was fabricated by Micro Electro Mechanical system (MEMS) technique, and was composed of two layers; a SiO2 layer and Ta/Pt layer were accomplished for an insulation layer and a top electrode with micro-hole arrays to apply electric fields for DEP force, respectively. Each SiO2 and Ta/Pt layers were deposited by thermal oxidation and sputtering, and micro-hole arrays were fabricated with Inductively Coupled Plasma (ICP) etching process. For generation of each positive and negative DEP at micro-holes, we applied two types of sine-wave AC voltage with different frequency range alternately. The intensity of the DEP force was controlled by the radius of the micro-hole and size of nano-molecule, and calculated with COMSOL multi-physics. Three types of nano-molecules labelled with different fluorescent dye were used and the intensity of nano-molecules was examined by the fluorescent optical analysis after applying the DEP force. By analyzing the fluorescent intensities of the nano-molecules, we verify the various nano-molecules in analyte are located successfully inside corresponding micro-holes with different radius according to their size.

  15. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  16. Shear bond strength of self-etch and total-etch bonding systems at different dentin depths

    Directory of Open Access Journals (Sweden)

    Ana Carolina Maito Villela-Rosa

    2011-04-01

    Full Text Available The purpose of this study was to evaluate the dentin shear bond strength of four adhesive systems (Adper Single Bond 2, Adper Prompt L-Pop, Magic Bond DE and Self Etch Bond in regards to buccal and lingual surfaces and dentin depth. Forty extracted third molars had roots removed and crowns bisected in the mesiodistal direction. The buccal and lingual surfaces were fixed in a PVC/acrylic resin ring and were divided into buccal and lingual groups assigned to each selected adhesive. The same specimens prepared for the evaluation of superficial dentin shear resistance were used to evaluate the different depths of dentin. The specimens were identified and abraded at depths of 0.5, 1.0, 1.5 and 2.0 mm. Each depth was evaluated by ISO TR 11405 using an EMIC-2000 machine regulated at 0.5 mm/min with a 200 Kgf load cell. We performed statistical analyses on the results (ANOVA, Tukey and Scheffé tests. Data revealed statistical differences (p < 0.01 in the adhesive and depth variation as well as adhesive/depth interactions. The Adper Single Bond 2 demonstrated the highest mean values of shear bond strength. The Prompt L-Pop product, a self-etching adhesive, revealed higher mean values compared with Magic Bond DE and Self Etch Bond adhesives, a total and self-etching adhesive respectively. It may be concluded that the shear bond strength of dentin is dependent on material (adhesive system, substrate depth and adhesive/depth interaction.

  17. The electric field at hole injecting metal/organic interfaces as a cause for manifestation of exponential bias-dependent mobility

    International Nuclear Information System (INIS)

    Cvikl, B.

    2014-01-01

    It is shown that the well-known empirical exponential bias-dependent mobility is an approximation function of the relevant term emerging in the Mott–Gurney space charge limited current model when the constant non-zero electric field at the hole injecting metal/organic interface E int is taken into account. The term in question is the product of the bias-independent (but organic layer thickness-dependent) effective mobility coefficient and the algebraic function, f(λ), of the argument λ = E int /E a , where E a is the externally applied electric field. On account of the non-zero interfacial field, E int , the singularity of the spatial dependence of the hole current density, p(x), is removed. The resulting hole drift current density, j, is tested as a function of E a against a number of published room temperature hole current j–E a data sets, all characterized by good ohmic contact at the hole injecting interface. It is shown that the calculated current density provides a very good fit to the measurements within a high range of E a intervals. Low values of E a , are investigated analytically under the assumption of hole drift-diffusion. The extremely large internal electric fields at the anode/organic junction indicate drift-diffusion to be an improbable process for the structures investigated. However, a description of hole transport throughout the whole interval of experimental E a values may be obtained at low values of E a by an extended Mark–Helfrich drift model with traps occupying the exponentially distributed energy levels, followed by the extended Mott–Gurney model description within the remaining part of the E a interval. In both models the same (bias-independent) effective mobility coefficient is incorporated into the calculations. The results present evidence that within the framework of the extended Mott–Gurney expression the properly derived term should replace the empirical exponential bias-dependent mobility, making it redundant in the

  18. Bond efficacy and interface morphology of self-etching adhesives to ground enamel

    NARCIS (Netherlands)

    Abdalla, A.I.; El Zohairy, A.A.; Mohsen, M.M.A.; Feilzer, A.J.

    2010-01-01

    Purpose: This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Materials and Methods: Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray)

  19. White holes and eternal black holes

    International Nuclear Information System (INIS)

    Hsu, Stephen D H

    2012-01-01

    We investigate isolated white holes surrounded by vacuum, which correspond to the time reversal of eternal black holes that do not evaporate. We show that isolated white holes produce quasi-thermal Hawking radiation. The time reversal of this radiation, incident on a black hole precursor, constitutes a special preparation that will cause the black hole to become eternal. (paper)

  20. Optimize Etching Based Single Mode Fiber Optic Temperature Sensor

    OpenAIRE

    Ajay Kumar; Dr. Pramod Kumar

    2014-01-01

    This paper presents a description of etching process for fabrication single mode optical fiber sensors. The process of fabrication demonstrates an optimized etching based method to fabricate single mode fiber (SMF) optic sensors in specified constant time and temperature. We propose a single mode optical fiber based temperature sensor, where the temperature sensing region is obtained by etching its cladding diameter over small length to a critical value. It is observed that th...

  1. Study on the etched carnelian beads unearthed in China

    Institute of Scientific and Technical Information of China (English)

    Deyun Zhao

    2014-01-01

    Etched carnelian beads originated in the Indus Civilization;this kind of ornaments and its manufacturing techniques were spread to the whole Eurasia Continent.The etched carnelian beads unearthed in China can be classified into four types,the comparisons of which to their foreign counterparts may reveal their different sources and diffusion routes.The etched carnelian beads and their glass imitations unearthed in China had influences to the making of the glass "eye beads" in

  2. Geology of the USW SD-9 drill hole, Yucca Mountain, Nevada

    International Nuclear Information System (INIS)

    Engstrom, D.A.; Rautman, C.A.

    1996-10-01

    Drill hole USW SD-9 is one of several holes drilled under Site Characterization Plan Study as part of the characterization program at Yucca Mountain, Nevada, which has been proposed as the potential location of a repository for high-level nuclear waste. The SD-9 drill hole is located in the northern part of the potential repository area. Quantitative and semiquantitative data are included in this report for cover recovery, rock-quality designation (RQD), lithophysal cavity abundance, and fracturing. These data are spatially variable, both within and among the major formational-level stratigraphic units. Nonwelded intervals in general exhibit higher recoveries and more intact (higher) RQD values than welded intervals. The most intact, highest-RQD materials encountered within the Topopah Spring belong to the lower 33.3 ft of the middle nonlithophysal zone. This report includes quantitative data for the framework material properties of porosity, bulk and particle density, and saturated hydraulic conductivity. Graphical analysis of variations in these laboratory hydrologic properties indicates first-order control of material properties by the degree of welding and the presence of zeolite minerals. Many major lithostratigraphic contacts are not well expressed in the material-property profiles; contacts of material-property units are related more to changes in the intensity of welding. Approximate in-situ saturation data of samples preserved immediately upon recovery from the hole are included in the data tabulation

  3. Geology of the USW SD-9 drill hole, Yucca Mountain, Nevada

    Energy Technology Data Exchange (ETDEWEB)

    Engstrom, D.A. [Spectra Research Inst., Albuquerque, NM (United States); Rautman, C.A. [Sandia National Labs., Albuquerque, NM (United States)

    1996-10-01

    Drill hole USW SD-9 is one of several holes drilled under Site Characterization Plan Study as part of the characterization program at Yucca Mountain, Nevada, which has been proposed as the potential location of a repository for high-level nuclear waste. The SD-9 drill hole is located in the northern part of the potential repository area. Quantitative and semiquantitative data are included in this report for cover recovery, rock-quality designation (RQD), lithophysal cavity abundance, and fracturing. These data are spatially variable, both within and among the major formational-level stratigraphic units. Nonwelded intervals in general exhibit higher recoveries and more intact (higher) RQD values than welded intervals. The most intact, highest-RQD materials encountered within the Topopah Spring belong to the lower 33.3 ft of the middle nonlithophysal zone. This report includes quantitative data for the framework material properties of porosity, bulk and particle density, and saturated hydraulic conductivity. Graphical analysis of variations in these laboratory hydrologic properties indicates first-order control of material properties by the degree of welding and the presence of zeolite minerals. Many major lithostratigraphic contacts are not well expressed in the material-property profiles; contacts of material-property units are related more to changes in the intensity of welding. Approximate in-situ saturation data of samples preserved immediately upon recovery from the hole are included in the data tabulation.

  4. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    International Nuclear Information System (INIS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-01-01

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co_3O_4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co_3O_4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  5. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do-Hyun, E-mail: nanotube@korea.ac.kr [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Minwoo; Ye, Bora [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Jang, Ho-Kyun; Kim, Gyu Tae [School of Electrical Engineering, Korea University, 5-ga, Anam-dong, Seongbuk-gu, Seoul 136-713 (Korea, Republic of); Lee, Dong-Jin [New Functional Components Research Team, Korea Institute of Footware & Leather Technology, 152 Danggamseo-ro, Busanjin-gu, Busan 614-100 (Korea, Republic of); Kim, Eok-Soo [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of); Kim, Hong Dae, E-mail: hdkim@kitech.re.kr [Green Manufacturing 3Rs R& D Group, Korea Institute of Industrial Technology, Ulsan 681-310 (Korea, Republic of)

    2017-04-30

    Highlights: • Hexagonal boron nitride flakes are etched at low temperature in air by catalysts. • The presence of transition metal oxides produces an etched structure in the flakes. • Etched surfaces become highly active due to vacancy defects formed in the flakes. - Abstract: Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co{sub 3}O{sub 4}, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co{sub 3}O{sub 4} and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  6. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E H; Miao Jianmin; Sun Jianbo

    2006-01-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions

  7. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore); Sun Jianbo [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions.

  8. Observations of the effect of varying Hoop stress on fatigue failure and the formation of white etching areas in hydrogen infused 100Cr6 steel rings

    DEFF Research Database (Denmark)

    Janakiraman, Shravan; West, Ole; Klit, Peder

    2015-01-01

    White etching cracks (WECs) in wind turbine gearbox bearings have been studied previously. Rolling contact fatigue (RCF) tests are conducted on 100Cr6 bearing steel rings, in this study, to generate WECs like those found in wind turbine bearings. This research studies the effect of two different...

  9. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  10. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  11. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  12. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  13. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  14. Bond strength of composite to dentin: effect of acid etching and laser irradiation through an uncured self-etch adhesive system

    International Nuclear Information System (INIS)

    Castro, F L A; Carvalho, J G; Andrade, M F; Saad, J R C; Hebling, J; Lizarelli, R F Z

    2014-01-01

    This study evaluated the effect on micro-tensile bond strength (µ-TBS) of laser irradiation of etched/unetched dentin through an uncured self-etching adhesive. Dentinal surfaces were treated with Clearfil SE Bond Adhesive (CSE) either according to the manufacturer’s instructions (CSE) or without applying the primer (CSE/NP). The dentin was irradiated through the uncured adhesive, using an Nd:YAG laser at 0.75 or 1 W power settings. The adhesive was cured, composite crowns were built up, and the teeth were sectioned into beams (0.49 mm 2 ) to be stressed under tension. Data were analyzed using one-way ANOVA and Tukey statistics (α = 5%). Dentin of the fractured specimens and the interfaces of untested beams were observed under scanning electron microscopy (SEM). The results showed that non-etched irradiated surfaces presented higher µ-TBS than etched and irradiated surfaces (p < 0.05). Laser irradiation alone did not lead to differences in µ-TBS (p > 0.05). SEM showed solidification globules on the surfaces of the specimens. The interfaces were similar on irradiated and non-irradiated surfaces. Laser irradiation of dentin through the uncured adhesive did not lead to higher µ-TBS when compared to the suggested manufacturer’s technique. However, this treatment brought benefits when performed on unetched dentin, since bond strengths were higher when compared to etched dentin. (paper)

  15. Influence of asymmetric etching on ion track shapes in polycarbonate

    International Nuclear Information System (INIS)

    Clochard, M.-C.; Wade, T.L.; Wegrowe, J.-E.; Balanzat, E.

    2007-01-01

    By combining low-energy ion irradiation with asymmetric etching, conical nanopores of controlled geometry can be etched in polycarbonate (PC). Cone bases vary from 0.5 to 1 μm. Top diameters down to 17 nm are reached. When etching from one side, the pH on the other side (bathed in neutral or acidic buffer) was monitored. Etching temperature ranged from 65 deg. C to 80 deg. C. Pore shape characterization was achieved by electro replication combined with SEM observation. The tip shape depended on whether an acidic buffer was used or not on the stopped side

  16. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Energy Technology Data Exchange (ETDEWEB)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y., E-mail: jean-yves.hihn@univ-fcomte.fr

    2015-11-15

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  17. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    International Nuclear Information System (INIS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M.P.; Hihn, J.Y.

    2015-01-01

    Graphical abstract: Result of an etching step in ultrasound presence on intermetallic particles on a 2024 aluminum alloy. - Highlights: • Etching step prior to anodization on 2024 aluminum alloy. • Etching rate measurement and hydroxide film characterization by GDOES and SEM. • Various etching parameters (temperature, presence or absence of ultrasound). • Improvement of corrosion resistance show by electrochemical tests. - Abstract: Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  18. Laser etching as an alternative

    International Nuclear Information System (INIS)

    Dreyfus, R.W.; Kelly, R.

    1989-01-01

    Atoms and molecules are removed from surfaces by intense laser beams. This fact has been known almost since the discovery of the laser. Within the present overall area of interest, namely understanding ion-beam-induced sputtering, it is equally important both to contrast laser etching to ion sputtering and to understand the underlying physics taking place during laser etching. Beyond some initial broad observations, the specific discussion is limited to, and aimed at, two areas: (i) short wavelength, UV, laser-pulse effects and (ii) energy fluences sufficiently small that only monolayers (and not microns) of material are removed per pulse. 38 refs.; 13 figs.; 5 tabs

  19. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    Science.gov (United States)

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  20. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  1. Laser etching of enamel for direct bonding - An in vitro study

    Directory of Open Access Journals (Sweden)

    Rajesh K Reddy

    2010-01-01

    Full Text Available The aim of the study was to determine the shear bond strength of mesh shaped stainless steel orthodontic brackets, bonded to acid etched enamel and laser etched enamel and to compare the shear bond strength following acid etching and laser etching. 50 non carious extracted premolar teeth divided in to 5 groups of 10 each were employed in the study. The buccal surfaces of group - I were subjected to conventional etching using 37% phosphoric acid for 30 seconds, while the other four groups were subjected to Nd:YAG laser etching at different power settings of 80mj, 100mj, 150mj and 200mj respectively for 15 seconds. Brackets were later bonded on to these teeth using Ultimate- light curing primer and adhesive. The shear bond strength of each sample was determined using a universal testing machine and the results were evaluated.

  2. Effect of different atmospheres on the electrical contact performance of electronic components under fretting wear

    Science.gov (United States)

    Liu, Xin-Long; Cai, Zhen-Bing; Cui, Ye; Liu, Shan-Bang; Xu, Xiao-Jun; Zhu, Min-Hao

    2018-04-01

    The effects of oxide etch on the surface morphology of metals for industrial application is a common cause of electrical contacts failure, and it has becomes a more severe problem with the miniaturization of modern electronic devices. This study investigated the effects of electrical contact resistance on the contactor under three different atmospheres (oxygen, air, and nitrogen) based on 99.9% copper/pogo pins contacts through fretting experiments. The results showed the minimum and stable electrical contact resistance value when shrouded in the nitrogen environment and with high friction coefficient. The rich oxygen environment promotes the formation of cuprous oxide, thereby the electrical contact resistance increases. Scanning electron microscope microscopy and electron probe microanalysis were used to analyze the morphology and distribution of elements of the wear area, respectively. The surface product between contacts was investigated by x-ray photoelectron spectroscopy analysis to explain the different electrical contact properties of the three tested samples during fretting.

  3. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    Science.gov (United States)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  4. Nano-electron beam induced current and hole charge dynamics through uncapped Ge nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Marchand, A.; El Hdiy, A.; Troyon, M. [Laboratoire de Recherche en Nanosciences, Bat. 6, case no 15, UFR Sciences, Universite de Reims Champagne Ardenne, 51687 Reims Cedex 2 (France); Amiard, G.; Ronda, A.; Berbezier, I. [IM2NP, Faculte des Sciences et Techniques, Campus de Saint Jerome - Case 142, Avenue Escadrille Normandie Niemen, 13397 Marseille Cedex 20 (France)

    2012-04-16

    Dynamics of hole storage in spherical Ge nanocrystals (NCs) formed by a two step dewetting/nucleation process on an oxide layer grown on an n-doped <001> silicon substrate is studied using a nano-electron beam induced current technique. Carrier generation is produced by an electron beam irradiation. The generated current is collected by an atomic force microscope--tip in contact mode at a fixed position away from the beam spot of about 0.5 {mu}m. This distance represents the effective diffusion length of holes. The time constants of holes charging are determined and the effect of the NC size is underlined.

  5. The role of ion beam etching in magnetic bubble device manufacture

    International Nuclear Information System (INIS)

    Brambley, D.R.; Vanner, K.C.

    1979-01-01

    The most critical stage of fabrication of magnetic bubble memories is the etching of a pattern in a permalloy (80/20 Ni/Fe) film approximately 0.4 microns thick. The permalloy elements so made are used to produce perturbations in an externally applied magnetic bias field, and these perturbations cause the translation of magnetic bubbles within an underlying film. Devices now being produced have memory-cell sizes of less than 16 microns and require the etched features to have minimum dimensions of less than 2 microns. The only practicable way of achieving this with the requisite precision is by the use of sputter or ion beam etching. In addition, ion beam etching is used for defining gold conductor elements which perform the functions of bubble nucleation, replication and transfer. This paper briefly outlines the bubble device fabrication process, with special emphasis on the role of ion beam etching. The wafer temperature, element profile and uniformity obtained during ion beam etching are of considerable significance, and some of the factors affecting these will be discussed. Finally some of the limitations of ion beam etching will be described. (author)

  6. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    Science.gov (United States)

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  7. Spot formation of radiation particles by electrochemical etching

    International Nuclear Information System (INIS)

    Nozaki, Tetsuya

    1999-01-01

    An electrochemical etching (ECE) spot formation from the top of chemical etching (CE) spot was confirmed by a series of experiments. One of polycarbonate (Iupilon) could not make the spot, because ECE spot had grown up before the microscope confirming the CE spot. Clear CEC spots by α-ray and neutron were found on Harzlas and Baryotrak, both improvements of CR-39. Under the same etching conditions, the growth of ECE spot on Harzlas was more rapid than Baryotrak, but both spots were almost the same. All CE spot by α-ray produced the CEC spots, but a part of CE circle spot by neutron formed them. (S.Y.)

  8. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  9. Electron-Hole Asymmetry of Spin Injection and Transport in Single-Layer Graphene

    OpenAIRE

    Han, Wei; Wang, W. H.; Pi, K.; McCreary, K. M.; Bao, W.; Li, Yan; Miao, F.; Lau, C. N.; Kawakami, R. K.

    2009-01-01

    Spin-dependent properties of single-layer graphene (SLG) have been studied by non-local spin valve measurements at room temperature. Gate voltage dependence shows that the non-local magnetoresistance (MR) is proportional to the conductivity of the SLG, which is the predicted behavior for transparent ferromagnetic/nonmagnetic contacts. While the electron and hole bands in SLG are symmetric, gate voltage and bias dependence of the non-local MR reveal an electron-hole asymmetry in which the non-...

  10. Optimization of permanganic etching of polyethylenes for scanning electron microscopy

    International Nuclear Information System (INIS)

    Naylor, K.L.; Phillips, P.J.

    1983-01-01

    The permanganic etching technique has been studied as a function of time, temperature, and concentration for a series of polyethylenes. Kinetic studies show that a film of reaction products builds up on the surface, impeding further etching, an effect which is greatest for the lowest-crystallinity polymers. SEM studies combined with EDS show that the film contains sulfur, potassium and some manganese. An artifact is produced by the etching process which is impossible to remove by washing procedures if certain limits of time, temperature, and concentration are exceeded. For lower-crystallinity polyethylenes multiple etching and washing steps were required for optimal resolution. Plastic deformation during specimen preparation, whether from scratches or freeze fracturing, enhances artifact formation. When appropriate procedures are used, virtually artifact-free surfaces can be produced allowing a combination of permanganic etching and scanning electron microscopy to give a rapid method for detailed morphological characterization of bulk specimens

  11. Nano/micro particle beam for ceramic deposition and mechanical etching

    International Nuclear Information System (INIS)

    Chun, Doo-Man; Kim, Min-Saeng; Kim, Min-Hyeng; Ahn, Sung-Hoon; Yeo, Jun-Cheol; Lee, Caroline Sunyong

    2010-01-01

    Nano/micro particle beam (NPB) is a newly developed ceramic deposition and mechanical etching process. Additive (deposition) and subtractive (mechanical etching) processes can be realized in one manufacturing process using ceramic nano/micro particles. Nano- or micro-sized powders are sprayed through the supersonic nozzle at room temperature and low vacuum conditions. According to the process conditions, the ceramic powder can be deposited on metal substrates without thermal damage, and mechanical etching can be conducted in the same process with a simple change of process conditions and powders. In the present work, ceramic aluminum oxide (Al 2 O 3 ) thin films were deposited on metal substrates. In addition, the glass substrate was etched using a mask to make small channels. Deposited and mechanically etched surface morphology, coating thickness and channel depth were investigated. The test results showed that the NPB provides a feasible additive and subtractive process using ceramic powders.

  12. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  13. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  14. High-throughput anisotropic plasma etching of polyimide for MEMS

    International Nuclear Information System (INIS)

    Bliznetsov, Vladimir; Manickam, Anbumalar; Ranganathan, Nagarajan; Chen, Junwei

    2011-01-01

    This note describes a new high-throughput process of polyimide etching for the fabrication of MEMS devices with an organic sacrificial layer approach. Using dual frequency superimposed capacitively coupled plasma we achieved a vertical profile of polyimide with an etching rate as high as 3.5 µm min −1 . After the fabrication of vertical structures in a polyimide material, additional steps were performed to fabricate structural elements of MEMS by deposition of a SiO 2 layer and performing release etching of polyimide. (technical note)

  15. Etching method employing radiation

    International Nuclear Information System (INIS)

    Chapman, B.N.; Winters, H.F.

    1982-01-01

    This invention provides a method for etching a silicon oxide, carbide, nitride, or oxynitride surface using an electron or ion beam in the presence of a xenon or krypton fluoride. No additional steps are required after exposure to radiation

  16. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  17. Influence of Application Time and Etching Mode of Universal Adhesives on Enamel Adhesion.

    Science.gov (United States)

    Sai, Keiichi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Ishii, Ryo; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-01-01

    To investigate the influence of application time and etching mode of universal adhesives on enamel adhesion. Five universal adhesives, Adhese Universal, Bondmer Lightless, Clearfil Universal Bond Quick, G-Premio Bond, and Scotchbond Universal, were used. Bovine incisors were prepared and divided into four groups of ten teeth each. SBS, Ra, and SFE were determined after the following procedures: 1. self-etch mode with immediate air blowing after application (IA); 2. self-etch mode with prolonged application time (PA); 3. etch-and-rinse mode with IA; 4. etch-and-rinse mode with PA. After 24-h water storage, the bonded assemblies were subjected to shear bond strength (SBS) tests. For surface roughness (Ra) and surface free energy (SFE) measurements, the adhesives were simply applied to the enamel and rinsed with acetone and water before the measurements were carried out. Significantly higher SBS and Ra values were obtained with etch-and-rinse mode than with self-etch mode regardless of the application time or type of adhesive. Although most adhesives showed decreased SFE values with increased application time in self-etch mode, SFE values in etch-and-rinse mode were dependent on the adhesive type and application time. Etching mode, application time, and type of adhesive significantly influenced the SBS, Ra, and SFE values.

  18. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  19. Direct determination of bulk etching rate for LR-115-II solid state ...

    Indian Academy of Sciences (India)

    The thickness of the removed layer of the LR-115-II solid state nuclear track detector during etching is measured directly with a rather precise instrument. Dependence of bulk etching rate on temperature of the etching solution is investigated. It has been found that the bulk etching rate is 3.2 m/h at 60°C in 2.5 N NaOH of ...

  20. The effects of pre-etching time on the characteristic responses of electrochemically etched CR-39 neutron dosimeters

    International Nuclear Information System (INIS)

    Sohrabi, M.; Khoshnoodi, M.

    1986-01-01

    The effects of pre-etching time (PET) or duration of etching of fast-neutron-induced-recoil tracks in CR-39 in 6N KOH at 60 0 C on electrochemical etching neutron characteristic responses; i.e. sensitivity and mean recoil track diameter (MRTD) versus KOH normality up to 18N are investigated in this paper. Six sets of responses for PETs of 0, 1, 2, 3, 4, and 5 hours were obtained by using our new multi-chamber ECE (MCECE) system which reduced total operation time to about 6% of the time usually required when single-chamber ECE systems are used. The sensitivity response for zero PET showed a broad plateau and a high sensitivity low-LET peak around 16N. By increasing PET, another peak was also developed around 5N leading to 'double-humped' responses with two maximums around 5N and 16N, and a minimum around 11N. On the other hand, the MRTD responses for all PETs studied showed the same general trend with maximums around 11N. In this paper, shape of tracks under different conditions are also investigated, new optimum conditions such as KOH concentrations of 5, 11, and 15N at 25 0 C, with or without pre-etching, are recommended for tracks of lower-LET recoils including possibly protons, and alpha particle tracks over a broad energy range, and the efficiency of the MCECE system is also demonstrated. (author)

  1. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  2. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  3. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  4. Suitability of N2 plasma for the RIE etching of thin Ag layers

    International Nuclear Information System (INIS)

    Hrkut, P.; Matay, L.; Kostic, I.; Bencurova, A.; Konecnikova, A.; Nemec, P.; Andok, R.; Hacsik, S.

    2013-01-01

    Silver layers of 48 nm thickness were evaporated using EB PVD on Si wafers. The masking resist layers were spin-coated and patterned by the EBDW lithography on the ZBA 21 (20 keV) (Carl-Zeiss, Jena; currently Vistec, Ltd.) variable shaped e-beam pattern generator in II SAS. In order to check the etching process in N 2 , we covered a part of the samples containing Ag with a layer of various resists. The samples were dried on a hot-plate and RIE etched in SCM 600 (1 Pa; 20 sccm; 500 W). After 8 minutes the non-masked Ag layer was completely etched away, what testified suitability of N 2 as an etching gas. Also the etch time of 4 minutes showed to be sufficient for etching through the Ag layer. In order to optimize the etching process it was necessary to estimate the etch-rate (E.R.) of suitable resist layers and of the silver layer. The (authors)

  5. Optimum inductively coupled plasma etching of fused silica to remove subsurface damage layer

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaolong; Liu, Ying, E-mail: liuychch@ustc.edu.cn; Liu, Zhengkun; Qiu, Keqiang; Xu, Xiangdong; Hong, Yilin; Fu, Shaojun

    2015-11-15

    Highlights: • SSD layer of fused silica is removed by ICP etch with surface roughness of 0.23 nm. • Metal contamination is successfully avoided by employing an isolation device. • Unique low-density plasma induced pitting damage is discovered and eliminated. • Lateral etching of SSD is avoided due to the improvement of etching anisotropy. - Abstract: In this work, we introduce an optimum ICP etching technique that successfully removes the subsurface damage (SSD) layer of fused silica without causing plasma induced surface damage (PISD) or lateral etching of SSD. As one of the commonest PISD initiators, metal contamination from reactor chamber is prevented by employing a simple isolation device. Based on this device, a unique low-density pitting damage is discovered and subsequently eliminated by optimizing the etching parameters. Meanwhile etching anisotropy also improves a lot, thus preventing the lateral etching of SSD. Using this proposed technique, SSD layer of fused silica is successfully removed with a surface roughness of 0.23 nm.

  6. Characterization of etch pit formation via the Everson-etching method on CdZnTe crystal surfaces from the bulk to the nanoscale

    International Nuclear Information System (INIS)

    Teague, Lucile C.; Duff, Martine C.; Cadieux, James R.; Soundararajan, Raji; Shick, Charles R.; Lynn, Kelvin G.

    2011-01-01

    A combination of atomic force microscopy, optical microscopy, and mass spectrometry was employed to study CdZnTe crystal surface and used etchant solution following exposure of the CdZnTe crystal to the Everson etch solution. We discuss the results of these studies in relationship to the initial surface preparation methods, the performance of the crystals as radiation spectrometers, the observed etch pit densities, and the chemical mechanism of surface etching. Our results show that the surface features that are exposed to etchants result from interactions with the chemical components of the etchants as well as pre-existing mechanical polishing.

  7. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  8. Influence of neutron irradiation on etching of SiC in KOH

    Science.gov (United States)

    Mokhov, E. N.; Kazarova, O. P.; Soltamov, V. A.; Nagalyuk, S. S.

    2017-07-01

    The effect of reactor neutron irradiation on the etch rate of SiC in potassium hydroxide has been studied. In the case of high irradiation doses (1019-1021 cm-2), the etch rate of silicon carbide has been shown to drastically rise, especially in the [0001]Si direction. This considerably mitigates the orientation anisotropy of polar face etching. After high-temperature annealing (up to 1200-1400°C), a higher etch rate of irradiated crystals persists. The results have been explained by the high concentration of radiation-induced (partially clustered) defects they contain.

  9. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  10. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  11. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    Science.gov (United States)

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  12. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    OpenAIRE

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Ri...

  13. Marginal microleakage of resin-modified glass-ionomer and composite resin restorations: Effect of using etch-and-rinse and self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Maryam Khoroushi

    2012-01-01

    Full Text Available Objectives: Previous studies have shown that dental adhesives increase the bond strength of resin-modified glass-ionomer (RMGI restorative materials to dentin. This in vitro study has evaluated the effect of etch-and-rinse and self-etch bonding systems v/s cavity conditioner, and in comparison to similar composite resin restorations on maintaining the marginal sealing of RMGI restorations. Materials and Methods: 98 rectangular cavities (2.5×3×1.5 mm were prepared on buccal and palatal aspects of 49 human maxillary premolars, randomly divided into 7 groups (N=14. The cavities in groups 1, 2 and 3 were restored using a composite resin (APX. The cavities in groups 4, 5, 6 and 7 were restored using a resin-modified glass-ionomer (Fuji II LC. Before restoring, adhesive systems (Optibond FL = OFL, three-step etch-and-rinse; One Step Plus = OSP, two-step etch-and-rinse; Clearfil Protect Bond = CPB, two-step self-etch were used as bonding agents in groups 1-6 as follow: OFL in groups 1 and 4, OSP in groups 2 and 5, and CPB in groups 3 and 6, respectively. The specimens in group 7 were restored with GC cavity conditioner and Fuji II LC. All the specimens were thermo-cycled for 1000 cycles. Microleakage scores were determined using dye penetration method. Statistical analyzes were carried out with Kruskal-Wallis and Mann-Whitney U tests (α=0.05. Results: There were significant differences in microleakage scores at both enamel and dentinal margins between the study groups (P<0.05. The lowest microleakage scores at enamel and dentin margins of RMGI restorations were observed in group 6. Conclusion: Use of two-step self-etch adhesive, prior to restoring cervical cavities with RMGIC, seems to be more efficacious than the conventional cavity conditioner in decreasing marginal microleakage.

  14. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  15. Symphony and cacophony in ion track etching: how to control etching results

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Kiv, A.; Cruz, S. A.; Munoz, G. H.; Vacík, Jiří

    2012-01-01

    Roč. 167, č. 7 (2012), s. 527-540 ISSN 1042-0150 R&D Projects: GA AV ČR IAA200480702 Institutional support: RVO:61389005 Keywords : ion track s * polymers * etching * diodes * resistances Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.502, year: 2012

  16. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  17. Electrochemical etching of a niobium foil in methanolic HF for electrolytic capacitor

    International Nuclear Information System (INIS)

    Kim, Kyungmin; Park, Jiyoung; Cha, Gihoon; Yoo, Jeong Eun; Choi, Jinsub

    2013-01-01

    Electrochemical etching of niobium foil in order to enlarge the surface area for the application in electrolytic capacitor was carried out in a methanolic electrolyte. We found that the pit density and depth are not linearly proportional to concentration of HF and applied potential: there is the optimal concentration of HF at each applied potential. The optimal etching condition was obtained at 50 V in 0.99 vol.% HF, which exhibited the capacitance of 350 μF cm −2 . Pit density and depth of pits on electrochemical etched Nb foil under different conditions were counted from SEM images and electrochemical impedance spectroscopy (EIS) of the etched Nb foils was carried out for the capacitance measurement. Equivalent circuit model showing less than 5% error was suggested for applying to the etched niobium foil. - Highlights: • Surface enlargement of Nb foil can be achieved by electrochemical etching in methanolic HF. • Electrolytic capacitor of etched niobium foil exhibits a capacitance of 350 μF cm −2 . • The method provides a way of developing commercially viable process

  18. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  19. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  20. Accurate characterisation of hole size and location by projected fringe profilometry

    Science.gov (United States)

    Wu, Yuxiang; Dantanarayana, Harshana G.; Yue, Huimin; Huntley, Jonathan M.

    2018-06-01

    The ability to accurately estimate the location and geometry of holes is often required in the field of quality control and automated assembly. Projected fringe profilometry is a potentially attractive technique on account of being non-contacting, of lower cost, and orders of magnitude faster than the traditional coordinate measuring machine. However, we demonstrate in this paper that fringe projection is susceptible to significant (hundreds of µm) measurement artefacts in the neighbourhood of hole edges, which give rise to errors of a similar magnitude in the estimated hole geometry. A mechanism for the phenomenon is identified based on the finite size of the imaging system’s point spread function and the resulting bias produced near to sample discontinuities in geometry and reflectivity. A mathematical model is proposed, from which a post-processing compensation algorithm is developed to suppress such errors around the holes. The algorithm includes a robust and accurate sub-pixel edge detection method based on a Fourier descriptor of the hole contour. The proposed algorithm was found to reduce significantly the measurement artefacts near the hole edges. As a result, the errors in estimated hole radius were reduced by up to one order of magnitude, to a few tens of µm for hole radii in the range 2–15 mm, compared to those from the uncompensated measurements.

  1. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  2. Dynamic Wet Etching of Silicon through Isopropanol Alcohol Evaporation

    Directory of Open Access Journals (Sweden)

    Tiago S. Monteiro

    2015-10-01

    Full Text Available In this paper, Isopropanol (IPA availability during the anisotropic etching of silicon in Potassium Hydroxide (KOH solutions was investigated. Squares of 8 to 40 µm were patterned to (100 oriented silicon wafers through DWL (Direct Writing Laser photolithography. The wet etching process was performed inside an open HDPE (High Density Polyethylene flask with ultrasonic agitation. IPA volume and evaporation was studied in a dynamic etching process, and subsequent influence on the silicon etching was inspected. For the tested conditions, evaporation rates for water vapor and IPA were determined as approximately 0.0417 mL/min and 0.175 mL/min, respectively. Results demonstrate that IPA availability, and not concentration, plays an important role in the definition of the final structure. Transversal SEM (Scanning Electron Microscopy analysis demonstrates a correlation between microloading effects (as a consequence of structure spacing and the angle formed towards the (100 plane.

  3. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    Science.gov (United States)

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  4. Selective laser etching or ablation for fabrication of devices

    KAUST Repository

    Buttner, Ulrich; Salama, Khaled N.; Sapsanis, Christos

    2017-01-01

    Methods of fabricating devices vial selective laser etching are provided. The methods can include selective laser etching of a portion of a metal layer, e.g. using a laser light source having a wavelength of 1,000 nm to 1,500 nm. The methods can

  5. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    Science.gov (United States)

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  6. Two-step controllable electrochemical etching of tungsten scanning probe microscopy tips

    KAUST Repository

    Khan, Yasser; Al-Falih, Hisham; Ng, Tien Khee; Ooi, Boon S.; Zhang, Yaping

    2012-01-01

    Dynamic electrochemical etching technique is optimized to produce tungsten tips with controllable shape and radius of curvature of less than 10 nm. Nascent features such as dynamic electrochemical etching and reverse biasing after drop-off are utilized, and two-step dynamic electrochemical etching is introduced to produce extremely sharp tips with controllable aspect ratio. Electronic current shut-off time for conventional dc drop-off technique is reduced to ?36 ns using high speed analog electronics. Undesirable variability in tip shape, which is innate to static dc electrochemical etching, is mitigated with novel dynamic electrochemical etching. Overall, we present a facile and robust approach, whereby using a novel etchant level adjustment mechanism, 30° variability in cone angle and 1.5 mm controllability in cone length were achieved, while routinely producing ultra-sharp probes. © 2012 American Institute of Physics.

  7. Développement de procédés de gravure plasma innovants pour les technologies sub-14 nm par couplage de la lithographie conventionnelle avec l'approche auto-alignée par copolymère à blocs

    OpenAIRE

    Bézard , Philippe

    2016-01-01

    Shrinking transistor’s dimensions below 14 nm is so expensive that lower-cost complementary techniquessuch as Directed Self-Assembly (DSA) combined with 193 nm-lithography are currently beingdeveloped. Either organized as trenches for the FinFET’s fin or vertical cylinders for contact holes(which is our case study), Polystyrene-b-polymethylmetacrylate (PS-b-PMMA) is a well-studied blockcopolymer but introduces challenging etching issues due to the chemical similarities between the PS andPMMA ...

  8. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no correlation between bond strength and morphological changes in

  9. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  10. Quantum-size-controlled photoelectrochemical etching of semiconductor nanostructures

    Science.gov (United States)

    Fischer, Arthur J.; Tsao, Jeffrey Y.; Wierer, Jr., Jonathan J.; Xiao, Xiaoyin; Wang, George T.

    2016-03-01

    Quantum-size-controlled photoelectrochemical (QSC-PEC) etching provides a new route to the precision fabrication of epitaxial semiconductor nanostructures in the sub-10-nm size regime. For example, quantum dots (QDs) can be QSC-PEC-etched from epitaxial InGaN thin films using narrowband laser photoexcitation, and the QD sizes (and hence bandgaps and photoluminescence wavelengths) are determined by the photoexcitation wavelength.

  11. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    Science.gov (United States)

    Fink, D.; Muñoz H., G.; García A., H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-04-01

    Some yet poorly understood problems of etching of pristine and swift heavy ion track-irradiated aged polymers were treated, by applying conductometry across the irradiated foils during etching. The onset times of etchant penetration across pristine foils, and the onset times of the different etched track regimes in irradiated foils were determined for polymers of various proveniences, fluences and ages, as well as their corresponding etching speeds. From the results, correlations of the parameters with each other were deduced. The normalization of these parameters enables one to compare irradiated polymer foils of different origin and treatment with one another. In a number of cases, also polymeric gel formation and swelling occur which influence the track etching behaviour. The polymer degradation during aging influences the track etching parameters, which differ from each other on both sides of the foils. With increasing sample age, these differences increase.

  12. Shallow surface etching of organic and inorganic compounds by electrospray droplet impact

    International Nuclear Information System (INIS)

    Hiraoka, Kenzo; Sakai, Yuji; Iijima, Yoshitoki; Asakawa, Daiki; Mori, Kunihiko

    2009-01-01

    The electrospray droplet impact (EDI) was applied to bradykinin, polyethylene terephthalate (PET), SiO 2 /Si, and indium phosphide (InP). It was found that bradykinin deposited on the stainless steel substrate was ionized/desorbed without the accumulation of radiation products. The film thickness desorbed by a single collisional event was found to be less than 10 monolayers. In the EDI mass spectra for PET, several fragment ions were observed but the XPS spectra did not change with prolonged cluster irradiation. The etching rate for SiO 2 by EDI was measured to be ∼0.2 nm/min. The surface roughness of InP etched by EDI was found to be one order of magnitude smaller than that etched by 3 keV Ar + for about the same etching depths. EDI is capable of shallow surface etching with little damage left on the etched surface.

  13. Effect of track etch rate on geometric track characteristics for polymeric track detectors

    International Nuclear Information System (INIS)

    Abdel-Naby, A.A.; El-Akkad, F.A.

    2001-01-01

    Analysis of the variable track etch rate on geometric track characteristic for polymeric track detectors has been applied to the case of LR-155 II SSNTD. Spectrometric characteristics of low energy alpha particles response by the polymeric detector have been obtained. The track etching kinematics theory of development of minor diameter of the etched tracks has been applied. The calculations show that, for this type of detector, the energy dependence of the minor track diameter d is linear for small-etched removal layer h. The energy resolution gets better for higher etched removal layer

  14. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    Science.gov (United States)

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  15. Real-Time Observation of Carbon Nanotube Etching Process Using Polarized Optical Microscope.

    Science.gov (United States)

    Zhao, Qiuchen; Yao, Fengrui; Wang, Zequn; Deng, Shibin; Tong, Lianming; Liu, Kaihui; Zhang, Jin

    2017-08-01

    Controllable synthesis of carbon nanotubes (CNTs) is of great importance in its further application, which attracts broad attention. As growth and etching are the two sides in the process of material crystallography and the control of the competition between them forms the foundation for modern technology of materials design and manufacture, the understanding on etching process of carbon nanotubes is still very unclear because technically it is of great challenge to characterize the dynamics in such small one-dimensional (1D) scale. Here the real-time investigation on the etching process of CNTs is reported, by the hot-wall chemical reactor equipped with a polarized optical microscope. It is discovered that the CNT etching behavior in air is totally of random, including the etching sites, termination sites, and structure dependence. Combining with the dynamic simulation, it is revealed that the random behavior reflects the unique "self-termination" phenomenon. A structure-independent etching propagation barrier of 2.4 eV is also obtained, which indicates that the etching propagation process still follows the conventional Kinetic Wulff construction theory. The results represent the new knowledge on the etching process in carbon nanotube and can contribute to its selective enrichment. Furthermore, the "self-termination" phenomenon may be a universal behavior in 1D process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Etching characteristics of nuclear tracks in CR-39 plastics

    International Nuclear Information System (INIS)

    Tsuruta, Takao; Isobe, Ginko.

    1984-01-01

    In using CR-39 plastics for individual neutron dosimeters, changes of etching efficiency cause significant error in dose estimation. Etching efficiency is subject to a number of parameters. In this study the influences of the parameters were examined by measuring the diameters of etch-pits formed by alpha-particles and enlarged by aqueous solutions of 25-35% KOH at 55-65 0 C for 4 hr. It has been observed that diameter changes at the rate of 8.3%/ 0 C in temperature, 8.2%/wt% in concentration and -0.60%/day in time after preparation of etchant. The diameter is unaffected by the supplying of up to 280ml/l, of distilled water for evaporation of etchant or by increase up to 5g/l of CR-39 dissolved in etchant. The magnitude of possible error has been estimated by parameter as well as in general, so as to obtain suggestions for improving etching treatment. (author)

  17. Summary of Chalcogenide Glass Processing: Wet-Etching and Photolithography

    Energy Technology Data Exchange (ETDEWEB)

    Riley, Brian J.; Sundaram, S. K.; Johnson, Bradley R.; Saraf, Laxmikant V.

    2006-12-01

    This report describes a study designed to explore the different properties of two different chalcogenide materials, As2S3 and As24S38Se38, when subjected to photolithographic wet-etching techniques. Chalcogenide glasses are made by combining chalcogen elements S, Se, and Te with Group IV and/or V elements. The etchant was selected from the literature and was composed of sodium hydroxide, isopropyl alcohol, and deionized water and the types of chalcogenide glass for study were As2S3 and As24S38Se38. The main goals here were to obtain a single variable etch rate curve of etch depth per time versus NaOH overall solution concentration in M and to see the difference in etch rate between a given etchant when used on the different chalcogenide stoichiometries. Upon completion of these two goals, future studies will begin to explore creating complex, integrated photonic devices via these methods.

  18. In situ ion etching in a scanning electron microscope

    International Nuclear Information System (INIS)

    Dhariwal, R.S.; Fitch, R.K.

    1977-01-01

    A facility for ion etching in a scanning electron microscope is described which incorporates a new type of electrostatic ion source and viewing of the specimen is possible within about 30 sec after terminating the ion bombardment. Artefacts produced during etching have been studied and cone formation has been followed during its growth. The instrument has provided useful structural information on metals, alloys, and sinters. However, although insulating materials, such as plastics, glass and resins, have been successfully etched, interpretation of the resultant micrographs is more difficult. Ion etching of soft biological tissues, such as the rat duodenum was found to be of considerable interest. The observed structural features arise from the selective intake of the heavy fixation elements by different parts of the tissue. Hard biological materials, such as dental tissues and restorative materials, have also been studied and the prismatic structure of the enamel and the form and distribution of the dentinal tubules have been revealed. (author)

  19. Theoretical study of the performance for short channel carbon nanotube transistors with asymmetric contacts

    International Nuclear Information System (INIS)

    Zou Jianping; Zhang Qing; Marzari, Nicola; Li Hong

    2008-01-01

    We have simulated short channel carbon nanotube field-effect transistors with asymmetric source and drain contacts using a coupled mode space approach within the non-equilibrium Green's function framework. The simulated results show that the asymmetric conduction properties under positive and negative drain-to-source voltages are caused by the asymmetric Schottky barriers to carriers at the source and drain contacts. Under negative drain-to-source voltages, hole and electron conduction are dominated by thermionic emission and tunneling through the Schottky barrier, respectively, leading to the different subthreshold behaviors of the hole and electron conduction. With increasing channel length, short channel effects can be suppressed effectively and ON/OFF ratio can be improved

  20. Coupling Characteristics of Fused Optical Fiber Coupler Formed with Single-Mode Fiber and Photonic Crystal Fiber Having Air Hole Collapsed Taper

    Directory of Open Access Journals (Sweden)

    Hirohisa Yokota

    2016-01-01

    Full Text Available Fused coupler forming with a single-mode fiber (SMF and a photonic crystal fiber (PCF is one of the solutions for optical coupling from a light source to a PCF. In this paper, we presented coupling characteristics of a fused fiber coupler formed with an ordinary SMF and a PCF having air hole collapsed taper. A prototype of SMF-PCF coupler with air hole collapsed taper was fabricated using CO2 laser irradiation. The coupling efficiency from SMF to PCF was −6.2 dB at 1554 nm wavelength in the fabricated coupler. The structure of the SMF-PCF coupler to obtain high coupling efficiency was theoretically clarified by beam propagation analysis using an equivalent model of the coupler with simplification. It was clarified that appropriately choosing the prestretched or etched SMF diameter and the length of air hole collapsed region was effective to obtain high coupling efficiency that was a result of high extinction ratio at cross port and low excess loss. We also demonstrated that the diameter of prestretched SMF to obtain high coupling efficiency was insensitive to the air hole diameter ratio to pitch of the PCF in the air hole collapsed SMF-PCF coupler.

  1. A Study of Parameters Related to the Etch Rate for a Dry Etch Process Using NF3/O2 and SF6/O2

    Directory of Open Access Journals (Sweden)

    Seon-Geun Oh

    2014-01-01

    Full Text Available The characteristics of the dry etching of SiNx:H thin films for display devices using SF6/O2 and NF3/O2 were investigated using a dual-frequency capacitively coupled plasma reactive ion etching (CCP-RIE system. The investigation was carried out by varying the RF power ratio (13.56 MHz/2 MHz, pressure, and gas flow ratio. For the SiNx:H film, the etch rates obtained using NF3/O2 were higher than those obtained using SF6/O2 under various process conditions. The relationships between the etch rates and the usual monitoring parameters—the optical emission spectroscopy (OES intensity of atomic fluorine (685.1 nm and 702.89 nm and the voltages VH and VL—were investigated. The OES intensity data indicated a correlation between the bulk plasma density and the atomic fluorine density. The etch rate was proportional to the product of the OES intensity of atomic fluorine (I(F and the square root of the voltages (Vh+Vl on the assumption that the velocity of the reactive fluorine was proportional to the square root of the voltages.

  2. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  3. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs

  4. Stoichiometric and Oxygen-Deficient VO2 as Versatile Hole Injection Electrode for Organic Semiconductors.

    Science.gov (United States)

    Fu, Keke; Wang, Rongbin; Katase, Takayoshi; Ohta, Hiromichi; Koch, Norbert; Duhm, Steffen

    2018-03-28

    Using photoemission spectroscopy, we show that the surface electronic structure of VO 2 is determined by the temperature-dependent metal-insulator phase transition and the density of oxygen vacancies, which depends on the temperature and ultrahigh vacuum (UHV) conditions. The atomically clean and stoichiometric VO 2 surface is insulating at room temperature and features an ultrahigh work function of up to 6.7 eV. Heating in UHV just above the phase transition temperature induces the expected metallic phase, which goes in hand with the formation of oxygen defects (up to 6% in this study), but a high work function >6 eV is maintained. To demonstrate the suitability of VO 2 as hole injection contact for organic semiconductors, we investigated the energy-level alignment with the prototypical organic hole transport material N, N'-di(1-naphthyl)- N, N'-diphenyl-(1,1'-biphenyl)-4,4'-diamine (NPB). Evidence for strong Fermi-level pinning and the associated energy-level bending in NPB is found, rendering an Ohmic contact for holes.

  5. Etching properties of BLT films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    CF 4 /Ar plasma mass content and etching rate behavior of BLT thin films were investigated in inductively coupled plasma (ICP) reactor as functions of CF 4 /Ar gas mixing ratio, rf power, and dc bias voltage. The variation of relative volume densities for F and Ar atoms were measured by the optical emission spectroscopy (OES). The etching rate as functions of Ar content showed the maximum of 803 A/min at 80 % Ar addition into CF 4 plasma. The presence of maximum etch rate may be explained by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction. The role of Ar ion bombardment includes destruction of metal (Bi, La, Ti)-O bonds as well as support of chemical reaction of metals with fluorine atoms

  6. Model calculations for electrochemically etched neutron detectors

    International Nuclear Information System (INIS)

    Pitt, E.; Scharmann, A.; Werner, B.

    1988-01-01

    Electrochemical etching has been established as a common method for visualisation of nuclear tracks in solid state nuclear track detectors. Usually the Mason equation, which describes the amplification of the electrical field strength at the track tip, is used to explain the treeing effect of electrochemical etching. The yield of neutron-induced tracks from electrochemically etched CR-39 track detectors was investigated with respect to the electrical parameters. A linear dependence on the response from the macroscopic field strength was measured which could not be explained by the Mason equation. It was found that the reality of a recoil proton track in the detector does not fit the boundary conditions which are necessary when the Mason equation is used. An alternative model was introduced to describe the track and detector geometry in the case of a neutron track detector. The field strength at the track tip was estimated with this model and compared with the experimental data, yielding good agreement. (author)

  7. Microdroplet-etched highly birefringent low-loss fiber tapers.

    Science.gov (United States)

    Mikkelsen, Jared C; Poon, Joyce K S

    2012-07-01

    We use hydrofluoric acid microdroplets to directly etch highly birefringent biconical fiber tapers from standard single-mode fibers. The fiber tapers have micrometer-sized cross sections, which are controlled by the etching condition. The characteristic teardrop cross section leads to a high group birefringence of B(G)≈0.017 and insertion losses <0.7 dB over waist lengths of about 2.1 mm.

  8. High rate dry etching of InGaZnO by BCl3/O2 plasma

    Science.gov (United States)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  9. Polymer degradation in reactive ion etching and its possible application to all dry processes

    International Nuclear Information System (INIS)

    Hiraoka, H.; Welsh, L.W. Jr.

    1981-01-01

    Dry etching processes involving CF 4 -plasma and reactive ion etching become increasingly important for microcircuit fabrication techniques. In these techniques polymer degradation and etch resistance against reactive species like F atoms and CF 3 + ions are the key factors in the processes. It is well-known that classical electron beam resists like poly(methyl methacrylate) and poly(1-butene sulfone) are not suitable for dry etching processes because they degrade rapidly under these etching conditions. In order to find a correlation of etching rate and polymer structures the thickness loss of polymer films have been measured for a variety of polymer films in reactive ion etching conditions, where CF 3 + ions are the major reactive species with an accelerating potential of 500 volts. Because of its high CF 4 -plasma and reactive ion etch resistance, and because of its high electron beam sensitivity, poly(methacrylonitrile) provides a positive working electron beam resist uniquely suited for all dry processes. (author)

  10. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching

    International Nuclear Information System (INIS)

    Choi, J H; Bano, E; Latu-Romain, L; Dhalluin, F; Chevolleau, T; Baron, T

    2012-01-01

    In this paper, we demonstrate a top-down fabrication technique for nanometre scale silicon carbide (SiC) pillars using inductively coupled plasma etching. A set of experiments in SF 6 -based plasma was carried out in order to realize high aspect ratio SiC nanopillars. The etched SiC nanopillars using a small circular mask pattern (115 nm diameter) show high aspect ratio (7.4) with a height of 2.2 µm at an optimum bias voltage (300 V) and pressure (6 mTorr). Under the optimal etching conditions using a large circular mask pattern with 370 nm diameter, the obtained SiC nanopillars exhibit high anisotropy features (6.4) with a large etch depth (>7 µm). The etch characteristic of the SiC nanopillars under these conditions shows a high etch rate (550 nm min -1 ) and a high selectivity (over 60 for Ni). We also studied the etch profile of the SiC nanopillars and mask evolution over the etching time. As the mask pattern size shrinks in nanoscale, vertical and lateral mask erosion plays a crucial role in the etch profile of the SiC nanopillars. Long etching process makes the pillars appear with a hexagonal shape, coming from the crystallographic structure of α-SiC. It is found that the feature of pillars depends not only on the etching process parameters, but also on the crystallographic structure of the SiC phase. (paper)

  11. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    Science.gov (United States)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  12. Uniformly thinned optical fibers produced via HF etching with spectral and microscopic verification.

    Science.gov (United States)

    Bal, Harpreet K; Brodzeli, Zourab; Dragomir, Nicoleta M; Collins, Stephen F; Sidiroglou, Fotios

    2012-05-01

    A method for producing uniformly thinned (etched) optical fibers is described, which can also be employed to etch optical fibers containing a Bragg grating (FBG) uniformly for evanescent-field-based sensing and other applications. Through a simple modification of this method, the fabrication of phase-shifted FBGs based on uneven etching is also shown. The critical role of how a fiber is secured is shown, and the success of the method is illustrated, by differential interference contrast microscopy images of uniformly etched FBGs. An etched FBG sensor for the monitoring of the refractive index of different glycerin solutions is demonstrated.

  13. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    Science.gov (United States)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  14. Reducing the substrate dependent scanner leveling effect in low-k1 contact printing

    Science.gov (United States)

    Chang, C. S.; Tseng, C. F.; Huang, C. H.; Yang, Elvis; Yang, T. H.; Chen, K. C.

    2015-03-01

    As the scaling down of design rule for high-density memory device, the small depth of focus (DoF) budget may be deteriorated by focus leveling errors, which arises in unpredicted reflectivity from multilayer structures on the topographic wafer. The leveling sensors of ASML scanner use near infrared (NIR) range wavelength which can penetrate through most of films using in semiconductor fabrication such as photo-resist, bottom anti reflective coating (BARC) and dielectric materials. Consequently, the reflected light from underlying substructures would disturb leveling sensors from accurate leveling. The different pattern densities and layout characteristics between array and periphery of a memory chip are expected to result in different leveling signals. Furthermore, the process dependent variations between wafer central and edge areas are also considered to yield different leveling performances during wafer exposure. In this study, lower blind contact immunity was observed for peripheral contacts comparing to the array contacts especially around wafer edge region. In order to overcome this problem, a series of investigations have been carried out. The wafer edge leveling optimization through circuit dependent focus edge clearance (CDFEC) option doesn't get improvement. Air gauge improved process leveling (AGILE) function of ASML immersion scanner doesn't show improved result either. The ILD uniformity improvement and step height treatments around wafer edge such as edge exclusion of film deposition and bevel etching are also ineffective to mitigate the blind contact problem of peripheral patterns. Altering the etch hard-mask stack is finally found to be an effective approach to alleviate the issue. For instance, through either containing high temperature deposition advanced patterning film (APF) in the hard-mask or inserting higher opaque film such as amorphous Si in between the hard-mask stack.

  15. Geology of drill hole UE25p No. 1: A test hole into pre-Tertiary rocks near Yucca Mountain, southern Nevada

    International Nuclear Information System (INIS)

    Carr, M.D.; Waddell, S.J.; Vick, G.S.; Stock, J.M.; Monsen, S.A.; Harris, A.G.; Cork, B.W.; Byers, F.M. Jr.

    1986-01-01

    Yucca Mountain in southern Nye County, Nevada, has been proposed as a potential site for the underground disposal of high-level nuclear waste. An exploratory drill hole designated UE25p No. 1 was drilled 3 km east of the proposed repository site to investigate the geology and hydrology of the rocks that underlie the Tertiary volcanic and sedimentary rock sequence forming Yucca Mountain. Silurian dolomite assigned to the Roberts Mountain and Lone Mountain Formations was intersected below the Tertiary section between a depth of approximately 1244 m (4080 ft) and the bottom of the drill hole at 1807 m (5923 ft). These formations are part of an important regional carbonate aquifer in the deep ground-water system. Tertiary units deeper than 1139 m (3733 ft) in drill hole UE25p No. 1 are stratigraphically older than any units previously penetrated by drill holes at Yucca Mountain. These units are, in ascending order, the tuff of Yucca Flat, an unnamed calcified ash-flow tuff, and a sequence of clastic deposits. The upper part of the Tertiary sequence in drill hole UE25p No. 1 is similar to that found in other drill holes at Yucca Mountain. The Tertiary sequence is in fault contact with the Silurian rocks. This fault between Tertiary and Paleozoic rocks may correlate with the Fran Ridge fault, a steeply westward-dipping fault exposed approximately 0.5 km east of the drill hole. Another fault intersects UE25p No. 1 at 873 m (2863 ft), but its surface trace is concealed beneath the valley west of the Fran Ridge fault. The Paintbrush Canyon fault, the trace of which passes less than 100 m (330 ft) east of the drilling site, intersects drill hole UE25p No. 1 at a depth of approximately 78 m (255 ft). The drill hole apparently intersected the west flank of a structural high of pre-Tertiary rocks, near the eastern edge of the Crater Flat structural depression

  16. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  17. The effect of different surgical drilling procedures on full laser-etched microgrooves surface-treated implants: an experimental study in sheep.

    Science.gov (United States)

    Jimbo, Ryo; Tovar, Nick; Yoo, Daniel Y; Janal, Malvin N; Anchieta, Rodolfo B; Coelho, Paulo G

    2014-09-01

    To evaluate the influence of instrumentation technique on the early osseointegration histomorphometrics and biomechanical fixation of fully laser-etched microgrooves implant surfaces in a sheep model. Six sheep were subjected to bilateral hip surgeries 3 and 6 weeks before euthanasia. A total of 48 implants (∅4.5 mm, 8 mm in length) were distributed among four sites (8 per animal) and placed in bone sites drilled to 4.6 mm (reamer), 4.1 mm (loose), 3.7 mm (medium) and 3.2 mm (tight) in diameter. After healing, the animals were euthanized and half of the implants were biomechanically tested, while the remainder was subjected to non-decalcified histologic processing. The histomorphometric parameters assessed were bone-to-implant contact (BIC) and bone area fraction occupancy (BAFO). Statistical analysis was performed using a mixed-model analysis of variance with significance level set at P bone seemed to be in contact to the implant surface, at 3 weeks, whereas the implants placed in press-fit situations were mainly supported by cortical bone. The laser-etched microgrooved implant presented osteoconductive and biocompatible properties for all surgical procedures tested. However, procedures providing increasingly higher press-fit scenarios presented the strongest histomorphometric and biomechanical responses at 3 and 6 weeks. © 2013 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  18. Influence of redeposition on the plasma etching dynamics

    International Nuclear Information System (INIS)

    Stafford, L.; Margot, J.; Delprat, S.; Chaker, M.; Pearton, S. J.

    2007-01-01

    This work reports on measurements of the degree of redeposition of sputtered species during the etching of platinum (Pt), barium-strontium-titanate (BST), strontium-bismuth-tantalate (SBT), and photoresist (PR) in a high-density argon plasma. While PR exhibits a redeposition-free behavior, the degree of redeposition of Pt, BST, and SBT species increases from 10% to 95% as the argon pressure increases from 0.5 to 10 mTorr. These results are in good agreement with the predictions of a simple model accounting for the backscattering of sputtered species following their interaction with the gas phase. Based on these results and using other experimental data reported in the literature, it is further demonstrated that, depending on the plasma etching conditions, redeposition effects can induce misinterpretation of the etch rate data

  19. Dry etching characteristics of GaN for blue/green light-emitting diode fabrication

    International Nuclear Information System (INIS)

    Baik, K.H.; Pearton, S.J.

    2009-01-01

    The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl 2 -based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl 2 /Ar plasma chemistry and SiO 2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry

  20. Hydrolytic stability of three-step etch-and-rinse adhesives in occlusal class-I cavities.

    Science.gov (United States)

    De Munck, Jan; Mine, Atsushi; Vivan Cardoso, Marcio; Van Landuyt, Kirsten L; Lührs, Anne-Katrin; Poitevin, André; Hanabusa, Masao; Kuboki, Takuo; Van Meerbeek, Bart

    2013-11-01

    A dental adhesive without small and hydrophilic monomers such as 2-hydroxyethyl methacrylate (HEMA) and triethylene glycol dimethacrylate (TEGDMA) would be beneficial in order to avoid contact allergies. However, these monomers are important to increase infiltration and polymerization of the adhesive. Therefore, the purpose of this study was to evaluate the bonding effectiveness and bond durability of a more hydrophobic and biocompatible adhesive as compared to a conventional three-step etch-and-rinse adhesive. Sixteen non-carious human third molars were used to determine the micro-tensile bond strength testing (μTBS) and interfacial ultrastructure by transmission electron microscopy (TEM) of the more hydrophobic cmf adhesive system (Saremco) adhesive as compared to the control OptiBond FL (Kerr). The more hydrophobic and biocompatible three-step etch-and-rinse adhesive was able to produce a reasonable short-time bonding effectiveness. In the long term, the collagen fibrils in the hybrid layer were not effectively protected and were prone to hydrolytic degradation. As a result, long-term bonding effectiveness of this novel adhesive was very low. Application of a more hydrophobic adhesive without altering the application procedure considerably results in a reduced durability of the created bond Omitting small and hydrophilic components from the adhesive formulation may impair the durability of your composite restoration.

  1. Interrelated temperature dependence of bulk etch rate and track length saturation time in CR-39 detector

    International Nuclear Information System (INIS)

    Azooz, A.A.; Al-Jubbori, M.A.

    2013-01-01

    Highlights: • New empirical parameterization of CR-39 bulk etch rate. • Bulk etch rates measurements using two different methods give consistent results. • Temperature independence of track saturation length. • Two empirical relation between bulk etch rate and temperature are suggested. • Simple inverse relation between bulk etch rate and track saturation time. -- Abstract: Experimental measurements of the etching solution temperature dependence of bulk etch rate using two independent methods revealed a few interesting properties. It is found that while the track saturation length is independent of etching temperature, the etching time needed to reach saturation is strongly temperature-dependent. It is demonstrated that there is systematic simple inverse relation between track saturation time, and etching solution temperature. In addition, and although, the relation between the bulk etch rate and etching solution temperature can be reasonably described by a modified form of the Arrhenius equation, better fits can be obtained by another equation suggested in this work

  2. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-01-01

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during

  3. DURIP 99 - Instrumentation for Deposition and Etching of Ferromagnetic Nanoparticles

    National Research Council Canada - National Science Library

    Kummel, Andrew

    2000-01-01

    .... Since silver is much more difficult to etch than iron due to the lack of volatile silver halides, this spontaneous coating of Fe by Ag explains the difficulty in etching Fe particles deposited on Ag substrates. (b...

  4. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    The effect of deposition and thermal annealing temperatures on the dry etch rate of a-C:H films was investigated to increase our fundamental understanding of the relationship between thermal annealing and dry etch rate and to obtain a low dry etch rate hard mask. The hydrocarbon contents and hydrogen concentration were decreased with increasing deposition and annealing temperatures. The I(D)/I(G) intensity ratio and extinction coefficient of the a-C:H films were increased with increasing deposition and annealing temperatures because of the increase of sp 2 bonds in the a-C:H films. There was no relationship between the density of the unpaired electrons and the deposition temperature, or between the density of the unpaired electrons and the annealing temperature. However, the thermally annealed a-C:H films had fewer unpaired electrons compared with the as-deposited ones. Transmission electron microscopy analysis showed the absence of any crystallographic change after thermal annealing. The density of the as-deposited films was increased with increasing deposition temperature. The density of the 600 °C annealed a-C:H films deposited under 450 °C was decreased but at 550 °C was increased, and the density of all 800 °C annealed films was increased. The dry etch rate of the as-deposited a-C:H films was negatively correlated with the deposition temperature. The dry etch rate of the 600 °C annealed a-C:H films deposited at 350 °C and 450 °C was faster than that of the as-deposited film and that of the 800 °C annealed a-C:H films deposited at 350 °C and 450 °C was 17% faster than that of the as-deposited film. However, the dry etch rate of the 550 °C deposited a-C:H film was decreased after annealing at 600 °C and 800 °C. The dry etch rate of the as-deposited films was decreased with increasing density but that of the annealed a-C:H films was not. These results indicated that the dry etch rate of a-C:H films for dry etch hard masks can be further decreased by

  5. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  6. Bond strength with various etching times on young permanent teeth

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.N.; Lu, T.C. (School of Dentistry, National Defense Medical Center, Taipei, Taiwan (China))

    1991-07-01

    Tensile bond strengths of an orthodontic resin cement were compared for 15-, 30-, 60-, 90-, or 120-second etching times, with a 37% phosphoric acid solution on the enamel surfaces of young permanent teeth. Fifty extracted premolars from 9- to 16-year-old children were used for testing. An orthodontic composite resin was used to bond the bracket directly onto the buccal surface of the enamel. The tensile bond strengths were tested with an Instron machine. Bond failure interfaces between bracket bases and teeth surfaces were examined with a scanning electron microscope and calculated with mapping of energy-dispersive x-ray spectrometry. The results of tensile bond strength for 15-, 30-, 60-, or 90-second etching times were not statistically different. For the 120-second etching time, the decrease was significant. Of the bond failures, 43%-49% occurred between bracket and resin interface, 12% to 24% within the resin itself, 32%-40% between resin and tooth interface, and 0% to 4% contained enamel fragments. There was no statistical difference in percentage of bond failure interface distribution between bracket base and resin, resin and enamel, or the enamel detachment. Cohesive failure within the resin itself at the 120-second etching time was less than at other etching times, with a statistical significance. To achieve good retention, to decrease enamel loss, and to reduce moisture contamination in the clinic, as well as to save chairside time, a 15-second etching time is suggested for teenage orthodontic patients.

  7. Enamel and dentin bond strengths of a new self-etch adhesive system.

    Science.gov (United States)

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  8. Stain-etched porous silicon nanostructures for multicrystalline silicon-based solar cells

    Science.gov (United States)

    Ben Rabha, M.; Hajji, M.; Belhadj Mohamed, S.; Hajjaji, A.; Gaidi, M.; Ezzaouia, H.; Bessais, B.

    2012-02-01

    In this paper, we study the optical, optoelectronic and photoluminescence properties of stain-etched porous silicon nanostructures obtained with different etching times. Special attention is given to the use of the stain-etched PS as an antireflection coating as well as for surface passivating capabilities. The surface morphology has been analyzed by scanning electron microscopy. The evolution of the Si-O and Si-H absorption bands was analyzed by Fourier transform infrared spectrometry before and after PS treatment. Results show that stain etching of the silicon surface drops the total reflectivity to about 7% in the 400-1100 nm wavelength range and the minority carrier lifetime enhances to about 48 μs.

  9. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  10. Characterization of plasma etching damage on p-type GaN using Schottky diodes

    International Nuclear Information System (INIS)

    Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.

    2008-01-01

    The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching

  11. Direct observation of contact and channel resistance in pentacene four-terminal thin-film transistor patterned by laser ablation method

    International Nuclear Information System (INIS)

    Yagi, Iwao; Tsukagoshi, Kazuhito; Aoyagi, Yoshinobu

    2004-01-01

    We established a dry-etching patterning process for the channel formation of pentacene thin-film transistor, and fabricated a four-terminal device equipped with a gate electrode. The four-terminal device enabled us to divide two-terminal source-drain resistance into two components of contact resistance and pentacene channel resistance. We obtained direct evidence of a gate-voltagedependent contact resistance change: the gate-induced charge significantly reduced the contact resistance and increased source-drain current. Furthermore, the temperature dependence of the device clearly indicated that the contact resistance was much higher than the channel resistance and was dominated in the two-terminal total resistance of the device below 120 K. An observed activation energy of 80 meV for contact resistance was higher than that of 42 meV for pentacene channel resistance

  12. Black holes

    International Nuclear Information System (INIS)

    Feast, M.W.

    1981-01-01

    This article deals with two questions, namely whether it is possible for black holes to exist, and if the answer is yes, whether we have found any yet. In deciding whether black holes can exist or not the central role in the shaping of our universe played by the forse of gravity is discussed, and in deciding whether we are likely to find black holes in the universe the author looks at the way stars evolve, as well as white dwarfs and neutron stars. He also discusses the problem how to detect a black hole, possible black holes, a southern black hole, massive black holes, as well as why black holes are studied

  13. σ-Hole Interactions of Covalently-Bonded Nitrogen, Phosphorus and Arsenic: A Survey of Crystal Structures

    Directory of Open Access Journals (Sweden)

    Peter Politzer

    2014-02-01

    Full Text Available Covalently-bonded atoms of Groups IV–VII tend to have anisotropic charge distributions, the electronic densities being less on the extensions of the bonds (σ-holes than in the intervening regions. These σ-holes often give rise to positive electrostatic potentials through which the atom can interact attractively and highly directionally with negative sites (e.g., lone pairs, π electrons and anions, forming noncovalent complexes. For Group VII this is called “halogen bonding” and has been widely studied both computationally and experimentally. For Groups IV–VI, it is only since 2007 that positive σ-holes have been recognized as explaining many noncovalent interactions that have in some instances long been known experimentally. There is considerable experimental evidence for such interactions involving groups IV and VI, particularly in the form of surveys of crystal structures. However we have found less extensive evidence for Group V. Accordingly we have now conducted a survey of the Cambridge Structural Database for crystalline close contacts of trivalent nitrogen, phosphorus and arsenic with six different types of electronegative atoms in neighboring molecules. We have found numerous close contacts that fit the criteria for σ-hole interactions. Some of these are discussed in detail; in two instances, computed molecular electrostatic potentials are presented.

  14. Damage-free laser patterning of silicon nitride on textured crystalline silicon using an amorphous silicon etch mask for Ni/Cu plated silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Bailly, Mark S., E-mail: mbailly@asu.edu; Karas, Joseph; Jain, Harsh; Dauksher, William J.; Bowden, Stuart

    2016-08-01

    We investigate the optimization of laser ablation with a femtosecond laser for direct and indirect removal of SiN{sub x} on alkaline textured c-Si. Our proposed resist-free indirect removal process uses an a-Si:H etch mask and is demonstrated to have a drastically improved surface quality of the laser processed areas when compared to our direct removal process. Scanning electron microscope images of ablated sites show the existence of substantial surface defects for the standard direct removal process, and the reduction of those defects with our proposed process. Opening of SiN{sub x} and SiO{sub x} passivating layers with laser ablation is a promising alternative to the standard screen print and fire process for making contact to Si solar cells. The potential for small contacts from laser openings of dielectrics coupled with the selective deposition of metal from light induced plating allows for high-aspect-ratio metal contacts for front grid metallization. The minimization of defects generated in this process would serve to enhance the performance of the device and provides the motivation for our work. - Highlights: • Direct laser removal of silicon nitride (SiN{sub x}) damages textured silicon. • Direct laser removal of amorphous silicon (a-Si) does not damage textured silicon. • a-Si can be used as a laser patterned etch mask for SiN{sub x}. • Chemically patterned SiN{sub x} sites allow for Ni/Cu plating.

  15. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    Science.gov (United States)

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (padhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  16. Effects of polymer corrosion inhibitor on widening etch tunnels of aluminum foil for capacitor

    International Nuclear Information System (INIS)

    Ban, Chaolei; He, Yedong; Shao, Xin; Wang, Zhishen

    2014-01-01

    Highlights: •With PSSA, the exterior surface dissolution of etched Al foil is suppressed. •With PSSA, the interior surface dissolution of etched Al foil is facilitated. •With PSSA, the tunnels are widened along the entire length. •With PSSA, the area and capacitance of etched Al foil are significantly improved. -- Abstract: We investigated the effects of polymeric corrosion inhibitor polystyrene sulfonic acid (PSSA) additive to 3% HNO 3 solution on widening tunnels of pre-etched aluminum foil by electrochemical DC etching for aluminum electrolytic capacitors, using scanning electron microscopy and polarization curves. With trace PSSA, the dissolution of exterior surface of etch tunnels of Al foil is suppressed and the dissolution of interior surface of etch tunnels of Al foil is facilitated, respectively. The tunnels transform from circular cone to circular column in shape and pits-merging on the surface is weakened, leading to significant increase in the surface area and specific capacitance of the Al foil. The amounts of reduced thickness and weight of Al foil during the widening process of etch tunnels can be decreased if PSSA is employed

  17. Consideration of correlativity between litho and etching shape

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2012-03-01

    We developed an effective method for evaluating the correlation of shape of Litho and Etching pattern. The purpose of this method, makes the relations of the shape after that is the etching pattern an index in wafer same as a pattern shape on wafer made by a lithography process. Therefore, this method measures the characteristic of the shape of the wafer pattern by the lithography process and can predict the hotspot pattern shape by the etching process. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used wafer CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and lithography management, and this has a big impact on the semiconductor market that centers on the semiconductor business. 2-dimensional shape of wafer quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. In this study, we conducted experiments for correlation method of the pattern (Measurement Based Contouring) as two-dimensional litho and etch evaluation technique. That is, observation of the identical position of a litho and etch was considered. It is possible to analyze variability of the edge of the same position with high precision.

  18. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    Science.gov (United States)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  19. Preliminary quantification of a shape model for etch-pits formed during natural weathering of olivine

    International Nuclear Information System (INIS)

    Nowicki, M. Anna; Velbel, Michael A.

    2011-01-01

    Many etch-pits on olivine grains occur as a pair of cone-shaped pits sharing a base, which consequently appear as diamond-shaped etch-pits in cross-section. Quantitative image analysis of back-scattered electron images establishes empirical dimensions of olivine etch-pits in naturally weathered samples from Hawaii and North Carolina. Images of naturally etched olivine were acquired from polished thin-sections by scanning electron microscopy. An average cone-radius-to-height ratio (r:h) of 1.78 was determined for diamond-shaped cross-sections of etch-pits occurring in naturally weathered olivine grains, largely consistent with previous qualitative results. Olivine etch-pit shape as represented by r:h varies from slightly more than half the average value to slightly more than twice the average. Etch-pit shape does not appear to vary systematically with etch-pit size.

  20. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  1. Characterisation of anisotropic etching in KOH using network etch rate function model: influence of an applied potential in terms of microscopic properties

    International Nuclear Information System (INIS)

    Nguyen, Q D; Elwenspoek, M

    2006-01-01

    Using the network etch rate function model, the anisotropic etch rate of p-type single crystal silicon was characterised in terms of microscopic properties including step velocity, step and terrace roughening. The anisotropic etch rate data needed have been obtained using a combination of 2 wagon wheel patterns on different substrate and 1 offset trench pattern. Using this procedure the influence of an applied potential has been investigated in terms of microscopic properties. Model parameter trends show a good correlation with chemical/electrochemical reaction mechanism and mono- and dihydride terminated steps reactivity difference. Results also indicate a minimum in (111) terrace roughening which results in a peak in anisotropic ratio at the non-OCP applied potential of -1250 mV vs OCP

  2. Effect of Cl2 plasma treatment and annealing on vanadium based metal contacts to Si-doped Al0.75Ga0.25N

    Science.gov (United States)

    Lapeyrade, Mickael; Alamé, Sabine; Glaab, Johannes; Mogilatenko, Anna; Unger, Ralph-Stephan; Kuhn, Christian; Wernicke, Tim; Vogt, Patrick; Knauer, Arne; Zeimer, Ute; Einfeldt, Sven; Weyers, Markus; Kneissl, Michael

    2017-09-01

    In order to understand the electrical properties of V/Al/Ni/Au metal contacts to Si-doped Al0.75Ga0.25N layers, X-ray photoelectron spectroscopy analysis was performed on differently treated AlGaN:Si surfaces before metal deposition, and transmission electron microscopy was used to study the semiconductor-metal interface after contact annealing at 900 °C. Cl2 plasma etching of AlGaN increases the aluminum/nitrogen ratio at the surface, and Al oxide or oxynitride is always formed by any surface treatment applied after etching. After contact annealing, a complex interface structure including amorphous AlOx and different metal phases such as Al-Au-Ni, V-Al, and V2N were found. The electrical properties of the contacts were determined by thermionic emission and/or thermionic field emission in the low voltage regime. Nearly ohmic contacts on AlGaN surfaces exposed to a Cl2 plasma were only obtained by annealing the sample at a temperature of 815 °C under N2/NH3 prior to metallization. By this treatment, the oxygen contamination on the surface could be minimized, resulting in a larger semiconductor area to be in direct contact with metal phases such as Al-rich Al-Au-Ni or V-Al and leading to a contact resistivity of 2.5 × 10-2 Ω cm2. This treatment can be used to significantly reduce the operating voltage of current deep ultraviolet light emitting diodes which will increase their wall plug efficiency and lower the thermal stress during their operation.

  3. Overview Of Dry-Etch Techniques

    Science.gov (United States)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  4. Flanged joints with contact outside the bolt circle: ASME Part B design rules

    International Nuclear Information System (INIS)

    Rodabaugh, E.C.; Moore, S.E.

    1976-05-01

    The ASME Boiler and Pressure Vessel Code, Section VIII, Division 1, gives rules which are subdivided into ''Part A'' and ''Part B''. Part A covers flanged joints where contact between flanges occurs through a gasket located inside the bolt holes. Part B covers flanged joints with contact outside the bolt holes. This report (a) summarizes the theory for Part B flanged joints, (b) presents examples which show the significant differences between Part A flanged joints and Part B flanged joints, (c) presents the available test data relevant to the characteristics of Part B flanged joints, (d) gives listings of two computer programs which can be used to evaluate the characteristics of Part B flanged joints, and (e) gives recommendations for Code revisions and other aspects of Part B flanged-joint design

  5. Physical chemistry of wet chemical anisotropic etching of silicon

    NARCIS (Netherlands)

    Elwenspoek, Michael Curt

    1995-01-01

    In this paper we explain a view to understand the anisotropy of the etching of silicon in certain wet chemical agents (such as KOH). The starting point is the assumption that the [Left angle bracket]111[Right Angle Bracket] face of silicon is a flat face, the etch rate of which is then governed by a

  6. Electrochemical synthesis of gold nanorods in track-etched polycarbonate membrane using removable mercury cathode

    International Nuclear Information System (INIS)

    Sharma, Manoj K.; Ambolikar, Arvind S.; Aggarwal, Suresh K.

    2012-01-01

    The electrochemical template synthesis of gold nanorods within the cylindrical pores of track-etched polycarbonate (PC) membrane using a removable mercury cathode is reported. The novelty of this new approach is that it eliminates the requirement of coating an approximately 500 nm–1 μm-thick metallic layer, as conducting substrate, onto one surface of the insulating template membrane by the sputter deposition technique. A two-compartment electrochemical cell was designed and used for this work. The PC membrane was placed between the two compartments separating the aqueous solution of HAuCl 4 from mercury. Mercury, filled in one of the compartments, is in contact with one surface of the membrane (similar to sputter-deposited metallic layer) and serves as the conducting substrate/cathode for the electrochemical deposition of gold in the nanopores of track-etched PC membrane. Once the electrodeposition is completed, the mercury and the HAuCl 4 solution are removed from the compartments, and a malleable track-etched PC membrane embedded with free-standing gold nanorods is obtained. The ensemble of the metal nanorods grown in the template membrane is not attached to any conducting substrate, and gold nanorods can be freed from the template membrane after the dissolution. The Au-deposited PC membrane and free-standing Au nanorods were characterized by EDXRF, XRD, UV–Visible spectroscopy, AFM, and FEG-TEM. The EDXRF and XRD studies confirmed the deposition of the face-centered cubic phase of Au in the pores of the PC membrane. The TEM studies showed the formation of a cigar-shaped gold nanorod in the cylindrical pores of the PC membrane. The diameter of gold nanorods ranges from 100 to 200 nm. The new approach is simple, cost-effective, and saves time.

  7. Rolled-Up Nanotech: Illumination-Controlled Hydrofluoric Acid Etching of AlAs Sacrificial Layers

    Directory of Open Access Journals (Sweden)

    Costescu Ruxandra

    2009-01-01

    Full Text Available Abstract The effect of illumination on the hydrofluoric acid etching of AlAs sacrificial layers with systematically varied thicknesses in order to release and roll up InGaAs/GaAs bilayers was studied. For thicknesses of AlAs below 10 nm, there were two etching regimes for the area under illumination: one at low illumination intensities, in which the etching and releasing proceeds as expected and one at higher intensities in which the etching and any releasing are completely suppressed. The “etch suppression” area is well defined by the illumination spot, a feature that can be used to create heterogeneously etched regions with a high degree of control, shown here on patterned samples. Together with the studied self-limitation effect, the technique offers a way to determine the position of rolled-up micro- and nanotubes independently from the predefined lithographic pattern.

  8. Some aspects of the etching behavior of cellulose nitrate as track detector

    International Nuclear Information System (INIS)

    Hildebrand, D.; Reitz, G.; Buecker, H.

    1976-01-01

    Experimental results are presented to support the hypothesis that the etching velocity in cellulose nitrate detectors is dependent on the local water content of the foil. The consequence is drawn that high concentrations (> 4.7 n) of the etching solution should not be used for high precision track etching. The possibility to vary the Vsub(t)/Vsub(b) ratio is reported to have a useful application in biological experiments. Further more an influence of etch products at low NaOH concentrations was found. The cellulose nitrate detectors used in this investigation are 250 μ sheets made by Daicel, Nippon (plasticized) and 100 μ sheets made by Kodak, France (Type CA 80-15, plasticized). No qualitative differences in the etching behaviour of these two materials were obtained regarding the reported investigations, although the differences are partly large regarding other features. (orig.) [de

  9. Optimized use of cooling holes to decrease the amount of thermal damage on a plastic gear tooth

    Directory of Open Access Journals (Sweden)

    Demagna Koffi

    2016-05-01

    Full Text Available The full potential of plastic gear usage is limited by not only poor mechanical properties but also equally poor temperature limits and poor heat conduction properties. Cooling holes were developed to decrease the amount of thermal damage on the contact surface. These cooling holes promote increased stress and tooth deflection, thus exerting a negative effect. This article compares various cooling holes for plastic gear configurations and proposes novel cooling holes. Thermal and mechanical simulations that consider specific aspects of plastic gear meshing were performed. The main objective of this article was to verify the best methods for reducing thermal damage through cooling holes. The results indicate the best compromise between the temperature reduction and the mechanical properties of the new tooth geometry. The results also indicate that the simple variations in the cooling holes proposed can improve tooth performance.

  10. Effect of ferric sulfate contamination on the bonding effectiveness of etch-and-rinse and self-etch adhesives to superficial dentin

    OpenAIRE

    Shahram Farzin Ebrahimi; Niloofar Shadman; Arezoo Abrishami

    2013-01-01

    Aim: This study investigated the effect of one hemostatic agent on the shear bond strength of self-etch and etch-and-rinse adhesive systems. Materials and Methods: Sixty extracted third molars were selected. After preparing a flat surface of superficial dentin, they were randomly divided into six groups. Adhesives were Tetric N-Bond, AdheSE, and AdheSE One F. Before applying adhesives, surfaces were contaminated with ViscoStat for 60 s in three groups and rinsed. Then composite were attached ...

  11. Laser etching of polymer masked leadframes

    Science.gov (United States)

    Ho, C. K.; Man, H. C.; Yue, T. M.; Yuen, C. W.

    1997-02-01

    A typical electroplating production line for the deposition of silver pattern on copper leadframes in the semiconductor industry involves twenty to twenty five steps of cleaning, pickling, plating, stripping etc. This complex production process occupies large floor space and has also a number of problems such as difficulty in the production of rubber masks and alignment, generation of toxic fumes, high cost of water consumption and sometimes uncertainty on the cleanliness of the surfaces to be plated. A novel laser patterning process is proposed in this paper which can replace many steps in the existing electroplating line. The proposed process involves the application of high speed laser etching techniques on leadframes which were protected with polymer coating. The desired pattern for silver electroplating is produced by laser ablation of the polymer coating. Excimer laser was found to be most effective for this process as it can expose a pattern of clean copper substrate which can be silver plated successfully. Previous working of Nd:YAG laser ablation showed that 1.06 μm radiation was not suitable for this etching process because a thin organic and transparent film remained on the laser etched region. The effect of excimer pulse frequency and energy density upon the removal rate of the polymer coating was studied.

  12. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  13. Shapes of agglomerates in plasma etching reactors

    International Nuclear Information System (INIS)

    Huang, F.Y.; Kushner, M.J.

    1997-01-01

    Dust particle contamination of wafers in reactive ion etching (RIE) plasma tools is a continuing concern in the microelectronics industry. It is common to find that particles collected on surfaces or downstream of the etch chamber are agglomerates of smaller monodisperse spherical particles. The shapes of the agglomerates vary from compact, high fractal dimension structures to filamentary, low fractal dimension structures. These shapes are important with respect to the transport of particles in RIE tools under the influence electrostatic and ion drag forces, and the possible generation of polarization forces. A molecular dynamics simulation has been developed to investigate the shapes of agglomerates in plasma etching reactors. We find that filamentary, low fractal dimension structures are generally produced by smaller (<100s nm) particles in low powered plasmas where the kinetic energy of primary particles is insufficient to overcome the larger Coulomb repulsion of a compact agglomerate. This is analogous to the diffusive regime in neutral agglomeration. Large particles in high powered plasmas generally produce compact agglomerates of high fractal dimension, analogous to ballistic agglomeration of neutrals. copyright 1997 American Institute of Physics

  14. σ-holes and π-holes: Similarities and differences.

    Science.gov (United States)

    Politzer, Peter; Murray, Jane S

    2018-04-05

    σ-Holes and π-holes are regions of molecules with electronic densities lower than their surroundings. There are often positive electrostatic potentials associated with them. Through these potentials, the molecule can interact attractively with negative sites, such as lone pairs, π electrons, and anions. Such noncovalent interactions, "σ-hole bonding" and "π-hole bonding," are increasingly recognized as being important in a number of different areas. In this article, we discuss and compare the natures and characteristics of σ-holes and π-holes, and factors that influence the strengths and locations of the resulting electrostatic potentials. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  15. Data for four geologic test holes in the Sacramento Valley, California

    Science.gov (United States)

    Berkstresser, C.F.; French, J.J.; Schaal, M.E.

    1985-01-01

    The report provides geological and geophysical data for four of seven test holes drilled as a part of the Central Valley Aquifer Project, which is part of the Regional Aquifer Systems Analysis. The holes were drilled with a rotary well drilling machine to depths of 900 feet in the southwestern part of the Sacramento Valley in Solano and Yolo Counties. Geologic data for each well include lithology, texture, color, character of the contact, sorting, rounding, and cementation, determined from cuttings, cores, and sidewall covers. Fifty cores, 3 feet long, were obtained from each hole, and from eight to fourteen sidewall cores were collected. Geophysical data include a dual-induction log, spherically focused log (SFL), compensated neutron-formation density log, gamma-ray log, and a caliper log. These data are presented in four tables and on four plates. (USGS)

  16. Synchrotron radiation induced direct photo-etching and surface modification of PTFE

    International Nuclear Information System (INIS)

    Oshima, Akihiro; Washio, Masakazu

    2003-01-01

    In the first part of this article, we have described and discussed the measurement results of etching rates by direct photo-etching using Synchrotron Radiation (SR) for various kind of crosslinked PTFEs, which were prepared by different crosslinking doses, comparing with the non-crosslinked PTFE. It has been found that the etching rates obtained for crosslinked PTFE were much larger than that of non-crosslinked one. These results are not described by simple consideration such as the G values of main chain scission. We propose that the etching rates should be discussed by the complex mechanism through at least two different steps such as polymer decomposition and fragment desorption. In the second part of the article, we have described and discussed the abnormal reaction induced at the surface region after the SR etching for non-crosslinked PTFE. Through the measurements using DSC and solid state 19 F-NMR, we have confirmed the crosslinking reaction of PTFE even in solid state PTFE. This should be induced by the very high density radical formation in very thin area of PTFE films by SR radiation. (author)

  17. The influence of diffusion of fluorine compounds for silicon lateral etching

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick; Goodyear, Alec; Braithwaite, Nicholas St.John

    2004-07-01

    In an earlier study, it was proposed that long-range surface transport of fluorine atoms could precede the eventual binding to a silicon atom. The rate of binding increases if the silicon is bombarded with high energy ions. In this study, the lateral etching of a silicon layer, sandwiched between two silicon dioxide layers, was studied in order to investigate and extend these hypotheses. The under etching of the silicon layer was higher for wafers which suffered ion bombardment, showing that this mechanism is important even for horizontal etching. At the same time, the thickness of the silicon layer was varied. In all cases, the thinner silicon layer etched much faster then the thicker layer, indicating that fluorine surface transport is much more important than re-emission for these processes. The etch rate increase with ion bombardment can be explained by the fact that part of the energy of the incoming ions is transferred to the fluorine compounds which are on the horizontal surfaces and that ion bombardment enhances the fluorine surface transport.

  18. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion.

    Directory of Open Access Journals (Sweden)

    R Zahran

    Full Text Available Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5-7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time.

  19. Study on spatial distribution of plasma parameters in a magnetized inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Cheong, Hee-Woon; Lee, Woohyun; Kim, Ji-Won; Whang, Ki-Woong, E-mail: kwhang@snu.ac.kr [Plasma Laboratory, Inter-University Semiconductor Research Center, Department of Electrical and Computer Engineering, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Hyuk [Samsung Electronics Co., Banwol-dong, Hwaseong 445-701 (Korea, Republic of); Park, Wanjae [Tokyo Electron Miyagi Ltd., Taiwa-cho, Kurokawa-gun, Miyagi 981-3629 (Japan)

    2015-07-15

    Spatial distributions of various plasma parameters such as plasma density, electron temperature, and radical density in an inductively coupled plasma (ICP) and a magnetized inductively coupled plasma (M-ICP) were investigated and compared. Electron temperature in between the rf window and the substrate holder of M-ICP was higher than that of ICP, whereas the one just above the substrate holder of M-ICP was similar to that of ICP when a weak (<8 G) magnetic field was employed. As a result, radical densities in M-ICP were higher than those in ICP and the etch rate of oxide in M-ICP was faster than that in ICP without severe electron charging in 90 nm high aspect ratio contact hole etch.

  20. ECE laboratory in the Vinca Institute - its basic characteristics and fundamentals of electrochemical etching on polycarbonate

    International Nuclear Information System (INIS)

    Zunic, Z.S.; Ujic, P.; Celikovic, I.; Fujimoto, K.

    2003-01-01

    This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINCA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up, i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings. (author)