WorldWideScience

Sample records for conformal imprint lithography

  1. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    Science.gov (United States)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  2. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  3. Imprint lithography: lab curiosity or the real NGL

    Science.gov (United States)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  4. Roll-to-roll UV imprint lithography for flexible electronics

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Barbu, I.; Meinders, E.R.; Bremen, A. van; Iosad, N.; Werf, R. van der; Onvlee, H.

    2011-01-01

    We propose a roll-to-roll UV imprint lithography tool as a way to pattern flexible PET foil with µm-resolution. As a way to overcome dimensional instability of the foil and its effect on overlay, a self-align approach was investigated, that permits to make several layers in a single lithography

  5. Multi-level single mode 2D polymer waveguide optical interconnects using nano-imprint lithography

    NARCIS (Netherlands)

    Khan, M.U.; Justice, J.; Petäjä, J.; Korhonen, T.; Boersma, A.; Wiegersma, S.; Karppinen, M.; Corbett, B.

    2015-01-01

    Single and multi-layer passive optical interconnects using single mode polymer waveguides are demonstrated using UV nano-imprint lithography. The fabrication tolerances associated with imprint lithography are investigated and we show a way to experimentally quantify a small variation in index

  6. Inspection of imprint lithography patterns for semiconductor and patterned media

    Science.gov (United States)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  7. 3D Simulation of Nano-Imprint Lithography

    DEFF Research Database (Denmark)

    Román Marín, José Manuel; Rasmussen, Henrik K.; Hassager, Ole

    2010-01-01

    A proof of concept study of the feasibility of fully three-dimensional (3D) time-dependent simulation of nano-imprint lithography of polymer melt, where the polymer is treated as a structured liquid, has been presented. Considering the flow physics of the polymer as a structured liquid, we have...

  8. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei; Lin, Liang; Xu, Yelong; Guo, Xu; Liu, Xiaoping; Ge, Haixiong; Lu, Minghui; Cui, Bo; Chen, Yanfeng

    2014-01-01

    manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage

  9. Programmable imprint lithography template

    Science.gov (United States)

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  10. Double-layer imprint lithography on wafers and foils from the submicrometer to the millimeter scale

    NARCIS (Netherlands)

    Moonen, P.F.; Yakimets, I.; Peter, M.; Meinders, E.R.; Huskens, J.

    2011-01-01

    In this paper, a thermal imprint technique, double-layer nanoimprint lithography (dlNIL), is introduced, allowing complete filling of features in the dimensional range of submicrometer to millimeter. The imprinting and filling quality of dlNIL was studied on Si substrates as a model system and

  11. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  12. Reactive Imprint Lithography: Combined Topographical Patterning and Chemical Surface Functionalization of Polystyrene-block-poly(tert-butyl acrylate) Films

    NARCIS (Netherlands)

    Duvigneau, Joost; Cornelissen, Stijn; Bardajı´Valls, Nuria; Schönherr, Holger; Vancso, Gyula J.

    2009-01-01

    Here, reactive imprint lithography (RIL) is introduced as a new, one-step lithographic tool for the fabrication of large-area topographically patterned, chemically activated polymer platforms. Films of polystyrene-block-poly(tert-butyl acrylate) (PS-b-PtBA) are imprinted with PDMS master stamps at

  13. Imprint lithography provides topographical nanocues to guide cell growth in primary cortical cell culture

    NARCIS (Netherlands)

    Xie, S.; Luttge, R.

    2014-01-01

    In this paper, we describe a technology platform to study the effect of nanocues on the cell growth direction in primary cortical cell culture. Topographical cues to cells are provided using nanoscale features created by Jet and Flash Imprint Lithography, coated with polyethylenimine. We

  14. Solvent influence upon structure & throughput of poly vinyledene fluoride thin film nano-patterns by imprint lithography

    Science.gov (United States)

    Sankar, M. S. Ravi; Gangineni, R. B.

    2018-04-01

    This work aims at understanding the solvent influence upon the throughput and structure of poly vinyledene fluoride (PVDF)nano-patterned films. The PVDF thin films are deposited by spin coating method using Dimethylsulfoxide (DMSO), Tetrahydrofuran (THF) and 2-butanone solvents. The nano-patterns are realized by imprinting SONY 700 MB CD aluminum constructions on PVDF thin filmsusing imprint lithography technique under ambient annealing temperature and pressure. Surface morphology &imprint pattern transfer quality is evaluated with Atomic force microscopy (AFM). Raman spectroscopy is used for evaluating the structural evolutions with respect to solvent & patterning.

  15. Nanostructured Polymer Brushes by UV-Assisted Imprint Lithography and Surface-Initiated Polymerization for Biological Functions

    NARCIS (Netherlands)

    Benetti, Edmondo Maria; Acikgoz, C.; Sui, Xiaofeng; Vratzov, Boris; Hempenius, Mark A.; Huskens, Jurriaan; Vancso, Gyula J.

    2011-01-01

    Functional polymer brush nanostructures are obtained by combining step-and-flash imprint lithography (SFIL) with controlled, surface-initiated polymerization (CSIP). Patterning is achieved at length scales such that the smallest elements have dimensions in the sub-100 nm range. The patterns exhibit

  16. Fabrication of tunable diffraction grating by imprint lithography with photoresist mold

    Science.gov (United States)

    Yamada, Itsunari; Ikeda, Yusuke; Higuchi, Tetsuya

    2018-05-01

    We fabricated a deformable transmission silicone [poly(dimethylsiloxane)] grating using a two-beam interference method and imprint lithography and evaluated its optical characteristics during a compression process. The grating pattern with 0.43 μm depth and 1.0 μm pitch was created on a silicone surface by an imprinting process with a photoresist mold to realize a simple, low-cost fabrication process. The first-order diffraction transmittance of this grating reached 10.3% at 632.8 nm wavelength. We also measured the relationship between the grating period and compressive stress to the fabricated elements. The grating period changed from 1.0 μm to 0.84 μm by 16.6% compression of the fabricated element in one direction, perpendicular to the grooves, and the first-order diffraction transmittance was 8.6%.

  17. Investigation of GaN-based light emitting diodes with nano-hole patterned sapphire substrate (NHPSS) by nano-imprint lithography

    International Nuclear Information System (INIS)

    Huang, H.W.; Lin, C.H.; Huang, J.K.; Lee, K.Y.; Lin, C.F.; Yu, C.C.; Tsai, J.Y.; Hsueh, R.; Kuo, H.C.; Wang, S.C.

    2009-01-01

    In this paper, gallium-nitride (GaN)-based light-emitting diodes (LEDs) with nano-hole patterned sapphire (NHPSS) by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with NHPSS increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.33, and the wall-plug efficiency is 30% higher at 20 mA indicating that the LED with NHPSS had larger light extraction efficiency. In addition, by examining the radiation patterns, the LED with NHPSS shows stronger light extraction with a wider view angle. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography.

  18. Recognition of conformational changes in beta-lactoglobulin by molecularly imprinted thin films.

    Science.gov (United States)

    Turner, Nicholas W; Liu, Xiao; Piletsky, Sergey A; Hlady, Vladimir; Britt, David W

    2007-09-01

    Pathogenesis in protein conformational diseases is initiated by changes in protein secondary structure. This molecular restructuring presents an opportunity for novel shape-based detection approaches, as protein molecular weight and chemistry are otherwise unaltered. Here we apply molecular imprinting to discriminate between distinct conformations of the model protein beta-lactoglobulin (BLG). Thermal- and fluoro-alcohol-induced BLG isoforms were imprinted in thin films of 3-aminophenylboronic acid on quartz crystal microbalance chips. Enhanced rebinding of the template isoform was observed in all cases when compared to the binding of nontemplate isoforms over the concentration range of 1-100 microg mL(-1). Furthermore, it was observed that the greater the changes in the secondary structure of the template protein the lower the binding of native BLG challenges to the imprint, suggesting a strong steric influence in the recognition system. This feasibility study is a first demonstration of molecular imprints for recognition of distinct conformations of the same protein.

  19. Recognition of Conformational Changes in β-Lactoglobulin by Molecularly Imprinted Thin Films

    Science.gov (United States)

    Turner, Nicholas W.; Liu, Xiao; Piletsky, Sergey A.; Hlady, Vladimir; Britt, David W.

    2008-01-01

    Pathogenesis in protein conformational diseases is initiated by changes in protein secondary structure. This molecular restructuring presents an opportunity for novel shape-based detection approaches, as protein molecular weight and chemistry are otherwise unaltered. Here we apply molecular imprinting to discriminate between distinct conformations of the model protein β-lactoglobulin (BLG). Thermal- and fluoro-alcohol-induced BLG isoforms were imprinted in thin films of 3-aminophenylboronic acid on quartz crystal microbalance chips. Enhanced rebinding of the template isoform was observed in all cases when compared to the binding of nontemplate isoforms over the concentration range of 1–100 µg mL−1. Furthermore, it was observed that the greater the changes in the secondary structure of the template protein the lower the binding of native BLG challenges to the imprint, suggesting a strong steric influence in the recognition system. This feasibility study is a first demonstration of molecular imprints for recognition of distinct conformations of the same protein. PMID:17665947

  20. A poly(dimethylsiloxane)-coated flexible mold for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Nae Yoon; Kim, Youn Sang

    2007-01-01

    In this paper, we introduce an anti-adhesion poly(dimethylsiloxane) (PDMS)-coated flexible mold and its applications for room-temperature imprint lithography. The flexible mold is fabricated using an ultraviolet-curable prepolymer on a flexible substrate, and its surface is passivated with a thin layer of PDMS to impart an anti-adhesion property. The highly flexible mold enables conformal contact with a substrate on which a low-viscosity polymer resist is spin-cast in a thin layer. Large-area imprinting is then realized at room temperature under significantly reduced pressure. The mold was durable even after repetitive imprinting of over 200 times. Also, we show a double imprinting on the substrate with a PDMS-coated replica polymeric mold having 500 nm line patterns. This enables the formation of matrix patterns with varying feature heights in less than 7 min

  1. UV curing imprint lithography for micro-structure in MEMS manufacturing

    International Nuclear Information System (INIS)

    Ding Yucheng; Liu Hongzhong; Lu Bingheng; Qiu Zhihui

    2006-01-01

    Imprint lithography has been gaining popularity as a new method to fabricate microelectro mechanical systems. The main advantages of the IL are its extremely low set-up cost, high replicating accuracy and extended fabricating critical dimension. Compare to traditional optical lithography, IL has the advantages of being able to fabricate complex pattern structure with high-aspect ratio. However, the thermal and loading errors can reduce pattern transferring fidelity. In this paper, UV curing method is used in IL process which can avoid the heat distortion of tools. Additionally, a six-step loading process for template pressing into resist film is developed. The performance of this process include: the loading locus is continuous with very high accuracy (10nm), the press releasing control (accuracy up to 1 psi) can reduce and avoid the distortion of template structure and stage supports. This process can achieve a residual layer with thickness of 20nm and avoid the elastic stamp distorted (under 20nm) at the same time. The press force can reach up to 300 psi for 6 cm 2 pattern size but the friction force during demould process can be reduced to 30 psi. Experimental results reveal that it is a novel and robust process with high fidelity in micro/nano structures manufacturing

  2. DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates

    DEFF Research Database (Denmark)

    Smistrup, K.; Nørregaard, J.; Mironov, A.

    2013-01-01

    by including a lambda quarter shift at the center of the grating. The need for phase shifts and multiple wavelengths eliminates some lithography methods such as holography. Typically, these lasers are produced by e-beam lithography (EBL). We present a production method based on thermal nanoimprint lithography...... during the imprint process and the narrow temperature window for imprint and separation (80°C and 55°C) ensures minimal issues with thermal mismatch between the InP substrate and the Si stamp. The imprinted InP wafers were processed in NeoPhotonics standard process line to create working lasers...

  3. One-step sol-gel imprint lithography for guided-mode resonance structures.

    Science.gov (United States)

    Huang, Yin; Liu, Longju; Johnson, Michael; C Hillier, Andrew; Lu, Meng

    2016-03-04

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol-gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol-gel thin film in a single step. An organic-inorganic hybrid sol-gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol-gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol-gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol-gel thin film.

  4. One-step sol–gel imprint lithography for guided-mode resonance structures

    International Nuclear Information System (INIS)

    Huang, Yin; Liu, Longju; Lu, Meng; Johnson, Michael; C Hillier, Andrew

    2016-01-01

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol–gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol–gel thin film in a single step. An organic–inorganic hybrid sol–gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol–gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol–gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol–gel thin film. (paper)

  5. RIMS (real-time imprint monitoring by scattering of light) study of pressure, temperature and resist effects on nanoimprint lithography

    International Nuclear Information System (INIS)

    Yu Zhaoning; Gao He; Chou, Stephen Y

    2007-01-01

    To optimize nanoimprint lithography (NIL), it is essential to be able to characterize and control the NIL process in situ and in real time. Recently we have developed a real-time imprint monitoring by the scattering-of-light (RIMS) approach, which allows us to detect the degree of resist deformation and the duration of resist penetration by a mould during the imprint process in real time. In this paper we report the performances of RIMS under a broad range of working conditions. RIMS data shows that the resist penetration is facilitated by increasing processing temperature, pressure and the resist film thickness; a prolonged pre-NIL resist baking step, on the other hand, has the effect of slowing it down. Our results provide further demonstration of the effectiveness of this method under different working conditions. RIMS measurements show not only how long an imprint takes to complete, but also how an imprint progresses with time and how it is affected by differences in processing parameters. These measurements provide information crucial for a better understanding and process optimization in NIL

  6. Fabrication of 3D nano-structures using reverse imprint lithography

    Science.gov (United States)

    Han, Kang-Soo; Hong, Sung-Hoon; Kim, Kang-In; Cho, Joong-Yeon; Choi, Kyung-woo; Lee, Heon

    2013-02-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED.

  7. Fabrication of 3D nano-structures using reverse imprint lithography

    International Nuclear Information System (INIS)

    Han, Kang-Soo; Cho, Joong-Yeon; Lee, Heon; Hong, Sung-Hoon; Kim, Kang-In; Choi, Kyung-woo

    2013-01-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED. (paper)

  8. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  9. Superior light trapping in thin film silicon solar cells through nano imprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Soppe, W.J.; Dorenkamper, M.S.; Schropp, R.E.I.; Pex, P.P.A.C.

    2013-10-15

    ECN and partners have developed a fabrication process based on nanoimprint lithography (NIL) of textures for light trapping in thin film solar cells such as thin-film silicon, OPV, CIGS and CdTe. The process can be applied in roll-to-roll mode when using a foil substrate or in roll-to-plate mode when using a glass substrate. The lacquer also serves as an electrically insulating layer for cells if steel foil is used as substrate, to enable monolithic series interconnection. In this paper we will show the superior light trapping in thin film silicon solar cells made on steel foil with nanotextured back contacts. We have made single junction a-Si and {mu}c-Si and a-Si/{mu}c-Si tandem cells, where we applied several types of nano-imprints with random and periodic structures. We will show that the nano-imprinted back contact enables more than 30% increase of current in comparison with non-textured back contacts and that optimized periodic textures outperform state-of-the-art random textures. For a-Si cells we obtained Jsc of 18 mA/cm{sup 2} and for {mu}c-Si cells more than 24 mA/cm{sup 2}. Tandem cells with a total Si absorber layer thickness of only 1350 nm have an initial efficiency of 11%.

  10. Nano imprint lithography of textures for light trapping in thin film silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Soppe, W.J.; Dorenkamper, M.S.; Notta, J.B.; Pex, P.P.A.C. [ECN-Solliance, High Tech Campus 5, 5656 AE Eindhoven (Netherlands); Schipper, W.; Wilde, R. [Nanoptics GmbH, Innungsstrasse 5, 21244 Buchholz (Germany)

    2012-09-15

    Nano Imprint Lithography (NIL) is a versatile and commercially viable technology for fabrication of structures for light trapping in solar cells. We demonstrate the applicability of NIL in thin film silicon solar cells in substrate configuration, where NIL is used to fabricate a textured rear contact of the solar cells. We applied random structures, based on the natural texture of SnO:F grown by APCVD, and designed 2D periodic structures and show that for single junction {mu}c-Si cells these textured rear contacts lead to an increase of Jsc of more than 40 % in comparison to cells with flat rear contacts. Cells on optimized periodic textures showed higher fill factors which can be attributed to reduced microcrack formation, leading to less shunting in comparison to cells on random textures.

  11. Reflectance spectra characteristics from an SPR grating fabricated by nano-imprint lithography technique for biochemical nanosensor applications

    Science.gov (United States)

    Setiya Pradana, Jalu; Hidayat, Rahmat

    2018-04-01

    In this paper, we report our research work on developing a Surface Plasmon Resonance (SPR) element with sub-micron (hundreds of nanometers) periodicity grating structure. This grating structure was fabricated by using a simple nano-imprint lithography technique from an organically siloxane polymers, which was then covered by nanometer thin gold layer. The formed grating structure was a very well defined square-shaped periodic structure. The measured reflectance spectra indicate the SPR wave excitation on this grating structure. For comparison, the simulations of reflectance spectra have been also carried out by using Rigorous Coupled-Wave Analysis (RCWA) method. The experimental results are in very good agreement with the simulation results.

  12. Effects of the process temperature and rolling speed on the thermal roll-to-roll imprint lithography of flexible polycarbonate film

    International Nuclear Information System (INIS)

    Sohn, Ki-Ju; Lee, Woo Il; Park, Jae Hong; Jang, Hyun-Ik; Lee, Dong-Eon

    2013-01-01

    Thermal roll-to-roll imprint lithography (R2RIL) is a simple and low-cost process for the mass production of micro/nanopatterns. However, in that it relies on highly viscous thermoplastic resists, it is limited in its ability to imprint precise patterns at a high speed. Moreover, the concentrated imprint force applied in R2RIL can damage the resist material which is structurally vulnerable at high process temperatures. Therefore, it is important to understand the temperature- and time-dependent characteristics of the resist material as well as the imprinting mechanism when using thermal R2RIL. In this work, the effects of the process temperature and rolling speed on thermal R2RIL of polycarbonate (PC) films were investigated to improve the process efficiency. Micro-scale line patterns were successfully transferred onto PC films from nickel (Ni) mold stamps. Consequently, line patterns with widths in the range of 5–80 µm were achieved at a traveling speed of 28.6 mm s –1 and process temperature of 150 °C, which is just above the glass transition temperature (T g ). In addition, the patterning performance was investigated for different temperatures, rolling speeds and pattern sizes. The imprinted pattern profiles were measured by an alpha-step surface profiler to investigate the patterning performance. The results show that a much better imprint performance was achieved at 150 °C, compared to the result at temperatures below T g . The physical mechanisms of thermal R2RIL on a PC film were studied by a finite-element analysis and the patterning process was successfully demonstrated by a visco-plastic deformation model. (paper)

  13. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint.

    Science.gov (United States)

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-10-04

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way.

  14. High-resolution imprint and soft lithography for patterning self-assembling systems

    NARCIS (Netherlands)

    Duan, X.

    2010-01-01

    This thesis contributes to the continuous development of patterning strategies in several different areas of unconventional nanofabrication. A series of soft lithography approaches (microcontact printing, nanomolding in capillaries), nanoimprint lithography (NIL), and capillary force lithography

  15. Molecular Imprinting Techniques Used for the Preparation of Biosensors

    Directory of Open Access Journals (Sweden)

    Gizem Ertürk

    2017-02-01

    Full Text Available Molecular imprinting is the technology of creating artificial recognition sites in polymeric matrices which are complementary to the template in their size, shape and spatial arrangement of the functional groups. Molecularly imprinted polymers (MIPs and their incorporation with various transducer platforms are among the most promising approaches for detection of several analytes. There are a variety of molecular imprinting techniques used for the preparation of biomimetic sensors including bulk imprinting, surface imprinting (soft lithography, template immobilization, grafting, emulsion polymerization and epitope imprinting. This chapter presents an overview of all of these techniques with examples from particular publications.

  16. Lithography alternatives meet design style reality: How do they "line" up?

    Science.gov (United States)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  17. A compact system for large-area thermal nanoimprint lithography using smart stamps

    DEFF Research Database (Denmark)

    Pedersen, Rasmus Haugstrup; Hansen, Ole; Kristensen, Anders

    2008-01-01

    We present a simple apparatus for thermal nanoimprint lithography. In this work, the stamp is designed to significantly reduce the requirements for pressure application on the external imprint system. By MEMS-based processing, an air cavity inside the stamp is created, and the required pressure...... for successful imprint is reduced. Additionally, the stamp is capable of performing controlled demolding after imprint. Due to the complexity of the stamp, a compact and cost-effective imprint apparatus can be constructed. The design and fabrication of the advanced stamp as well as the simple imprint equipment...

  18. Nanoimprint lithography for microfluidics manufacturing

    Science.gov (United States)

    Kreindl, Gerald; Matthias, Thorsten

    2013-12-01

    The history of imprint technology as lithography method for pattern replication can be traced back to 1970's but the most significant progress has been made by the research group of S. Chou in the 1990's. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Nanoimprint lithography (NIL) is a novel method for the fabrication of micro/nanometer scale patterns with low cost, high throughput and high resolution. Unlike traditional optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques. The ability to fabricate structures from the micro- to the nanoscale with high precision in a wide variety of materials is of crucial importance to the advancement of micro- and nanotechnology and the biotech- sciences as a whole and will be discussed in this paper. Nanoimprinting can not only create resist patterns, as in lithography, but can also imprint functional device structures in various polymers, which can lead to a wide range of applications in electronics, photonics, data storage, and biotechnology.

  19. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    Science.gov (United States)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  20. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  1. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    Science.gov (United States)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  2. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  3. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  4. Experimental and Modeling Study of Solvent Diffusion in PDMS for Nanoparticle-Polymer Cosuspension Imprint Lithography.

    Science.gov (United States)

    Gervasio, Michelle; Lu, Kathy; Davis, Richey

    2015-09-15

    This study is the first that focuses on solvent migration in a polydimethylsiloxane (PDMS) stamp during the imprint lithography of ZnO-poly(methyl methacrylate) (PMMA) hybrid suspensions. Using suspensions with varying solids loading levels and ZnO/PMMA ratios, the uptake of the anisole solvent in the stamp is evaluated as a function of time. Laser confocal microscopy is employed as a unique technique to measure the penetration depth of the solvent into the stamp. The suspension solids loading affects the anisole saturation depth in the PDMS stamp. For the suspensions with low solids loading, the experimental data agree with the model for non-Fickian diffusion through a rubbery-elastic polymer. For the suspensions with high solids loading, the data agree more with a sigmoidal diffusion curve, reflecting the rubbery-viscous behavior of a swelling polymer. This difference is due to the degree of swelling in the PDMS. Higher solids loadings induce more swelling because the rate of anisole diffusing into the stamp is increased, likely due to the less dense buildup of the solids as the suspension dries.

  5. A compact system for large-area thermal nanoimprint lithography using smart stamps

    International Nuclear Information System (INIS)

    Pedersen, R H; Hansen, O; Kristensen, A

    2008-01-01

    We present a simple apparatus for thermal nanoimprint lithography. In this work, the stamp is designed to significantly reduce the requirements for pressure application on the external imprint system. By MEMS-based processing, an air cavity inside the stamp is created, and the required pressure for successful imprint is reduced. Additionally, the stamp is capable of performing controlled demolding after imprint. Due to the complexity of the stamp, a compact and cost-effective imprint apparatus can be constructed. The design and fabrication of the advanced stamp as well as the simple imprint equipment is presented. Test imprints of micrometer- and nanometer-scale structures are performed and characterized with respect to uniformity across a large area (35 mm radius). State-of-the-art uniformity for µm-scale features is demonstrated

  6. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  7. Dimensional characterization of biperiodic imprinted structures using optical scatterometry

    KAUST Repository

    Gereige, Issam

    2013-12-01

    In this paper, we report on the characterization of biperiodic imprinted structures using a non-destructive optical technique commonly called scatterometry. The nanostructures consist of periodic arrays of square and circular dots which were imprinted in a thermoplastic polymer by thermal nanoimprint lithography. Optical measurements were performed using spectroscopic ellipsometry in the spectral region of 1.5-4 eV. The geometrical profiles of the imprinted structures were reconstructed using the Rigorous Coupled-Wave Analysis (RCWA) to model the diffraction phenomena by periodic gratings. The technique was also adapted for large scale evaluation of the imprint process. Uniqueness of the solution was examined by analyzing the diffraction of the structure at different experimental conditions, for instance at various angles of incidence. © 2013 Elsevier B.V. All rights reserved.

  8. Thermo-curable epoxy systems for nanoimprint lithography

    International Nuclear Information System (INIS)

    Wu, Chun-Chang; Hsu, Steve Lien-Chung

    2010-01-01

    In this work, we have used solvent-free thermo-curable epoxy systems for low-pressure and moderate-temperature nanoimprint lithography (NIL). The curing kinetic parameters and conversion of diglycidyl ether of bisphenol A (DGEBA) resin with different ambient-cure 930 and 954 hardeners were studied by the isothermal DSC technique. They are useful for the study of epoxy resins in the imprinting application. The DGEBA/930 and DGEBA/954 epoxy resists can be imprinted to obtain high-density nano- and micro-scale patterns on a flexible indium tin oxide/poly(ethylene terephthalate) (ITO/PET) substrate. The DGEBA/930 epoxy resin is not only suitable for resist material, but also for plastic mold material. Highly dense nanometer patterns can be successfully imprinted using a UV-curable resist from the DGEBA/930 epoxy mold. Using the replicated DGEBA/930 epoxy mold instead of the expensive master can prevent brittle failure of the silicon molds in the NIL

  9. Design and fabrication of spectrally selective emitter for thermophotovoltaic system by using nano-imprint lithography

    Science.gov (United States)

    Kim, Jong-Moo; Park, Keum-Hwan; Kim, Da-Som; Hwang, Bo-yeon; Kim, Sun-Kyung; Chae, Hee-Man; Ju, Byeong-Kwon; Kim, Young-Seok

    2018-01-01

    Thermophotovoltaic (TPV) systems have attracted attention as promising power generation systems that can directly convert the radiant energy produced by the combustion of fuel into electrical energy. However, there is a fundamental limit of their conversion efficiency due to the broadband distribution of the radiant spectrum. To overcome this problem, several spectrally selective thermal emitter technologies have been investigated, including the fabrication of photonic crystal (PhC) structures. In this paper, we present some design rules based on finite-a difference time-domain (FDTD) simulation results for tungsten (W) PhC emitter. The W 2D PhC was fabricated by a simple nano-imprint lithography (NIL) process, and inductive coupled plasma reactive ion etching (ICP-RIE) with an isotropic etching process, the benefits and parameters of which are presented. The fabricated W PhC emitter showed spectrally selective emission near the infrared wavelength range, and the optical properties varied depending on the size of the nano-patterns. The measured results of the fabricated prototype structure correspond well to the simulated values. Finally, compared with the performance of a flat W emitter, the total thermal emitter efficiency was almost 3.25 times better with the 2D W PhC structure.

  10. Generic nano-imprint process for fabrication of nanowire arrays

    NARCIS (Netherlands)

    Pierret, A.; Hocevar, M.; Diedenhofen, S.L.; Algra, R.E.; Vlieg, E.; Timmering, E.C.; Verschuuren, M.A.; Immink, W.G.G.; Verheijen, M.A.; Bakkers, E.P.A.M.

    2010-01-01

    A generic process has been developed to grow nearly defect-free arrays of (heterostructured) InP and GaP nanowires. Soft nano-imprint lithography has been used to pattern gold particle arrays on full 2inch substrates. After lift-off organic residues remain on the surface, which induce the growth of

  11. Fabrication of high-aspect-ratio microstructures using dielectrophoresis-electrocapillary force-driven UV-imprinting

    International Nuclear Information System (INIS)

    Li, Xiangming; Shao, Jinyou; Tian, Hongmiao; Ding, Yucheng; Li, Xiangmeng

    2011-01-01

    We propose a novel method for fabricating high-aspect-ratio micro-/nano-structures by dielectrophoresis-electrocapillary force (DEP-ECF)-driven UV-imprinting. The force of DEP-ECF, acting on an air–liquid interface and an air–liquid–solid three-phase contact line, is generated by applying voltage between an electrically conductive mold and a substrate, and tends to pull the dielectric liquid (a UV-curable pre-polymer) into the mold micro-cavities. The existence of DEP-ECF is explained theoretically and demonstrated experimentally by the electrically induced reduction of the contact angle. Furthermore, DEP-ECF is proven to play a critical role in forcing the polymer to fill into the mold cavities by the real-time observation of the dynamic filling process. Using the DEP-ECF-driven UV-imprinting process, high-aspect-ratio polymer micro-/nano-structures (more than 10:1) are fabricated with high consistency. This patterning method can overcome the drawbacks of the mechanically induced mold deformation and position shift in conventional imprinting lithography and maximize the pattern uniformity which is usually poor in capillary force lithography

  12. Roll-to-roll UV imprint for bottom-up transistor fabrication

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Wijnen, M.; Tacken, R.; Meinders, E.R.; Werf, R. van der

    2011-01-01

    We propose a design to fabricate transistors on flexible substrates in a bottom-up fashion using R2R UV-imprint lithography. The design consists of a template composed of multilevel as well as gray level features, the later used to facilitate device interconnection. A hard mold is fabricated by LBR

  13. High throughput nanoimprint lithography for semiconductor memory applications

    Science.gov (United States)

    Ye, Zhengmao; Zhang, Wei; Khusnatdinov, Niyaz; Stachowiak, Tim; Irving, J. W.; Longsine, Whitney; Traub, Matthew; Fletcher, Brian; Liu, Weijun

    2017-03-01

    Imprint lithography is a promising technology for replication of nano-scale features. For semiconductor device applications, Canon deposits a low viscosity resist on a field by field basis using jetting technology. A patterned mask is lowered into the resist fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are two critical components to meeting throughput requirements for imprint lithography. Using a similar approach to what is already done for many deposition and etch processes, imprint stations can be clustered to enhance throughput. The FPA-1200NZ2C is a four station cluster system designed for high volume manufacturing. For a single station, throughput includes overhead, resist dispense, resist fill time (or spread time), exposure and separation. Resist exposure time and mask/wafer separation are well understood processing steps with typical durations on the order of 0.10 to 0.20 seconds. To achieve a total process throughput of 17 wafers per hour (wph) for a single station, it is necessary to complete the fluid fill step in 1.2 seconds. For a throughput of 20 wph, fill time must be reduced to only one 1.1 seconds. There are several parameters that can impact resist filling. Key parameters include resist drop volume (smaller is better), system controls (which address drop spreading after jetting), Design for Imprint or DFI (to accelerate drop spreading) and material engineering (to promote wetting between the resist and underlying adhesion layer). In addition, it is mandatory to maintain fast filling, even for edge field imprinting. In this paper, we address the improvements made in all of these parameters to first enable a 1.20 second filling process for a device like pattern and have demonstrated this capability for both full fields and edge fields. Non

  14. Alternative nano-structured thin-film materials used as durable thermal nanoimprint lithography templates

    Science.gov (United States)

    Bossard, M.; Boussey, J.; Le Drogoff, B.; Chaker, M.

    2016-02-01

    Nanoimprint templates made of diamond-like carbon (DLC) and amorphous silicon carbide (SiC) thin films and fluorine-doped associated materials, i.e. F-DLC and F-SiC were investigated in the context of thermal nanoimprint lithography (NIL) with respect to their release properties. Their performances in terms of durability and stability were evaluated and compared to those of conventional silicon or silica molds coated with antisticking molecules applied as a self-assembled monolayer. Plasma-enhanced chemical vapor deposition parameters were firstly tuned to optimize mechanical and structural properties of the DLC and SiC thin films. The impact of the amount of fluorine dopant on the deposited thin films properties was then analyzed. A comparative analysis of DLC, F-DLC as well as SiC and F-SiC molds was then carried out over multiple imprints, performed into poly (methyl methacrylate) (PMMA) thermo-plastic resist. The release properties of un-patterned films were evaluated by the measurement of demolding energies and surface energies, associated with a systematic analysis of the mold surface contamination. These analyses showed that the developed materials behave as intrinsically easy-demolding and contamination-free molds over series of up to 40 imprints. To our knowledge, it is the first time that such a large number of imprints has been considered within an exhaustive comparative study of materials for NIL. Finally, the developed materials went through standard e-beam lithography and plasma etching processes to obtain nanoscale-patterned templates. The replicas of those patterned molds, imprinted into PMMA, were shown to be of high fidelity and good stability after several imprints.

  15. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk, E-mail: gyjung@gist.ac.k, E-mail: jslee@gist.ac.k [Department of Materials Science and Engineering, Gwangju Institute of Science and Technology (GIST), 261 Cheomdan-gwagiro (Oryong-dong), Buk-gu Gwangju 500-712 (Korea, Republic of)

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  16. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  17. Boron nitride stamp for ultra-violet nanoimprinting lithography fabricated by focused ion beam lithography

    International Nuclear Information System (INIS)

    Altun, Ali Ozhan; Jeong, Jun-Ho; Rha, Jong-Joo; Kim, Ki-Don; Lee, Eung-Sug

    2007-01-01

    Cubic boron nitride (c-BN) is one of the hardest known materials (second after diamond). It has a high level of chemical resistance and high UV transmittance. In this study, a stamp for ultra-violet nanoimprint lithography (UV-NIL) was fabricated using a bi-layered BN film deposited on a quartz substrate. Deposition of the BN was done using RF magnetron sputtering. A hexagonal boron nitride (h-BN) layer was deposited for 30 min before c-BN was deposited for 30 min. The thickness of the film was measured as 160 nm. The phase of the c-BN layer was investigated using Fourier transform infrared (FTIR) spectrometry, and it was found that the c-BN layer has a 40% cubic phase. The deposited film was patterned using focused ion beam (FIB) lithography for use as a UV-NIL stamp. Line patterns were fabricated with the line width and line distance set at 150 and 150 nm, respectively. The patterning process was performed by applying different currents to observe the effect of the current value on the pattern profile. The fabricated patterns were investigated using AFM, and it was found that the pattern fabricated by applying a current value of 50 picoamperes (pA) has a better profile with a 65 nm line depth. The UV transmittance of the 160 nm thick film was measured to be 70-86%. The hardness and modulus of the BN was measured to be 12 and 150 GPa, respectively. The water contact angle of the stamp surface was measured at 75 0 . The stamp was applied to UV-NIL without coating with an anti-adhesion layer. Successful imprinting was proved via scanning electron microscope (SEM) images of the imprinted resin

  18. Generic nano-imprint process for fabrication of nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Pierret, Aurelie; Hocevar, Moira; Algra, Rienk E; Timmering, Eugene C; Verschuuren, Marc A; Immink, George W G; Verheijen, Marcel A; Bakkers, Erik P A M [Philips Research Laboratories Eindhoven, High Tech Campus 11, 5656 AE Eindhoven (Netherlands); Diedenhofen, Silke L [FOM Institute for Atomic and Molecular Physics c/o Philips Research Laboratories, High Tech Campus 4, 5656 AE Eindhoven (Netherlands); Vlieg, E, E-mail: e.p.a.m.bakkers@tue.nl [IMM, Solid State Chemistry, Radboud University Nijmegen, Heyendaalseweg 135, 6525 AJ Nijmegen (Netherlands)

    2010-02-10

    A generic process has been developed to grow nearly defect-free arrays of (heterostructured) InP and GaP nanowires. Soft nano-imprint lithography has been used to pattern gold particle arrays on full 2 inch substrates. After lift-off organic residues remain on the surface, which induce the growth of additional undesired nanowires. We show that cleaning of the samples before growth with piranha solution in combination with a thermal anneal at 550 deg. C for InP and 700 deg. C for GaP results in uniform nanowire arrays with 1% variation in nanowire length, and without undesired extra nanowires. Our chemical cleaning procedure is applicable to other lithographic techniques such as e-beam lithography, and therefore represents a generic process.

  19. Interfacial pattern changes of imprinted multilayered material in milli- and microscales

    Science.gov (United States)

    Yonekura, Kazuhiro; Tokumaru, Kazuki; Tsumori, Fujio

    2018-06-01

    Nanoimprint lithography (NIL) is a technique that transfers a mold pattern of nanometer order to the surface of a resist material by heating and pressing. NIL is an excellent technology in terms of high productivity, accuracy, and resolution. Recently, NIL has been applied to the processing of different multilayered materials, in which it is possible to process multiple materials simultaneously. In this processing of multilayered materials, it is possible to form an interfacial pattern between the upper layer and the lower layer simultaneously with patterning on the mold surface. This interface pattern can be controlled by the deformation characteristics, initial thickness, and so forth. In this research, we compared the interfacial pattern changes of imprinted multilayered materials in milli- and microscales. For multilayered imprint using multiple materials, it is important to know the flow of the resist and its dependence on the scale. If there is similarity in the relationship produced by the scale on the imprinted samples, a process design with a number of feedbacks could be realized. It also becomes easier to treat structures in the millimeter scale for the experiment. In this study, we employed micropowder imprint (µPI) for multilayered material imprint. A compound sheet of alumina powder and polymer binder was used for imprint. Two similar experiments in different scales, micro- and millimeter scales, were carried out. Results indicate that the interfacial patterns of micro- and millimeter-scale-imprinted samples are similar.

  20. A 3D-printed device for polymer nanoimprint lithography

    Science.gov (United States)

    Caño-García, Manuel; Geday, Morten A.; Gil-Valverde, Manuel; Megías Zarco, Antonio; Otón, José M.; Quintana, Xabier

    2018-02-01

    Nanoimprint lithography (NIL) is an imprinting technique which has experienced an increasing popularity due to its versatility in fabrication processes. Commercial NIL machines are readily available achieving high quality results; however, these machines involve a relatively high investment. Hence, small laboratories often choose to perform NIL copies in a more rudimentary and cheaper way. A new simple system is presented in this document. It is based on two devices which can be made in-house in plastic by using a 3D printer or in aluminum. Thus, the overall manufacturing complexity is vastly reduced. The presented system includes pressure control and potentially temperature control. Replicas have been made using a sawtooth grating master with a pitch around half micrometre. High quality patterns with low density of imperfections have been achieved in 2.25 cm2 surfaces. The material chosen for the negative intermediary mould is PDMS. Tests of the imprint have been performed using the commercial hybrid polymer Ormostamp®.

  1. High resolution beam profiling of X-ray free electron laser radiation by polymer imprint development.

    Science.gov (United States)

    Rösner, Benedikt; Döring, Florian; Ribič, Primož R; Gauthier, David; Principi, Emiliano; Masciovecchio, Claudio; Zangrando, Marco; Vila-Comamala, Joan; De Ninno, Giovanni; David, Christian

    2017-11-27

    High resolution metrology of beam profiles is presently a major challenge at X-ray free electron lasers. We demonstrate a characterization method based on beam imprints in poly (methyl methacrylate). By immersing the imprints formed at 47.8 eV into organic solvents, the regions exposed to the beam are removed similar to resist development in grayscale lithography. This allows for extending the sensitivity of the method by more than an order of magnitude compared to the established analysis of imprints created solely by ablation. Applying the Beer-Lambert law for absorption, the intensity distribution in a micron-sized focus can be reconstructed from one single shot with a high dynamic range, exceeding 10 3 . The procedure described here allows for beam characterization at free electron lasers revealing even faint beam tails, which are not accessible when using ablation imprint methods. We demonstrate the greatly extended dynamic range on developed imprints taken in focus of conventional Fresnel zone plates and spiral zone plates producing beams with a topological charge.

  2. Efficient methods of nanoimprint stamp cleaning based on imprint self-cleaning effect

    Energy Technology Data Exchange (ETDEWEB)

    Meng Fantao; Chu Jinkui [Key Laboratory for Micro/Nano Technology and System of Liaoning Province, Dalian University of Technology, 116024 Dalian (China); Luo Gang; Zhou Ye; Carlberg, Patrick; Heidari, Babak [Obducat AB, SE-20125 Malmoe (Sweden); Maximov, Ivan; Montelius, Lars; Xu, H Q [Division of Solid State Physics, Lund University, Box 118, S-22100 Lund (Sweden); Nilsson, Lars, E-mail: ivan.maximov@ftf.lth.se [Department of Food Technology, Engineering and Nutrition, Lund University, Box 117, S-22100 Lund (Sweden)

    2011-05-06

    Nanoimprint lithography (NIL) is a nonconventional lithographic technique that promises low-cost, high-throughput patterning of structures with sub-10 nm resolution. Contamination of nanoimprint stamps is one of the key obstacles to industrialize the NIL technology. Here, we report two efficient approaches for removal of typical contamination of particles and residual resist from stamps: thermal and ultraviolet (UV) imprinting cleaning-both based on the self-cleaning effect of imprinting process. The contaminated stamps were imprinted onto polymer substrates and after demolding, they were treated with an organic solvent. The images of the stamp before and after the cleaning processes show that the two cleaning approaches can effectively remove contamination from stamps without destroying the stamp structures. The contact angles of the stamp before and after the cleaning processes indicate that the cleaning methods do not significantly degrade the anti-sticking layer. The cleaning processes reported in this work could also be used for substrate cleaning.

  3. Fabrication of subwavelength metallic structures by using a metal direct imprinting process

    International Nuclear Information System (INIS)

    Hsieh, C W; Hsiung, H Y; Lu, Y T; Sung, C K; Wang, W H

    2007-01-01

    This work employs a metal direct imprinting process, which possesses the characteristics of simplicity, low-cost and high resolution, for the fabrication of subwavelength structures on a metallic thin film. Herein, the mould featuring periodic line structures is manufactured by using E-beam lithography and followed by a dry etching process; meanwhile, the thin film is fabricated by sputtering Al on a silicon substrate. AFM section analyses are employed to measure imprinting depths of the subwavelength metallic structures and it is found that the uniformity of the imprinting depths is affected by the designed patterns, the material property of thin film and mould deformation. The process temperature and the mould filling that influence the transferred quality are investigated. In addition, TEM is also utilized to examine defects in the subwavelength metallic structures. Finally, good quality subwavelength metallic structures are fabricated under a pressure of 300 MPa for 60 s at room temperature. In this study, we have demonstrated that subwavelength metallic structures with a minimum linewidth of less than 100 nm on the Al thin film are successfully constructed by the metal direct imprinting process

  4. Unified Modeling Language description of the object-oriented multi-scale adaptive finite element method for Step-and-Flash Imprint Lithography Simulations

    International Nuclear Information System (INIS)

    Paszynski, Maciej; Gurgul, Piotr; Sieniek, Marcin; Pardo, David

    2010-01-01

    In the first part of the paper we present the multi-scale simulation of the Step-and-Flash Imprint Lithography (SFIL), a modern patterning process. The simulation utilizes the hp adaptive Finite Element Method (hp-FEM) coupled with Molecular Statics (MS) model. Thus, we consider the multi-scale problem, with molecular statics applied in the areas of the mesh where the highest accuracy is required, and the continuous linear elasticity with thermal expansion coefficient applied in the remaining part of the domain. The degrees of freedom from macro-scale element's nodes located on the macro-scale side of the interface have been identified with particles from nano-scale elements located on the nano-scale side of the interface. In the second part of the paper we present Unified Modeling Language (UML) description of the resulting multi-scale application (hp-FEM coupled with MS). We investigated classical, procedural codes from the point of view of the object-oriented (O-O) programming paradigm. The discovered hierarchical structure of classes and algorithms makes the UML project as independent on the spatial dimension of the problem as possible. The O-O UML project was defined at an abstract level, independent on the programming language used.

  5. An assessment of the process capabilities of nanoimprint lithography

    Science.gov (United States)

    Balla, Tobias; Spearing, S. Mark; Monk, Andrew

    2008-09-01

    Nanoimprint lithography (NIL) is an emerging nanofabrication tool, able to replicate imprint patterns quickly and at high volumes. The present study was performed in order to define the capabilities of NIL, based on a study of published research and to identify the application areas where NIL has the greatest potential. The process attributes of different NIL process chains were analysed, and their process capabilities were compared to identify trends and process limitations. The attributes chosen include the line width, relief height, initial resist thickness, residual layer thickness, imprint area and line width tolerances. In each case well-defined limits can be identified, which are a direct result of the mechanisms involved in the NIL process. These quantitative results were compared with the assessments of individuals in academia and within the microfabrication industry. The results suggest NIL is most suited to producing photonic, microfluidic and patterned media applications, with photonic applications the closest to market. NIL needs to address overlay alignment issues for wider use, while an analysis is needed for each market, as to whether NIL adds value.

  6. Fabrication of three-dimensional MIS nano-capacitor based on nano-imprinted single crystal silicon nanowire arrays

    KAUST Repository

    Zhai, Yujia

    2012-11-26

    We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf

  7. Fabrication of three-dimensional MIS nano-capacitor based on nano-imprinted single crystal silicon nanowire arrays

    KAUST Repository

    Zhai, Yujia; Palard, Marylene; Mathew, Leo; Hussain, Muhammad Mustafa; Willson, Grant Grant; Tutuc, Emanuel; Banerjee, Sanjay Kumar

    2012-01-01

    We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf

  8. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  9. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  10. Cones fabricated by 3D nanoimprint lithography for highly sensitive surface enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Wu Wei; Hu Min; Ou Fungsuong; Li Zhiyong; Williams, R Stanley

    2010-01-01

    We demonstrated a cost-effective and deterministic method of patterning 3D cone arrays over a large area by using nanoimprint lithography (NIL). Cones with tip radius of less than 10 nm were successfully duplicated onto the UV-curable imprint resist materials from the silicon cone templates. Such cone structures were shown to be a versatile platform for developing reliable, highly sensitive surface enhanced Raman spectroscopy (SERS) substrates. In contrast to the silicon nanocones, the SERS substrates based on the Au coated cones made by the NIL offered significant improvement of the SERS signal. A further improvement of the SERS signal was observed when the polymer cones were imprinted onto a reflective metallic mirror surface. A sub-zeptomole detection sensitivity for a model molecule, trans-1,2-bis(4-pyridyl)-ethylene (BPE), on the Au coated NIL cone surfaces was achieved.

  11. Periodic nanostructures imprinted on high-temperature stable sol–gel films by ultraviolet-based nanoimprint lithography for photovoltaic and photonic applications

    Energy Technology Data Exchange (ETDEWEB)

    Back, Franziska [Schott AG, Research and Technology Development, Hattenbergstraße 10, 55122 Mainz (Germany); Fraunhofer-Institut für Silicatforschung ISC, Neunerplatz 2, 97082 Würzburg (Germany); Bockmeyer, Matthias; Rudigier-Voigt, Eveline [Schott AG, Research and Technology Development, Hattenbergstraße 10, 55122 Mainz (Germany); Löbmann, Peer [Fraunhofer-Institut für Silicatforschung ISC, Neunerplatz 2, 97082 Würzburg (Germany)

    2014-07-01

    Nanostructured sol–gel films with high-temperature stability are used in the area of electronics, photonics or biomimetic materials as light-trapping architectures in solar cells, displays, waveguides or as superhydrophobic surfaces with a lotus effect. In this work, high-temperature stable 2-μm nanostructured surfaces were prepared by ultraviolet-based nanoimprint lithography using an alkoxysilane binder incorporating modified silica nanoparticles. Material densification during thermal curing and microstructural evolution which are destined for a high structural fidelity of nanostructured films were investigated in relation to precursor chemistry, particle morphology and particle content of the imprint resist. The mechanism for densification and shrinkage of the films was clarified and correlated with the structural fidelity to explain the influence of the geometrical design on the optical properties. A high internal coherence of the microstructure of the nanostructured films results in a critical film thickness of > 5 μm. The structured glassy layers with high inorganic content show thermal stability up to 800 °C and have a high structural fidelity > 90% with an axial shrinkage of 16% and a horizontal shrinkage of 1%. This material allows the realization of highly effective light-trapping architectures for polycrystalline silicon thin-film solar cells on glass but also for the preparation of 2D photonic crystals for telecommunication wavelengths. - Highlights: • Fundamental research • Hybrid sol–gel material with high-temperature stability and contour accuracy • Ensuring of cost-efficient and industrially feasible processing • Application in photonic and photovoltaic.

  12. Fluid management in roll-to-roll nanoimprint lithography

    Science.gov (United States)

    Jain, A.; Bonnecaze, R. T.

    2013-06-01

    The key process parameters of UV roll-to-roll nanoimprint lithography are identified from an analysis of the fluid, curing, and peeling dynamics. The process includes merging of droplets of imprint material, curing of the imprint material from a viscous liquid to elastic solid resist, and pattern replication and detachment of the resist from template. The time and distances on the web or rigid substrate over which these processes occur are determined as function of the physical properties of the uncured liquid, the cured solid, and the roller configuration. The upper convected Maxwell equation is used to model the viscoelastic liquid and to calculate the force on the substrate and the torque on the roller. The available exposure time is found to be the rate limiting parameter and it is O(√Rho /uo), where R is the radius of the roller, ho is minimum gap between the roller and web, and uo is the velocity of the web. The residual layer thickness of the resist should be larger than the gap between the roller and the substrate to ensure complete feature filling and optimal pattern replication. For lower residual layer thickness, the droplets may not merge to form a continuous film for pattern transfer.

  13. Imprinting.

    Science.gov (United States)

    McCabe, Brian J

    2013-07-01

    Imprinting is a type of learning by which an animal restricts its social preferences to an object after exposure to that object. Filial imprinting occurs shortly after birth or hatching and sexual imprinting, around the onset of sexual maturity; both have sensitive periods. This review is concerned mainly with filial imprinting. Filial imprinting in the domestic chick is an effective experimental system for investigating mechanisms underlying learning and memory. Extensive evidence implicates a restricted part of the chick forebrain, the intermediate and medial mesopallium (IMM), as a memory store for visual imprinting. After imprinting to a visual stimulus, neuronal responsiveness in IMM is specifically biased toward the imprinting stimulus. Both this bias and the strength of imprinting measured behaviorally depend on uninterrupted sleep shortly after training. When learning-related changes in IMM are lateralized they occur predominantly or completely on the left side. Ablation experiments indicate that the left IMM is responsible for long-term storage of information about the imprinting stimulus; the right side is also a store but additionally is necessary for extra storage outside IMM, in a region necessary for flexible use of information acquired through imprinting. Auditory imprinting gives rise to biochemical, neuroanatomical, and electrophysiological changes in the medio-rostral nidopallium/mesopallium, anterior to IMM. Auditory imprinting has not been shown to produce learning-related changes in IMM. Imprinting may be facilitated by predispositions. Similar predispositions for faces and biological motion occur in domestic chicks and human infants. WIREs Cogn Sci 2013, 4:375-390. doi: 10.1002/wcs.1231 For further resources related to this article, please visit the WIREs website. Copyright © 2013 John Wiley & Sons, Ltd.

  14. New Results on Plasma Activated Bonding of Imprinted Polymer Features for Bio MEMS Applications

    International Nuclear Information System (INIS)

    Kettner, P; Pelzer, R L; Glinsner, T; Farrens, S; Lee, D

    2006-01-01

    Nanoimprint Lithography is a well-acknowledged low cost, high resolution, large area 3D patterning process for polymers. It includes the most promising methods: high pressure hot embossing (HE) and UV-Nanoimprint Lithography (UV-NIL). Curing of the imprinted structures is either done by cooling down below the glass transition temperature of the thermoplastic polymer in case of HE or by subsequent UV-light exposure and cross-linking in case of UV-NIL. Both techniques allow rapid prototyping for high volume production of fully patterned substrates for a wide range of materials. The advantages of using polymer substrates over common Micro-Electro-Mechanical Systems (MEMS) processing materials like glass, silicon or quartz are: bio-compatible surfaces, easy manufacturability, low cost for high volume production, suitable for use in micro- and nano-fabrication, low conductivity, wide range of optical properties just to name a few. We will present experimental results on HE processes with PMMA as well as UV-NIL imprints in selected UV-curable resists. In the second part of the work we will describe the bonding techniques for packaging of the micro or nano structures. Packaging of the imprinted features is a key technology for a wide variety of field of applications: μ-TAS, biochemistry, micro-mixers, micro-reactors, electrophoresis cells, life science, micro-optical and nano-optical applications (switches) nanofluidics, data storage, etc. for features down to sub-100 nm range. Most bonding techniques for polymer use adhesives as intermediate layers. We will demonstrate a promising technique for dense and very strong bonds using plasma activation of polymers and glass. This bonding technology allows for bonding at low temperatures well below the glass transition temperature of the polymers, which will ensure that the structures are not deformed

  15. The changing face of glucagon fibrillation: Structural polymorphism and conformational imprinting

    DEFF Research Database (Denmark)

    Pedersen, J.S.; Dikov, D.; Flink, J.L.

    2006-01-01

    is not the result of the global energy minimization, but rather kinetically controlled by solvent conditions and seed-imprinting. Fibrillar polymorphism, which is being reported for an increasing number of proteins, probably reflects that fibrils have not been under evolutionary constraints to retain a single...

  16. Resistless Fabrication of Nanoimprint Lithography (NIL Stamps Using Nano-Stencil Lithography

    Directory of Open Access Journals (Sweden)

    Juergen Brugger

    2013-10-01

    Full Text Available In order to keep up with the advances in nano-fabrication, alternative, cost-efficient lithography techniques need to be implemented. Two of the most promising are nanoimprint lithography (NIL and stencil lithography. We explore here the possibility of fabricating the stamp using stencil lithography, which has the potential for a cost reduction in some fabrication facilities. We show that the stamps reproduce the membrane aperture patterns within ±10 nm and we validate such stamps by using them to fabricate metallic nanowires down to 100 nm in size.

  17. Inclined nanoimprinting lithography for 3D nanopatterning

    International Nuclear Information System (INIS)

    Liu Zhan; Bucknall, David G; Allen, Mark G

    2011-01-01

    We report a non-conventional shear-force-driven nanofabrication approach, inclined nanoimprint lithography (INIL), for producing 3D nanostructures of varying heights on planar substrates in a single imprinting step. Such 3D nanostructures are fabricated by exploiting polymer anisotropic dewetting where the degree of anisotropy can be controlled by the magnitude of the inclination angle. The feature size is reduced from micron scale of the template to a resultant nanoscale pattern. The underlying INIL mechanism is investigated both experimentally and theoretically. The results indicate that the shear force generated at a non-zero inclination angle induced by the INIL apparatus essentially leads to asymmetry in the polymer flow direction ultimately resulting in 3D nanopatterns with different heights. INIL removes the requirements in conventional nanolithography of either utilizing 3D templates or using multiple lithographic steps. This technique enables various 3D nanoscale devices including angle-resolved photonic and plasmonic crystals to be fabricated.

  18. Order quantification of hexagonal periodic arrays fabricated by in situ solvent-assisted nanoimprint lithography of block copolymers

    International Nuclear Information System (INIS)

    Simão, Claudia; Khunsin, Worawut; Kehagias, Nikolaos; Sotomayor Torres, Clivia M; Salaun, Mathieu; Zelsmann, Marc; Morris, Michael A

    2014-01-01

    Directed self-assembly of block copolymer polystyrene-b-polyethylene oxide (PS-b-PEO) thin film was achieved by a one-pot methodology of solvent vapor assisted nanoimprint lithography (SAIL). Simultaneous solvent-anneal and imprinting of a PS-b-PEO thin film on silicon without surface pre-treatments yielded a 250 nm line grating decorated with 20 nm diameter nanodots array over a large surface area of up to 4′ wafer scale. The grazing-incidence small-angle x-ray scattering diffraction pattern showed the fidelity of the NIL stamp pattern replication and confirmed the periodicity of the BCP of 40 nm. The order of the hexagonally arranged nanodot lattice was quantified by SEM image analysis using the opposite partner method and compared to conventionally solvent-annealed block copolymer films. The imprint-based SAIL methodology thus demonstrated an improvement in ordering of the nanodot lattice of up to 50%, and allows significant time and cost reduction in the processing of these structures. (papers)

  19. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  20. Biomimetic fabrication and tunable wetting properties of three-dimensional hierarchical ZnO structures by combining soft lithography templated with lotus leaf and hydrothermal treatments

    OpenAIRE

    Dai, Shuxi; Zhang, Dianbo; Shi, Qing; Han, Xiao; Wang, Shujie; Du, Zuliang

    2013-01-01

    Three-dimensional hierarchical ZnO films with lotus-leaf-like micro/nano structures were successfully fabricated via a biomimetic route combining sol-gel technique, soft lithography and hydrothermal treatments. PDMS mold replicated from a fresh lotus leaf was used to imprint microscale pillar structures directly into a ZnO sol film. Hierarchical ZnO micro/nano structures were subsequently fabricated by a low-temperature hydrothermal growth of secondary ZnO nanorod arrays on the micro-structur...

  1. Biomimetic Silica Nanoparticles Prepared by a Combination of Solid-Phase Imprinting and Ostwald Ripening.

    Science.gov (United States)

    Piletska, Elena; Yawer, Heersh; Canfarotta, Francesco; Moczko, Ewa; Smolinska-Kempisty, Katarzyna; Piletsky, Stanislav S; Guerreiro, Antonio; Whitcombe, Michael J; Piletsky, Sergey A

    2017-09-14

    Herein we describe the preparation of molecularly imprinted silica nanoparticles by Ostwald ripening in the presence of molecular templates immobilised on glass beads (the solid-phase). To achieve this, a seed material (12 nm diameter silica nanoparticles) was incubated in phosphate buffer in the presence of the solid-phase. Phosphate ions act as a catalyst in the ripening process which is driven by differences in surface energy between particles of different size, leading to the preferential growth of larger particles. Material deposited in the vicinity of template molecules results in the formation of sol-gel molecular imprints after around 2 hours. Selective washing and elution allows the higher affinity nanoparticles to be isolated. Unlike other strategies commonly used to prepare imprinted silica nanoparticles this approach is extremely simple in nature and can be performed under physiological conditions, making it suitable for imprinting whole proteins and other biomacromolecules in their native conformations. We have demonstrated the generic nature of this method by preparing imprinted silica nanoparticles against targets of varying molecular mass (melamine, vancomycin and trypsin). Binding to the imprinted particles was demonstrated in an immunoassay (ELISA) format in buffer and complex media (milk or blood plasma) with sub-nM detection ability.

  2. Laser Interference Lithography

    NARCIS (Netherlands)

    van Wolferen, Hendricus A.G.M.; Abelmann, Leon; Hennessy, Theodore C.

    In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the

  3. Polymer microlens replication by Nanoimprint Lithography using proton beam fabricated Ni stamp

    International Nuclear Information System (INIS)

    Dutta, R.K.; Kan, J.A. van; Bettiol, A.A.; Watt, F.

    2007-01-01

    It is essential to have a simplified and a rapid method for fabricating micro/nano structures in different kinds of polymeric materials. Though it is possible to fabricate arrays of microlens directly by P beam writing (PBW), it is restricted to a few types of resist materials. Therefore we have fabricated a Ni electroplated metallic stamp comprising of arrays of inverse/negative features of microlenses. The metallic stamp of about 500 μm thick is made on a silicon wafer coated with 10 μm thick polymethylglutarimide (PMGI) resist and the desired structures are written by PBW followed by thermal reflow and Ni electroplating. An array of microlenses is imprinted on a polycarbonate (PC) substrate by the Nanoimprint Lithography (NIL) technique and the replicated microlenses featuring various numerical apertures, diameters and pitches are characterized

  4. Patterning lead zirconate titanate nanostructures at sub-200-nm resolution by soft confocal imprint lithography and nanotransfer molding

    NARCIS (Netherlands)

    Khan, Sajid; Göbel, Ole; Blank, David H.A.; ten Elshof, Johan E.

    2009-01-01

    Patterned sol-gel-derived lead zirconate titanate (PZT) thin films with lateral resolutions down to 100 nm on silicon are reported. Both an imprint and a transfer-molding method were employed. The formed patterns after annealing were characterized with scanning electron microscopy, atomic force

  5. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  6. Defect reduction of patterned media templates and disks

    Science.gov (United States)

    Luo, Kang; Ha, Steven; Fretwell, John; Ramos, Rick; Ye, Zhengmao; Schmid, Gerard; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-05-01

    Imprint lithography has been shown to be an effective technique for the replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require a demonstration of defect levels commensurate with cost-effective device production. This work summarizes the results of defect inspections of hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical based automated inspection tools. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity and scattered light. Defects that have been identified in this manner are further characterized according to the morphology. The imprint process was tested after optimizing both the disk cleaning and adhesion layers processes that precede imprinting. An extended imprint run was performed and both the defect types and trends are reported.

  7. Novel conformal organic antireflective coatings for advanced I-line lithography

    Science.gov (United States)

    Deshpande, Shreeram V.; Nowak, Kelly A.; Fowler, Shelly; Williams, Paul; Arjona, Mikko

    2001-08-01

    Flash memory chips are playing a critical role in semiconductor devices due to increased popularity of hand held electronic communication devices such as cell phones and PDAs (personal Digital Assistants). Flash memory offers two primary advantages in semiconductor devices. First, it offers flexibility of in-circuit programming capability to reduce the loss from programming errors and to significantly reduce commercialization time to market for new devices. Second, flash memory has a double density memory capability through stacked gate structures which increases the memory capability and thus saves significantly on chip real estate. However, due to stacked gate structures the requirements for manufacturing of flash memory devices are significantly different from traditional memory devices. Stacked gate structures also offer unique challenges to lithographic patterning materials such as Bottom Anti-Reflective Coating (BARC) compositions used to achieve CD control and to minimize standing wave effect in photolithography. To be applicable in flash memory manufacturing a BARC should form a conformal coating on high topography of stacked gate features as well as provide the normal anti-reflection properties for CD control. In this paper we report on a new highly conformal advanced i-line BARC for use in design and manufacture of flash memory devices. Conformal BARCs being significantly thinner in trenches than the planarizing BARCs offer the advantage of reducing BARC overetch and thus minimizing resist thickness loss.

  8. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  9. Atom lithography of Fe

    NARCIS (Netherlands)

    Sligte, te E.; Smeets, B.; van der Stam, K.M.R.; Herfst, R.W.; Straten, van der P.; Beijerinck, H.C.W.; Leeuwen, van K.A.H.

    2004-01-01

    Direct write atom lithography is a technique in which nearly resonant light is used to pattern an atom beam. Nanostructures are formed when the patterned beam falls onto a substrate. We have applied this lithography scheme to a ferromagnetic element, using a 372 nm laser light standing wave to

  10. Congenital imprinting disorders

    DEFF Research Database (Denmark)

    Eggermann, Thomas; Netchine, Irène; Temple, I Karen

    2015-01-01

    Imprinting disorders (IDs) are a group of eight rare but probably underdiagnosed congenital diseases affecting growth, development and metabolism. They are caused by similar molecular changes affecting regulation, dosage or the genomic sequence of imprinted genes. Each ID is characterised...... by specific clinical features, and, as each appeared to be associated with specific imprinting defects, they have been widely regarded as separate entities. However, they share clinical characteristics and can show overlapping molecular alterations. Nevertheless, IDs are usually studied separately despite...... EUCID.net (European network of congenital imprinting disorders) now aims to promote better clinical care and scientific investigation of imprinting disorders by establishing a concerted multidisciplinary alliance of clinicians, researchers, patients and families. By encompassing all IDs and establishing...

  11. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  12. Histamine-imprinted microspheres: Comparison between conventional and raft-mediated polymerization techniques

    International Nuclear Information System (INIS)

    Romano, Edwin F. Jr.; So, Regina C.; Holdsworth, Clovia I.

    2015-01-01

    Molecularly imprinted microspheres (MIM) were synthesized via conventional free radical polymerization (CTP) and RAFT-mediated controlled radical polymerization (CRP) method using histamine as the template molecule. Optimal polymerization conditions were achieved using 4%(w/w) monomer feed concentration with 80=90% EGDMA as crosslinker, and histamine: MAA ratio of 1:4 in acetonitrile at 60°C for 24 hours. The size of CTP-M90 and CTP-M80 imprinted microspheres are comparable with that of RAFT polymer CRP-M80 at 264.5 ±12 nm in the swollen (DLS-DMSO) and collapsed state (SEM). For the CTP method, the presence of the template allows for a bigger particle size compared to the non-imprinted counterpart (NIM). Further, controlled growth was observed for the CRP technique, where the size of the imprinted microsphere, CRP-M80, is comparable to CRP-N80. The binding studies of CTP and CRP microspheres toward histamine were studied at concentrations well below biding with buffer concentration of 25mM at pH7. Results showed that the binding isotherms were found to conform to the Freundlich model. Moreover, results revealed that the difference in binding capacity (N) between MIM and NIM imparted by the imprinting process is significantly higher in CTP-80 (26 μmol/g) than both CTP-90 and CRP-80 (9 μmol/g). Non-competitive and competitive binding assays with L-histidine, imidazole, and tryptamine using CTP-80 and CRP-80 were also carried out. MIMs were shown to exhibit binding preference towards the template. (author)

  13. The imprinted brain: how genes set the balance between autism and psychosis.

    Science.gov (United States)

    Badcock, Christopher

    2011-06-01

    The imprinted brain theory proposes that autism spectrum disorder (ASD) represents a paternal bias in the expression of imprinted genes. This is reflected in a preference for mechanistic cognition and in the corresponding mentalistic deficits symptomatic of ASD. Psychotic spectrum disorder (PSD) would correspondingly result from an imbalance in favor of maternal and/or X-chromosome gene expression. If differences in gene expression were reflected locally in the human brain as mouse models and other evidence suggests they are, ASD would represent not so much an 'extreme male brain' as an extreme paternal one, with PSD correspondingly representing an extreme maternal brain. To the extent that copy number variation resembles imprinting and aneuploidy in nullifying or multiplying the expression of particular genes, it has been found to conform to the diametric model of mental illness peculiar to the imprinted brain theory. The fact that nongenetic factors such as nutrition in pregnancy can mimic and/or interact with imprinted gene expression suggests that the theory might even be able to explain the notable effect of maternal starvation on the risk of PSD - not to mention the 'autism epidemic' of modern affluent societies. Finally, the theory suggests that normality represents balanced cognition, and that genius is an extraordinary extension of cognitive configuration in both mentalistic and mechanistic directions. Were it to be proven correct, the imprinted brain theory would represent one of the biggest single advances in our understanding of the mind and of mental illness that has ever taken place, and would revolutionize psychiatric diagnosis, prevention and treatment - not to mention our understanding of epigenomics.

  14. Imprinting disorders

    DEFF Research Database (Denmark)

    Eggermann, Thomas; Perez de Nanclares, Guiomar; Maher, Eamonn R

    2015-01-01

    Congenital imprinting disorders (IDs) are characterised by molecular changes affecting imprinted chromosomal regions and genes, i.e. genes that are expressed in a parent-of-origin specific manner. Recent years have seen a great expansion in the range of alterations in regulation, dosage or DNA...... sequence shown to disturb imprinted gene expression, and the correspondingly broad range of resultant clinical syndromes. At the same time, however, it has become clear that this diversity of IDs has common underlying principles, not only in shared molecular mechanisms, but also in interrelated clinical...

  15. Multilength Scale Patterning of Functional Layers by Roll-to-Roll Ultraviolet-Light-Assisted Nanoimprint Lithography.

    Science.gov (United States)

    Leitgeb, Markus; Nees, Dieter; Ruttloff, Stephan; Palfinger, Ursula; Götz, Johannes; Liska, Robert; Belegratis, Maria R; Stadlober, Barbara

    2016-05-24

    Top-down fabrication of nanostructures with high throughput is still a challenge. We demonstrate the fast (>10 m/min) and continuous fabrication of multilength scale structures by roll-to-roll UV-nanoimprint lithography on a 250 mm wide web. The large-area nanopatterning is enabled by a multicomponent UV-curable resist system (JRcure) with viscous, mechanical, and surface properties that are tunable over a wide range to either allow for usage as polymer stamp material or as imprint resist. The adjustable elasticity and surface chemistry of the resist system enable multistep self-replication of structured resist layers. Decisive for defect-free UV-nanoimprinting in roll-to-roll is the minimization of the surface energies of stamp and resist, and the stepwise reduction of the stiffness from one layer to the next is essential for optimizing the reproduction fidelity especially for nanoscale features. Accordingly, we demonstrate the continuous replication of 3D nanostructures and the high-throughput fabrication of multilength scale resist structures resulting in flexible polyethylenetherephtalate film rolls with superhydrophobic properties. Moreover, a water-soluble UV-imprint resist (JRlift) is introduced that enables residue-free nanoimprinting in roll-to-roll. Thereby we could demonstrate high-throughput fabrication of metallic patterns with only 200 nm line width.

  16. [Neurobiology of imprinting].

    Science.gov (United States)

    Ohki-Hamazaki, Hiroko

    2012-06-01

    Imprinting is an example of learning and memory acquisition in infancy. In the case of precocial birds, such as geese, ducks, and chickens, the baby birds learn the characteristics of the first moving object that they see within a critical period, and they imprint on it and follow it around. We analyzed the neural basis of this behavior in order to understand the neural mechanism of learning and memory in infancy. Information pertaining to a visual imprinting stimulus is recognized and processed in the visual Wulst, a region that corresponds to the mammalian visual cortex. It is then transmitted to the posterior region of the telencephalon, followed by the core region of the hyperpallium densocellulare (HDCo), periventricular region of the hyperpallium densocellulare (HDPe), and finally, the intermediate medial mesopallium (IMM), a region similar to the mammalian association cortex. Memory is stored in the IMM. After imprint training, plastic changes are observed in the visual Wulst as well as in the neurons of this circuit. HDCo cells, located at the center of this circuit, express N-methyl-D-aspartate (NMDA) receptors containing the NMDA receptor (NR) 2B subunit; the expression of this receptor increased after the imprint training. Inhibition of this receptor in the cells of the HDCo region leads to failure of imprinting and inactivation of this circuit. Thus, NMDA receptors bearing the NR2B subunit play a critical role in plastic changes in this circuit and in induction of imprinting.

  17. Flexible and disposable plasmonic refractive index sensor using nanoimprint lithography

    Science.gov (United States)

    Mohapatra, Saswat; Moirangthem, Rakesh S.

    2018-03-01

    Nanostructure based plasmonic sensors are highly demanding in various areas due to their label-free and real-time detection capability. In this work, we developed an inexpensive flexible plasmonic sensor using optical disc nanograting via soft UV-nanoimprint lithography (UV-NIL). The polydimethylsiloxane (PDMS) stamp was used to transfer the nanograting structure from digital versatile discs (DVDs) to flexible and transparent polyethylene terephthalate (PET) substrate. Further, the plasmonic sensing substrate was obtained after coating a gold thin film on the top of the imprinted sample. The surface plasmon resonance (SPR) modes excited on gold coated nanograting structure appeared as a dip in the reflectance spectra measured at normal incident of white light in ambient air medium. Electromagnetic simulation based on finite element method (FEM) was used to understand and analyze the excited SPR modes and it is a very close agreement with the experimental results. The bulk refractive index (RI) sensing was performed by the sensor chip using water-glycerol mixture with different concentrations. Experimentally, the bulk RI sensitivity was found to be 797+/-17 nm/RIU.

  18. Functional patterns obtained by nanoimprinting lithography and subsequent growth of polymer brushes

    International Nuclear Information System (INIS)

    Genua, A; AlduncIn, J A; Pomposo, J A; Grande, H; Kehagias, N; Reboud, V; Sotomayor, C; Mondragon, I; Mecerreyes, D

    2007-01-01

    In this work the growth of polymer brushes was combined with nanoimprint lithography (NIL) in order to obtain new functional nanopatterns. First, a functional thermoplastic methacrylic copolymer poly(methyl methacrylate-co-2-bromoisobutyryl-oxy-ethyl methacrylate) was synthesized. This copolymer was successfully patterned by NIL using a silicon stamp at 160 deg. C and 60 bar. Next, hydrophilic polymer brushes based on poly(3-sulfopropylmethacrylate) and hydrophobic polymer brushes based on a poly(fluorinated methacrylate) were grown on the imprinted surfaces. The surface properties of the patterned polymer were accordingly modified and, as a consequence, the water contact angle was modified from 80.3 deg. to 32.5 deg. in the case of the hydrophilic brushes and to 118.1 deg. in the case of the hydrophobic brushes. As an application we demonstrated the use of hydrophobic polymer brushes in order to modify the surface of polymeric stamps for NIL with self-demoulding properties

  19. Loss of insulin-like growth factor II imprinting is a hallmark associated with enhanced chemo/radiotherapy resistance in cancer stem cells.

    Science.gov (United States)

    Zhao, Xin; Liu, Xiaoliang; Wang, Guanjun; Wen, Xue; Zhang, Xiaoying; Hoffman, Andrew R; Li, Wei; Hu, Ji-Fan; Cui, Jiuwei

    2016-08-09

    Insulin-like growth factor II (IGF2) is maternally imprinted in most tissues, but the epigenetic regulation of the gene in cancer stem cells (CSCs) has not been defined. To study the epigenetic mechanisms underlying self-renewal, we isolated CSCs and non-CSCs from colon cancer (HT29, HRT18, HCT116), hepatoma (Hep3B), breast cancer (MCF7) and prostate cancer (ASPC) cell lines. In HT29 and HRT18 cells that show loss of IGF2 imprinting (LOI), IGF2 was biallelically expressed in the isolated CSCs. Surprisingly, we also found loss of IGF2 imprinting in CSCs derived from cell lines HCT116 and ASPC that overall demonstrate maintenance of IGF2 imprinting. Using chromatin conformation capture (3C), we found that intrachromosomal looping between the IGF2 promoters and the imprinting control region (ICR) was abrogated in CSCs, in parallel with loss of IGF2 imprinting in these CSCs. Loss of imprinting led to increased IGF2 expression in CSCs, which have a higher rate of colony formation and greater resistance to chemotherapy and radiotherapy in vitro. These studies demonstrate that IGF2 LOI is a common feature in CSCs, even when the stem cells are derived from a cell line in which the general population of cells maintain IGF2 imprinting. This finding suggests that aberrant IGF2 imprinting may be an intrinsic epigenetic control mechanism that enhances stemness, self-renewal and chemo/radiotherapy resistance in cancer stem cells.

  20. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  1. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  2. Stamp design effect on 100 nm feature size for 8 inch NanoImprint lithography

    International Nuclear Information System (INIS)

    Landis, S; Chaix, N; Gourgon, C; Perret, C; Leveder, T

    2006-01-01

    Sub-100 nm resolution on a 200 mm silicon stamp has been hot embossed into commercial Sumitomo NEB 22 resist. A single pattern, exposed with electron beam lithography, has been considered to define the stamp and thus make it possible to point out the impact of stamp design on the printing. These results may be considered as a first attempt to define rules to solve the proximity printing effects (PPEs). Moreover, a large range of initial resist thickness, from 56 to 506 nm, has been spin coated to assess the effect of polymer flow properties for the stamp cavity filling and the printed defects. A detailed analysis of the printed resist in dense hole patterns showed that the application volume conservation is enough to calculate the residual layer thickness as the height of the printed resist feature. Good accordance has been obtained between the theoretical approach and experimental results. Moreover, the impact of the pattern symmetry breakdown on mould deformation is clearly shown in this paper in the printed areas as well as in the unprinted areas

  3. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  4. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  5. The evolution of imprinting: chromosomal mapping of orthologues of mammalian imprinted domains in monotreme and marsupial mammals

    Directory of Open Access Journals (Sweden)

    Dunham Ian

    2007-09-01

    Full Text Available Abstract Background The evolution of genomic imprinting, the parental-origin specific expression of genes, is the subject of much debate. There are several theories to account for how the mechanism evolved including the hypothesis that it was driven by the evolution of X-inactivation, or that it arose from an ancestrally imprinted chromosome. Results Here we demonstrate that mammalian orthologues of imprinted genes are dispersed amongst autosomes in both monotreme and marsupial karyotypes. Conclusion These data, along with the similar distribution seen in birds, suggest that imprinted genes were not located on an ancestrally imprinted chromosome or associated with a sex chromosome. Our results suggest imprinting evolution was a stepwise, adaptive process, with each gene/cluster independently becoming imprinted as the need arose.

  6. Direct nanoimprint lithography of Al2O3 using a chelated monomer-based precursor

    International Nuclear Information System (INIS)

    Ganesan, Ramakrishnan; Dinachali, Saman Safari; Lim, Su Hui; Saifullah, M S M; He, Chaobin; Low, Hong Yee; Chong, Wee Tit; Lim, Andrew H H; Yong, Jin Jie; Thian, Eng San

    2012-01-01

    Nanostructuring of Al 2 O 3 is predominantly achieved by the anodization of aluminum film and is limited to obtaining porous anodized aluminum oxide (AAO). One of the main restrictions in developing approaches for direct fabrication of various types of Al 2 O 3 patterns, such as lines, pillars, holes, etc, is the lack of a processable aluminum-containing resist. In this paper, we demonstrate a stable precursor prepared by reacting aluminum tri-sec-butoxide with 2-(methacryloyloxy)ethyl acetoacetate, a chelating monomer, which can be used for large area direct nanoimprint lithography of Al 2 O 3 . Chelation in the precursor makes it stable against hydrolysis whilst the presence of a reactive methacrylate group renders it polymerizable. The precursor was mixed with a cross-linker and their in situ thermal free-radical co-polymerization during nanoimprinting rigidly shaped the patterns, trapped the metal atoms, reduced the surface energy and strengthened the structures, thereby giving a ∼100% yield after demolding. The imprinted structures were heat-treated, leading to the loss of organics and their subsequent shrinkage. Amorphous Al 2 O 3 patterns with line-widths as small as 17 nm were obtained. Our process utilizes the advantages of sol–gel and methacrylate routes for imprinting and at the same time alleviates the disadvantages associated with both these methods. With these benefits, the chelating monomer route may be the harbinger of the universal scheme for direct nanoimprinting of metal oxides. (paper)

  7. Data sharing system for lithography APC

    Science.gov (United States)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  8. Epigenetic Mechanisms of Genomic Imprinting: Common Themes in the Regulation of Imprinted Regions in Mammals, Plants, and Insects

    Directory of Open Access Journals (Sweden)

    William A. MacDonald

    2012-01-01

    Full Text Available Genomic imprinting is a form of epigenetic inheritance whereby the regulation of a gene or chromosomal region is dependent on the sex of the transmitting parent. During gametogenesis, imprinted regions of DNA are differentially marked in accordance to the sex of the parent, resulting in parent-specific expression. While mice are the primary research model used to study genomic imprinting, imprinted regions have been described in a broad variety of organisms, including other mammals, plants, and insects. Each of these organisms employs multiple, interrelated, epigenetic mechanisms to maintain parent-specific expression. While imprinted genes and imprint control regions are often species and locus-specific, the same suites of epigenetic mechanisms are often used to achieve imprinted expression. This review examines some examples of the epigenetic mechanisms responsible for genomic imprinting in mammals, plants, and insects.

  9. Study of nanoimprint lithography (NIL) for HVM of memory devices

    Science.gov (United States)

    Kono, Takuya; Hatano, Masayuki; Tokue, Hiroshi; Kobayashi, Kei; Suzuki, Masato; Fukuhara, Kazuya; Asano, Masafumi; Nakasugi, Tetsuro; Choi, Eun Hyuk; Jung, Wooyung

    2017-03-01

    A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.

  10. Nano-imprint gold grating as refractive index sensor

    International Nuclear Information System (INIS)

    Kumari, Sudha; Mohapatra, Saswat; Moirangthem, Rakesh S.

    2016-01-01

    Large scale of fabrication of plasmonic nanostructures has been a challenging task due to time consuming process and requirement of expensive nanofabrication tools such as electron beam lithography system, focused ion beam system, and extreme UV photolithography system. Here, we present a cost-effective fabrication technique so called soft nanoimprinting to fabricate nanostructures on the larger sample area. In our fabrication process, a commercially available optical DVD disc was used as a template which was imprinted on a polymer glass substrate to prepare 1D polymer nano-grating. A homemade nanoimprinting setup was used in this fabrication process. Further, a label-free refractive index sensor was developed by utilizing the properties of surface plasmon resonance (SPR) of a gold coated 1D polymer nano-grating. Refractive index sensing was tested by exposing different solutions of glycerol-water mixture on the surface of gold nano-grating. The calculated bulk refractive index sensitivity was found to be 751nm/RIU. We believed that our proposed SPR sensor could be a promising candidate for developing low-cost refractive index sensor with high sensitivity on a large scale.

  11. Computational investigation and synthesis of a sol-gel imprinted material for sensing application of some biologically active molecules

    Energy Technology Data Exchange (ETDEWEB)

    Atta, Nada F., E-mail: Nada_fah1@yahoo.com [Department of Chemistry, Faculty of Science, University of Cairo, Post Code 12613, Giza (Egypt); Hamed, Maher M.; Abdel-Mageed, Ali M. [Department of Chemistry, Faculty of Science, University of Cairo, Post Code 12613, Giza (Egypt)

    2010-05-14

    A hybrid sol-gel material was molecularly imprinted with a group of neurotransmitters. Imprinted material is a sol-gel thin film that is spin coated on the surface of a glassy carbon electrode. Imprinted films were characterized electrochemically using cyclic voltammetry (CV) and the encapsulated molecules were extracted from the films and complementary molecular cavities are formed that enable their rebind. The films were tested in their corresponding template solutions for rebinding using square wave voltammetry (SWV). Computational approach for exploring the primary intermolecular forces between templates and hydrolyzed form of the precursor monomer, tetraethylorthosilicate (TEOS), were carried out using Hartree-Fock method (HF). Interaction energy values were computed for each adduct formed between a monomer and a template. Analysis of the optimized conformations of various adducts could explain the mode of interaction between the templates and the monomer units. We found that interaction via the amino group is the common mode among the studied compounds and the results are in good agreement with the electrochemical measurements.

  12. Manipulation of heat-diffusion channel in laser thermal lithography.

    Science.gov (United States)

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  13. Molecular scale modeling of polymer imprint nanolithography.

    Science.gov (United States)

    Chandross, Michael; Grest, Gary S

    2012-01-10

    We present the results of large-scale molecular dynamics simulations of two different nanolithographic processes, step-flash imprint lithography (SFIL), and hot embossing. We insert rigid stamps into an entangled bead-spring polymer melt above the glass transition temperature. After equilibration, the polymer is then hardened in one of two ways, depending on the specific process to be modeled. For SFIL, we cross-link the polymer chains by introducing bonds between neighboring beads. To model hot embossing, we instead cool the melt to below the glass transition temperature. We then study the ability of these methods to retain features by removing the stamps, both with a zero-stress removal process in which stamp atoms are instantaneously deleted from the system as well as a more physical process in which the stamp is pulled from the hardened polymer at fixed velocity. We find that it is necessary to coat the stamp with an antifriction coating to achieve clean removal of the stamp. We further find that a high density of cross-links is necessary for good feature retention in the SFIL process. The hot embossing process results in good feature retention at all length scales studied as long as coated, low surface energy stamps are used.

  14. Chiral recognition of proteins having L-histidine residues on the surface with lanthanide ion complex incorporated-molecularly imprinted fluorescent nanoparticles

    International Nuclear Information System (INIS)

    Uzun, Lokman; Uzek, Recep; Şenel, Serap; Say, Ridvan; Denizli, Adil

    2013-01-01

    In this study, lanthanide ion complex incorporated molecularly imprinted fluorescent nanoparticles were synthesized. A combination of three novel approaches was applied for the purpose. First, lanthanide ions [Terbium(III)] were complexed with N-methacryloyl-L-histidine (MAH), polymerizable derivative of L-histidine amino acid, in order to incorporate the complex directly into the polymeric backbone. At the second stage, L-histidine molecules imprinted nanoparticles were utilized instead of whole protein imprinting in order to avoid whole drawbacks such as fragility, complexity, denaturation tendency, and conformation dependency. At the third stage following the first two steps mentioned above, imprinted L-histidine was coordinated with cupric ions [Cu(II)] to conduct the study under mild conditions. Then, molecularly imprinted fluorescent nanoparticles synthesized were used for L-histidine adsorption from aqueous solution to optimize conditions for adsorption and fluorimetric detection. Finally, usability of nanoparticles was investigated for chiral biorecognition using stereoisomer, D-histidine, racemic mixture, D,L-histidine, proteins with surface L-histidine residue, lysozyme, cytochrome C, or without ribonuclease A. The results revealed that the proposed polymerization strategy could make significant contribution to the solution of chronic problems of fluorescent component introduction into polymers. Additionally, the fluorescent nanoparticles reported here could be used for selective separation and fluorescent monitoring purposes. Highlights: • Lanthanide ion complex incorporated molecularly imprinted fluorescent nanoparticles • Direct incorporation of the fluorescent complex into polymeric backbone. • Imprinting by assistance of cupric ion coordination into nanoparticles • Evaluation of the chiral biorecognition ability of nanoparticles • Simultaneous selective separation and fluorescent monitoring

  15. Chiral recognition of proteins having L-histidine residues on the surface with lanthanide ion complex incorporated-molecularly imprinted fluorescent nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Uzun, Lokman, E-mail: lokman@hacettepe.edu.tr [Hacettepe University, Department of Chemistry, 06381, Ankara (Turkey); Uzek, Recep; Şenel, Serap [Hacettepe University, Department of Chemistry, 06381, Ankara (Turkey); Say, Ridvan [Anadolu University, Department of Chemistry, 26470, Eskisehir (Turkey); Denizli, Adil [Hacettepe University, Department of Chemistry, 06381, Ankara (Turkey)

    2013-08-01

    In this study, lanthanide ion complex incorporated molecularly imprinted fluorescent nanoparticles were synthesized. A combination of three novel approaches was applied for the purpose. First, lanthanide ions [Terbium(III)] were complexed with N-methacryloyl-L-histidine (MAH), polymerizable derivative of L-histidine amino acid, in order to incorporate the complex directly into the polymeric backbone. At the second stage, L-histidine molecules imprinted nanoparticles were utilized instead of whole protein imprinting in order to avoid whole drawbacks such as fragility, complexity, denaturation tendency, and conformation dependency. At the third stage following the first two steps mentioned above, imprinted L-histidine was coordinated with cupric ions [Cu(II)] to conduct the study under mild conditions. Then, molecularly imprinted fluorescent nanoparticles synthesized were used for L-histidine adsorption from aqueous solution to optimize conditions for adsorption and fluorimetric detection. Finally, usability of nanoparticles was investigated for chiral biorecognition using stereoisomer, D-histidine, racemic mixture, D,L-histidine, proteins with surface L-histidine residue, lysozyme, cytochrome C, or without ribonuclease A. The results revealed that the proposed polymerization strategy could make significant contribution to the solution of chronic problems of fluorescent component introduction into polymers. Additionally, the fluorescent nanoparticles reported here could be used for selective separation and fluorescent monitoring purposes. Highlights: • Lanthanide ion complex incorporated molecularly imprinted fluorescent nanoparticles • Direct incorporation of the fluorescent complex into polymeric backbone. • Imprinting by assistance of cupric ion coordination into nanoparticles • Evaluation of the chiral biorecognition ability of nanoparticles • Simultaneous selective separation and fluorescent monitoring.

  16. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  17. Molecular Imprinting of Macromolecules for Sensor Applications.

    Science.gov (United States)

    Saylan, Yeşeren; Yilmaz, Fatma; Özgür, Erdoğan; Derazshamshir, Ali; Yavuz, Handan; Denizli, Adil

    2017-04-19

    Molecular recognition has an important role in numerous living systems. One of the most important molecular recognition methods is molecular imprinting, which allows host compounds to recognize and detect several molecules rapidly, sensitively and selectively. Compared to natural systems, molecular imprinting methods have some important features such as low cost, robustness, high recognition ability and long term durability which allows molecularly imprinted polymers to be used in various biotechnological applications, such as chromatography, drug delivery, nanotechnology, and sensor technology. Sensors are important tools because of their ability to figure out a potentially large number of analytical difficulties in various areas with different macromolecular targets. Proteins, enzymes, nucleic acids, antibodies, viruses and cells are defined as macromolecules that have wide range of functions are very important. Thus, macromolecules detection has gained great attention in concerning the improvement in most of the studies. The applications of macromolecule imprinted sensors will have a spacious exploration according to the low cost, high specificity and stability. In this review, macromolecules for molecularly imprinted sensor applications are structured according to the definition of molecular imprinting methods, developments in macromolecular imprinting methods, macromolecular imprinted sensors, and conclusions and future perspectives. This chapter follows the latter strategies and focuses on the applications of macromolecular imprinted sensors. This allows discussion on how sensor strategy is brought to solve the macromolecules imprinting.

  18. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  19. 21 CFR 206.10 - Code imprint required.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 4 2010-04-01 2010-04-01 false Code imprint required. 206.10 Section 206.10 Food...: GENERAL IMPRINTING OF SOLID ORAL DOSAGE FORM DRUG PRODUCTS FOR HUMAN USE § 206.10 Code imprint required... imprint that, in conjunction with the product's size, shape, and color, permits the unique identification...

  20. The Drosophila homolog of the mammalian imprint regulator, CTCF, maintains the maternal genomic imprint in Drosophila melanogaster

    Directory of Open Access Journals (Sweden)

    Rasheva Vanya

    2010-07-01

    Full Text Available Abstract Background CTCF is a versatile zinc finger DNA-binding protein that functions as a highly conserved epigenetic transcriptional regulator. CTCF is known to act as a chromosomal insulator, bind promoter regions, and facilitate long-range chromatin interactions. In mammals, CTCF is active in the regulatory regions of some genes that exhibit genomic imprinting, acting as insulator on only one parental allele to facilitate parent-specific expression. In Drosophila, CTCF acts as a chromatin insulator and is thought to be actively involved in the global organization of the genome. Results To determine whether CTCF regulates imprinting in Drosophila, we generated CTCF mutant alleles and assayed gene expression from the imprinted Dp(1;fLJ9 mini-X chromosome in the presence of reduced CTCF expression. We observed disruption of the maternal imprint when CTCF levels were reduced, but no effect was observed on the paternal imprint. The effect was restricted to maintenance of the imprint and was specific for the Dp(1;fLJ9 mini-X chromosome. Conclusions CTCF in Drosophila functions in maintaining parent-specific expression from an imprinted domain as it does in mammals. We propose that Drosophila CTCF maintains an insulator boundary on the maternal X chromosome, shielding genes from the imprint-induced silencing that occurs on the paternally inherited X chromosome. See commentary: http://www.biomedcentral.com/1741-7007/8/104

  1. Topological imprint for periodic orbits

    International Nuclear Information System (INIS)

    Martín, Jesús San; Moscoso, Ma José; Gómez, A González

    2012-01-01

    The more self-crossing points an orbit has the more complex it is. We introduce the topological imprint to characterize crossing points and focus on the period-doubling cascade. The period-doubling cascade topological imprint determines the topological imprint for orbits in chaotic bands. In addition, there is a closer link between this concept and the braids studied by Lettelier et al (2000 J. Phys. A: Math. Gen. 33 1809–25). (paper)

  2. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  3. Molecularly Imprinted Polymer Synthesis Using RAFT Polymerisation

    International Nuclear Information System (INIS)

    Cormack, P.A.G.; Faizatul Shimal Mehamod; Faizatul Shimal Mehamod

    2013-01-01

    In this paper, the synthesis and characterisation of caffeine-imprinted polymers are described. The polymers were prepared in monolithic form via both reversible addition-fragmentation chain-transfer (RAFT) polymerisation and conventional free radical polymerisation, using methacrylic acid and ethylene glycol dimethacrylate as the functional monomer and crosslinking agent, respectively. The potential benefits in applying RAFT polymerisation techniques towards the synthesis of molecularly imprinted polymers (MIPs) are explored and elucidated. The pore structures of the polymers produced were characterised by nitrogen sorption porosimetry and the molecular recognition properties of representative products were evaluated in high-performance liquid chromatography (HPLC) mode. Molecular imprinting effects were confirmed by analysing the relative retentions of analytes on imprinted and non-imprinted HPLC stationary phases. It was found that a caffeine-imprinted polymer synthesised by RAFT polymerisation was superior to a polymer prepared using a conventional synthetic approach; the imprinting factor and column efficiency were found to be higher for the former material. (author)

  4. Chiral recognition of proteins having L-histidine residues on the surface with lanthanide ion complex incorporated-molecularly imprinted fluorescent nanoparticles.

    Science.gov (United States)

    Uzun, Lokman; Uzek, Recep; Senel, Serap; Say, Ridvan; Denizli, Adil

    2013-08-01

    In this study, lanthanide ion complex incorporated molecularly imprinted fluorescent nanoparticles were synthesized. A combination of three novel approaches was applied for the purpose. First, lanthanide ions [Terbium(III)] were complexed with N-methacryloyl-L-histidine (MAH), polymerizable derivative of L-histidine amino acid, in order to incorporate the complex directly into the polymeric backbone. At the second stage, L-histidine molecules imprinted nanoparticles were utilized instead of whole protein imprinting in order to avoid whole drawbacks such as fragility, complexity, denaturation tendency, and conformation dependency. At the third stage following the first two steps mentioned above, imprinted L-histidine was coordinated with cupric ions [Cu(II)] to conduct the study under mild conditions. Then, molecularly imprinted fluorescent nanoparticles synthesized were used for L-histidine adsorption from aqueous solution to optimize conditions for adsorption and fluorimetric detection. Finally, usability of nanoparticles was investigated for chiral biorecognition using stereoisomer, D-histidine, racemic mixture, D,L-histidine, proteins with surface L-histidine residue, lysozyme, cytochrome C, or without ribonuclease A. The results revealed that the proposed polymerization strategy could make significant contribution to the solution of chronic problems of fluorescent component introduction into polymers. Additionally, the fluorescent nanoparticles reported here could be used for selective separation and fluorescent monitoring purposes. Copyright © 2013 Elsevier B.V. All rights reserved.

  5. Fabrication of nano-sized metal patterns on flexible polyethylene-terephthalate substrate using bi-layer nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Seon Yong; Jung, Ho Yong [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of); Jeong, Jun-Ho [Nano-Mechanical Systems Research Center, Korea Institute of Machinery and Materials, Yuseong-gu Daejeon, 305-343 (Korea, Republic of); Lee, Heon, E-mail: heonlee@korea.ac.k [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of)

    2009-05-29

    Polymer films are widely used as a substrate for displays and for solar cells since they are cheap, transparent and flexible, and their material properties are easy to design. Polyethylene-terephthalate (PET) is especially useful for various applications requiring transparency, flexibility and good thermal and chemical resistance. In this study, nano-sized metal patterns were fabricated on flexible PET film by using nanoimprint lithography (NIL). Water-soluble poly-vinyl alcohol (PVA) resin was used as a planarization and sacrificial layer for the lift-off process, as it does not damage the PET films and can easily be etched off by using oxygen plasma. NIL was used to fabricate the nano-sized patterns on the non-planar or flexible substrate. Finally, a nano-sized metal pattern was successfully formed by depositing the metal layer over the imprinted resist patterns and applying the lift-off process, which is economic and environmentally friendly, to the PET films.

  6. Imprinting alterations in sperm may not significantly influence ART outcomes and imprinting patterns in the cord blood of offspring.

    Science.gov (United States)

    Tang, Li; Liu, Zichao; Zhang, Ruopeng; Su, Cunmei; Yang, Wenjuan; Yao, Youlin; Zhao, Shuhua

    2017-01-01

    An increase in imprinting disorders in children conceived though assisted reproductive technologies (ARTs) has been the subject of several reports. The transmission of imprinting errors from the sperm of infertile fathers is believed to be a possible reason for the increased occurrence of these disorders. However, whether the imprinting alterations in sperm affect ART outcomes and the imprinting of offspring is unclear. In the current study, we analyzed the methylation of H19, SNRPN and KCNQ1OT1 by pyrosequencing sperm samples from 97 infertile patients and 31 proven fertile males as well as cord blood samples from 13 infantswho were conceived by infertile parents through intracytoplasmic sperm injection (ICSI) and 30 healthy newborns who were conceived naturally. After four cases were excluded owing to the lack of a sequencing signal, the infertile patients were subgrouped into normal (69 cases) and abnormal (24 cases) imprinting groups according to the reference range set by the control group. Between the groups, there were no significant differences in ART outcomes. Significantly different levels of methylation were detected in H19, but none of the imprinted genes were determined to be outside of the methylation reference range set by the values derived from the naturally conceived controls. Three CpG loci were found to be significantly hypomethylated in the maternally imprinted gene KCNQ1OT1 in two patients from the abnormal imprinting group, none of which were caused by sperm imprinting errors. In addition, the paternal H19 gene exhibited discrepant methylation patterns between the sperm controls and the cord blood controls. Our data suggest that increased imprinting errors in the sperm of infertile patients do not have an obvious influence on ART outcomes or the imprinting of offspring.

  7. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  8. Expanding Cancer Detection Using Molecular Imprinting for a Novel Point-of-Care Diagnostic Device

    Science.gov (United States)

    Yu, Yingjie; Rafailovich, Miriam; Wang, Yantian; Kang, Yeona; Zhang, Lingxi; Rigas, Basil; Division of Gastroenterology, School of Medicine Team

    2013-03-01

    We propose the use of a potentiometric biosensor that incorporates the efficient and specific molecular imprinting (MI) method with a self-assembled monolayer (SAM). We first tested the biosensor using carcinoembryonic antigen, CEA, a biomarker associated with pancreatic cancer. No change in detection efficiency was observed, indicating that the sensor is able to discriminate for the template analyte even in concentrated solution of similar substances. In addition, we use biosensor to discriminate normal fibrinogen and damaged fibrinogen, which is critical for the detection of bleeding disorder. Computer simulations of the protein structure were performed in order to estimate the changes in morphology and determine the sensitivity of the biosensor to conformational changes in the proteins. We found that even small changes in PH can generate rotation of the surface functional groups. Yet, the results show that only when the detection and imprinting conditions are similar, robust signals occurs. Hence we concluded that both morphology and surface chemistry play a role in the recognition.

  9. Regular cell design approach considering lithography-induced process variations

    OpenAIRE

    Gómez Fernández, Sergio

    2014-01-01

    The deployment delays for EUVL, forces IC design to continue using 193nm wavelength lithography with innovative and costly techniques in order to faithfully print sub-wavelength features and combat lithography induced process variations. The effect of the lithography gap in current and upcoming technologies is to cause severe distortions due to optical diffraction in the printed patterns and thus degrading manufacturing yield. Therefore, a paradigm shift in layout design is mandatory towards ...

  10. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    International Nuclear Information System (INIS)

    Tian, Yaolan; Isotalo, Tero J; Konttinen, Mikko P; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J

    2017-01-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned. (paper)

  11. Soluble Molecularly Imprinted Nanorods for Homogeneous Molecular Recognition

    Directory of Open Access Journals (Sweden)

    Rongning Liang

    2018-03-01

    Full Text Available Nowadays, it is still difficult for molecularly imprinted polymers (MIPs to achieve homogeneous recognition since they cannot be easily dissolved in organic or aqueous phase. To address this issue, soluble molecularly imprinted nanorods have been synthesized by using soluble polyaniline doped with a functionalized organic protonic acid as the polymer matrix. By employing 1-naphthoic acid as a model, the proposed imprinted nanorods exhibit an excellent solubility and good homogeneous recognition ability. The imprinting factor for the soluble imprinted nanoroads is 6.8. The equilibrium dissociation constant and the apparent maximum number of the proposed imprinted nanorods are 248.5 μM and 22.1 μmol/g, respectively. We believe that such imprinted nanorods may provide an appealing substitute for natural receptors in homogeneous recognition related fields.

  12. Soluble Molecularly Imprinted Nanorods for Homogeneous Molecular Recognition

    Science.gov (United States)

    Liang, Rongning; Wang, Tiantian; Zhang, Huan; Yao, Ruiqing; Qin, Wei

    2018-03-01

    Nowadays, it is still difficult for molecularly imprinted polymer (MIPs) to achieve homogeneous recognition since they cannot be easily dissolved in organic or aqueous phase. To address this issue, soluble molecularly imprinted nanorods have been synthesized by using soluble polyaniline doped with a functionalized organic protonic acid as the polymer matrix. By employing 1-naphthoic acid as a model, the proposed imprinted nanorods exhibit an excellent solubility and good homogeneous recognition ability. The imprinting factor for the soluble imprinted nanoroads is 6.8. The equilibrium dissociation constant and the apparent maximum number of the proposed imprinted nanorods are 248.5 μM and 22.1 μmol/g, respectively. We believe that such imprinted nanorods may provide an appealing substitute for natural receptors in homogeneous recognition related fields.

  13. Multifunctional guest-host particles engineered by reversal nanoimprint lithography

    Science.gov (United States)

    Ha, Uh-Myong; Kaban, Burhan; Tomita, Andreea; Krekić, Kristijan; Klintuch, Dieter; Pietschnig, Rudolf; Ehresmann, Arno; Holzinger, Dennis; Hillmer, Hartmut

    2018-03-01

    Particulate polymeric microfibers with incorporated europium(III)oxide (Eu2O3) nanoparticles were introduced as a magneto-photoluminescent multifunctional material fabricated via reversal nanoimprint lithography. To specifically address the volume properties of these guest-host particles, the guest, Eu2O3, was milled down to an average particle size of 350 nm in diameter and mixed with the host-polymer, AMONIL®, before in situ hardening in the imprint stamp. The variation of the fabrication process parameters, i.e. delay time, spin coating speed, as well as the concentration of Eu2O3 nanoparticles was proven to have a significant impact on both the structure quality and the stamp release of the microfibers with respect to the formation of a thinner residual layer. Structural characterization performed by SEM revealed optimum fabrication process parameters for a homogeneous spatial distribution of Eu2O3 nanoparticles within the microfibers while simultaneously avoiding the formation of undesired agglomerates. The magneto-photoluminescent properties of Eu2O3 nanoparticles, i.e. a red emission at 613 nm and a paramagnetic response, were found to be superimposed to the optic and the diamagnetic behaviors of AMONIL®. The results imply that guest-host interdependence of these properties can be excluded and that the suggested technique enables for specific tailoring of particulate multifunctional materials with focus on their volume properties.

  14. [Werkgartner's muzzle imprint mark--a literature study].

    Science.gov (United States)

    Geserick, Gunther; Vendura, Klaus; Wirth, Ingo

    2009-01-01

    Since Werkgartner described and correctly interpreted the muzzle imprint mark around the gunshot entrance wound in 1922, this finding has been generally accepted as a sign of a contact shot. In further studies, it could finally be clarified that the muzzle imprint mark is caused by the expansive power of the powder gases with pressure on and abrasion of the skin at the muzzle (weapon imprint). Its shape depends on the firearm, the ammunition and the anatomical conditions, but does not require a bullet. Examinations under a magnifying glass microscope and histological investigations can complete the macroscopic findings. Occasionally, the muzzle imprint mark requires a certain "drying period" in order to become clearly visible. In rare cases, muzzle imprint marks also form on textiles perforated by the projectile. Characteristically shaped muzzled imprint marks can provide clues to the type of the firearm and its position at the time of discharge.

  15. Recent Advances in Imprinting Disorders

    DEFF Research Database (Denmark)

    Soellner, L; Begemann, M; Mackay, D J G

    2017-01-01

    Imprinting disorders (ImpDis) are a group of currently 12 congenital diseases with common underlying (epi)genetic etiologies and overlapping clinical features affecting growth, development and metabolism. In the last years it has emerged that ImpDis are characterized by the same types of mutations...... and epimutations, i.e. uniparental disomies, copy number variations, epimutations, and point mutations. Each ImpDis is associated with a specific imprinted locus, but the same imprinted region can be involved in different ImpDis. Additionally, even the same aberrant methylation patterns are observed in different...

  16. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  17. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  18. Modeling Conformal Growth in Photonic Crystals and Comparing to Experiment

    Science.gov (United States)

    Brzezinski, Andrew; Chen, Ying-Chieh; Wiltzius, Pierre; Braun, Paul

    2008-03-01

    Conformal growth, e.g. atomic layer deposition (ALD), of materials such as silicon and TiO2 on three dimensional (3D) templates is important for making photonic crystals. However, reliable calculations of optical properties as a function of the conformal growth, such as the optical band structure, are hampered by difficultly in accurately assessing a deposited material's spatial distribution. A widely used approximation ignores ``pinch off'' of precursor gas and assumes complete template infilling. Another approximation results in non-uniform growth velocity by employing iso-intensity surfaces of the 3D interference pattern used to create the template. We have developed an accurate model of conformal growth in arbitrary 3D periodic structures, allowing for arbitrary surface orientation. Results are compared with the above approximations and with experimentally fabricated photonic crystals. We use an SU8 polymer template created by 4-beam interference lithography, onto which various amounts of TiO2 are grown by ALD. Characterization is performed by analysis of cross-sectional scanning electron micrographs and by solid angle resolved optical spectroscopy.

  19. Comparative anatomy of chromosomal domains with imprinted and non-imprinted allele-specific DNA methylation.

    Science.gov (United States)

    Paliwal, Anupam; Temkin, Alexis M; Kerkel, Kristi; Yale, Alexander; Yotova, Iveta; Drost, Natalia; Lax, Simon; Nhan-Chang, Chia-Ling; Powell, Charles; Borczuk, Alain; Aviv, Abraham; Wapner, Ronald; Chen, Xiaowei; Nagy, Peter L; Schork, Nicholas; Do, Catherine; Torkamani, Ali; Tycko, Benjamin

    2013-08-01

    Allele-specific DNA methylation (ASM) is well studied in imprinted domains, but this type of epigenetic asymmetry is actually found more commonly at non-imprinted loci, where the ASM is dictated not by parent-of-origin but instead by the local haplotype. We identified loci with strong ASM in human tissues from methylation-sensitive SNP array data. Two index regions (bisulfite PCR amplicons), one between the C3orf27 and RPN1 genes in chromosome band 3q21 and the other near the VTRNA2-1 vault RNA in band 5q31, proved to be new examples of imprinted DMRs (maternal alleles methylated) while a third, between STEAP3 and C2orf76 in chromosome band 2q14, showed non-imprinted haplotype-dependent ASM. Using long-read bisulfite sequencing (bis-seq) in 8 human tissues we found that in all 3 domains the ASM is restricted to single differentially methylated regions (DMRs), each less than 2kb. The ASM in the C3orf27-RPN1 intergenic region was placenta-specific and associated with allele-specific expression of a long non-coding RNA. Strikingly, the discrete DMRs in all 3 regions overlap with binding sites for the insulator protein CTCF, which we found selectively bound to the unmethylated allele of the STEAP3-C2orf76 DMR. Methylation mapping in two additional genes with non-imprinted haplotype-dependent ASM, ELK3 and CYP2A7, showed that the CYP2A7 DMR also overlaps a CTCF site. Thus, two features of imprinted domains, highly localized DMRs and allele-specific insulator occupancy by CTCF, can also be found in chromosomal domains with non-imprinted ASM. Arguing for biological importance, our analysis of published whole genome bis-seq data from hES cells revealed multiple genome-wide association study (GWAS) peaks near CTCF binding sites with ASM.

  20. Comparative anatomy of chromosomal domains with imprinted and non-imprinted allele-specific DNA methylation.

    Directory of Open Access Journals (Sweden)

    Anupam Paliwal

    2013-08-01

    Full Text Available Allele-specific DNA methylation (ASM is well studied in imprinted domains, but this type of epigenetic asymmetry is actually found more commonly at non-imprinted loci, where the ASM is dictated not by parent-of-origin but instead by the local haplotype. We identified loci with strong ASM in human tissues from methylation-sensitive SNP array data. Two index regions (bisulfite PCR amplicons, one between the C3orf27 and RPN1 genes in chromosome band 3q21 and the other near the VTRNA2-1 vault RNA in band 5q31, proved to be new examples of imprinted DMRs (maternal alleles methylated while a third, between STEAP3 and C2orf76 in chromosome band 2q14, showed non-imprinted haplotype-dependent ASM. Using long-read bisulfite sequencing (bis-seq in 8 human tissues we found that in all 3 domains the ASM is restricted to single differentially methylated regions (DMRs, each less than 2kb. The ASM in the C3orf27-RPN1 intergenic region was placenta-specific and associated with allele-specific expression of a long non-coding RNA. Strikingly, the discrete DMRs in all 3 regions overlap with binding sites for the insulator protein CTCF, which we found selectively bound to the unmethylated allele of the STEAP3-C2orf76 DMR. Methylation mapping in two additional genes with non-imprinted haplotype-dependent ASM, ELK3 and CYP2A7, showed that the CYP2A7 DMR also overlaps a CTCF site. Thus, two features of imprinted domains, highly localized DMRs and allele-specific insulator occupancy by CTCF, can also be found in chromosomal domains with non-imprinted ASM. Arguing for biological importance, our analysis of published whole genome bis-seq data from hES cells revealed multiple genome-wide association study (GWAS peaks near CTCF binding sites with ASM.

  1. Catalytic silica particles via template-directed molecular imprinting

    Energy Technology Data Exchange (ETDEWEB)

    Markowitz, M.A.; Kust, P.R.; Deng, G.; Schoen, P.E.; Dordick, J.S.; Clark, D.S.; Gaber, B.P.

    2000-02-22

    The surfaces of silica particle were molecularly imprinted with an {alpha}-chymotrypsin transition-state analogue (TSA) by utilizing the technique of template-directed synthesis of mineralized materials. The resulting catalytic particles hydrolyzed amides in an enantioselective manner. A mixture of a nonionic surfactant and the acylated chymotrysin TSA, with the TSA acting as the headgroup at the surfactant-water interface, was used to form a microemulsion for silica particle formation. Incorporation of amine-, dihydroimidazole-, and carboxylate-terminated trialkoxysilanes into the particles during imprinting resulted in enhancement of the rates of amide hydrolysis. Acylated imprint molecules formed more effective imprints in the presence of the functionalized silanes than nonacylated imprint molecules. Particles surface-imprinted with the chymotrypsin TSA were selective for the trypsin substrate, and particles surface-imprinted with the L-isomer of the enzyme TSA were enantioselective for the D-isomer of the substrate.

  2. Dopamine-imprinted monolithic column for capillary electrochromatography.

    Science.gov (United States)

    Aşır, Süleyman; Sarı, Duygu; Derazshamshir, Ali; Yılmaz, Fatma; Şarkaya, Koray; Denizli, Adil

    2017-11-01

    A dopamine-imprinted monolithic column was prepared and used in capillary electrochromatography as stationary phase for the first time. Dopamine was selectively separated from aqueous solution containing the competitor molecule norepinephrine, which is similar in size and shape to the template molecule. Morphology of the dopamine-imprinted column was observed by scanning electron microscopy. The influence of the organic solvent content of mobile phase, applied pressure and pH of the mobile phase on the recognition of dopamine by the imprinted monolithic column has been evaluated, and the imprinting effect in the dopamine-imprinted monolithic polymer was verified. Developed dopamine-imprinted monolithic column resulted in excellent separation of dopamine from structurally related competitor molecule, norepinephrine. Separation was achieved in a short period of 10 min, with the electrophoretic mobility of 5.81 × 10 -5  m 2 V -1 s -1 at pH 5.0 and 500 mbar pressure. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Molecularly Imprinted Nanomaterials for Sensor Applications

    Science.gov (United States)

    Irshad, Muhammad; Iqbal, Naseer; Mujahid, Adnan; Afzal, Adeel; Hussain, Tajamal; Sharif, Ahsan; Ahmad, Ejaz; Athar, Muhammad Makshoof

    2013-01-01

    Molecular imprinting is a well-established technology to mimic antibody-antigen interaction in a synthetic platform. Molecularly imprinted polymers and nanomaterials usually possess outstanding recognition capabilities. Imprinted nanostructured materials are characterized by their small sizes, large reactive surface area and, most importantly, with rapid and specific analysis of analytes due to the formation of template driven recognition cavities within the matrix. The excellent recognition and selectivity offered by this class of materials towards a target analyte have found applications in many areas, such as separation science, analysis of organic pollutants in water, environmental analysis of trace gases, chemical or biological sensors, biochemical assays, fabricating artificial receptors, nanotechnology, etc. We present here a concise overview and recent developments in nanostructured imprinted materials with respect to various sensor systems, e.g., electrochemical, optical and mass sensitive, etc. Finally, in light of recent studies, we conclude the article with future perspectives and foreseen applications of imprinted nanomaterials in chemical sensors. PMID:28348356

  4. 77 FR 25082 - Picture Permit Imprint Indicia

    Science.gov (United States)

    2012-04-27

    ... POSTAL SERVICE 39 CFR Part 111 Picture Permit Imprint Indicia AGENCY: Postal Service\\TM\\. ACTION... Service, Domestic Mail Manual (DMM[supreg]) 604.5 to add picture permit imprint indicia standards allowing...: The use of picture permit imprint indicia is designed to improve the effectiveness of a mailpiece by...

  5. Graphene nanoribbon superlattices fabricated via He ion lithography

    International Nuclear Information System (INIS)

    Archanjo, Braulio S.; Fragneaud, Benjamin; Gustavo Cançado, Luiz; Winston, Donald; Miao, Feng; Alberto Achete, Carlos; Medeiros-Ribeiro, Gilberto

    2014-01-01

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He + -beam lithography can texture graphene with less damage

  6. Graphene nanoribbon superlattices fabricated via He ion lithography

    Energy Technology Data Exchange (ETDEWEB)

    Archanjo, Braulio S., E-mail: bsarchanjo@inmetro.gov.br [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Fragneaud, Benjamin [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Juiz de Fora, Juiz de Fora, MG 36036-330 (Brazil); Gustavo Cançado, Luiz [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Winston, Donald [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); Miao, Feng [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); National Laboratory of Solid State Microstructures, School of Physics, National Center of Microstructures and Quantum Manipulation, Nanjing University, Nanjing 210093 (China); Alberto Achete, Carlos [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Engenharia Metalúrgica e de Materiais, Universidade Federal do Rio de janeiro, Rio de Janeiro RJ 21941-972 (Brazil); Medeiros-Ribeiro, Gilberto [Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States)

    2014-05-12

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He{sup +}-beam lithography can texture graphene with less damage.

  7. Neuronal plasticity and multisensory integration in filial imprinting.

    Science.gov (United States)

    Town, Stephen Michael; McCabe, Brian John

    2011-03-10

    Many organisms sample their environment through multiple sensory systems and the integration of multisensory information enhances learning. However, the mechanisms underlying multisensory memory formation and their similarity to unisensory mechanisms remain unclear. Filial imprinting is one example in which experience is multisensory, and the mechanisms of unisensory neuronal plasticity are well established. We investigated the storage of audiovisual information through experience by comparing the activity of neurons in the intermediate and medial mesopallium of imprinted and naïve domestic chicks (Gallus gallus domesticus) in response to an audiovisual imprinting stimulus and novel object and their auditory and visual components. We find that imprinting enhanced the mean response magnitude of neurons to unisensory but not multisensory stimuli. Furthermore, imprinting enhanced responses to incongruent audiovisual stimuli comprised of mismatched auditory and visual components. Our results suggest that the effects of imprinting on the unisensory and multisensory responsiveness of IMM neurons differ and that IMM neurons may function to detect unexpected deviations from the audiovisual imprinting stimulus.

  8. Neuronal Plasticity and Multisensory Integration in Filial Imprinting

    Science.gov (United States)

    Town, Stephen Michael; McCabe, Brian John

    2011-01-01

    Many organisms sample their environment through multiple sensory systems and the integration of multisensory information enhances learning. However, the mechanisms underlying multisensory memory formation and their similarity to unisensory mechanisms remain unclear. Filial imprinting is one example in which experience is multisensory, and the mechanisms of unisensory neuronal plasticity are well established. We investigated the storage of audiovisual information through experience by comparing the activity of neurons in the intermediate and medial mesopallium of imprinted and naïve domestic chicks (Gallus gallus domesticus) in response to an audiovisual imprinting stimulus and novel object and their auditory and visual components. We find that imprinting enhanced the mean response magnitude of neurons to unisensory but not multisensory stimuli. Furthermore, imprinting enhanced responses to incongruent audiovisual stimuli comprised of mismatched auditory and visual components. Our results suggest that the effects of imprinting on the unisensory and multisensory responsiveness of IMM neurons differ and that IMM neurons may function to detect unexpected deviations from the audiovisual imprinting stimulus. PMID:21423770

  9. Neural basis of imprinting behavior in chicks.

    Science.gov (United States)

    Nakamori, Tomoharu; Maekawa, Fumihiko; Sato, Katsushige; Tanaka, Kohichi; Ohki-Hamazaki, Hiroko

    2013-01-01

    Newly hatched chicks memorize the characteristics of the first moving object they encounter, and subsequently show a preference for it. This "imprinting" behavior is an example of infant learning and is elicited by visual and/or auditory cues. Visual information of imprinting stimuli in chicks is first processed in the visual Wulst (VW), a telencephalic area corresponding to the mammalian visual cortex, congregates in the core region of the hyperpallium densocellulare (HDCo) cells, and transmitted to the intermediate medial mesopallium (IMM), a region similar to the mammalian association cortex. The imprinting memory is stored in the IMM, and activities of IMM neurons are altered by imprinting. Imprinting also induces functional and structural plastic changes of neurons in the circuit that links the VW and the IMM. Of these neurons, the activity of the HDCo cells is strongly influenced by imprinting. Expression and modulation of NR2B subunit-containing N-methyl-D-aspartate (NMDA) receptors in the HDCo cells are crucial for plastic changes in this circuit as well as the process of visual imprinting. Thus, elucidation of cellular and molecular mechanisms underlying the plastic changes that occurred in the HDCo cells may provide useful knowledge about infant learning. © 2012 The Authors Development, Growth & Differentiation © 2012 Japanese Society of Developmental Biologists.

  10. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  11. Low cost ESR based X-ray beamline for lithography experimentation

    Energy Technology Data Exchange (ETDEWEB)

    Kovacs, S.; Doumas, A.; Truncale, M. (Grumman Corp., Bethpage, NY (United States). Space and Electronics Div.)

    1992-08-01

    Any application of the electron storage ring (ESR) based X-ray lithography technology requires an X-ray radiation transport system to transfer the synchrotron radiation into a spectrum defined by the lithography process requirements. Structure of this transport system (i.e. the beamline) depends on the nature of the application. In this paper a beamline conceptual design will be discussed. The beamline is intended for the developmment of X-ray lithography technology. (orig.).

  12. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  13. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  14. Workshop on compact storage ring technology: applications to lithography

    International Nuclear Information System (INIS)

    1986-01-01

    Project planning in the area of x-ray lithography is discussed. Three technologies that are emphasized are the light source, the lithographic technology, and masking technology. The needs of the semiconductor industry in the lithography area during the next decade are discussed, particularly as regards large scale production of high density dynamic random access memory devices. Storage ring parameters and an overall exposure tool for x-ray lithography are addressed. Competition in this area of technology from Germany and Japan is discussed briefly. The design of a storage ring is considered, including lattice design, magnets, and beam injection systems

  15. Endosperm imprinting: a child custody battle?

    Science.gov (United States)

    Becraft, Philip W

    2012-02-07

    Endosperm gene imprinting has long been speculated to control nutrient allocation to seeds. For the first time, an imprinted gene directly involved in this process has been identified. Copyright © 2012 Elsevier Ltd. All rights reserved.

  16. Molecularly Imprinted Polymers: Present and Future Prospective

    Directory of Open Access Journals (Sweden)

    Giuseppe Vasapollo

    2011-09-01

    Full Text Available Molecular Imprinting Technology (MIT is a technique to design artificial receptors with a predetermined selectivity and specificity for a given analyte, which can be used as ideal materials in various application fields. Molecularly Imprinted Polymers (MIPs, the polymeric matrices obtained using the imprinting technology, are robust molecular recognition elements able to mimic natural recognition entities, such as antibodies and biological receptors, useful to separate and analyze complicated samples such as biological fluids and environmental samples. The scope of this review is to provide a general overview on MIPs field discussing first general aspects in MIP preparation and then dealing with various application aspects. This review aims to outline the molecularly imprinted process and present a summary of principal application fields of molecularly imprinted polymers, focusing on chemical sensing, separation science, drug delivery and catalysis. Some significant aspects about preparation and application of the molecular imprinting polymers with examples taken from the recent literature will be discussed. Theoretical and experimental parameters for MIPs design in terms of the interaction between template and polymer functionalities will be considered and synthesis methods for the improvement of MIP recognition properties will also be presented.

  17. Molecularly Imprinted Membranes

    Science.gov (United States)

    Trotta, Francesco; Biasizzo, Miriam; Caldera, Fabrizio

    2012-01-01

    Although the roots of molecularly imprinted polymers lie in the beginning of 1930s in the past century, they have had an exponential growth only 40–50 years later by the works of Wulff and especially by Mosbach. More recently, it was also proved that molecular imprinted membranes (i.e., polymer thin films) that show recognition properties at molecular level of the template molecule are used in their formation. Different procedures and potential application in separation processes and catalysis are reported. The influences of different parameters on the discrimination abilities are also discussed. PMID:24958291

  18. Applications of Cold Cathode PIG Ion Source in Lithography

    International Nuclear Information System (INIS)

    Bassal, N.I.

    2012-01-01

    The cold cathode Penning ion source (PIG) of axial type could be modified to produce ion and electron beam with a considerable amount to use it in the lithography process. Lithography is a new applications of ion/electron beam at which one can use the ion/ or electron beam as a pencil to write and draw on a metal surface. The electron beam takes 1/3 the time needed for ion beam to make good picture. So that with the help of ion/or electron beam lithography one can mark tools, parts, instruments, and equipment with names, numbers, designs, trademark or brand name in few seconds. It is an easy process, quick and an inexpensive method. Firstly, operating characteristics of this ion source is studied. Lithography application of ion source with optimum conditions is done. Later, the hardness and the tensile strength is measured and each of them increases with increasing time

  19. Imprinted Polymers in Wastewater Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Eastman, Christopher; Goodrich, Scott; Gartner, Isabelle; Mueller, Anja

    2004-03-31

    In wastewater treatment, a method that specifically recognizes a variety of impurities in a flexible manner would be useful for treatment facilities with varying needs. Current purification techniques (i.e. bacteria, oxidation, reduction, precipitation and filtration) are nonspecific and difficult to control in complex mixtures. Heavy metal removal is particularly important in improving the efficiency of wastewater treatment, as they inhibit or even destroy the bacteria used for filtration. Imprinting polymerization is a technique that allows for the efficient removal of specific compounds and has been used in purification of enantiomers. It has potential to be applied in wastewater systems with the impurities acting as the template for the imprinting polymerization. The polymer with the bound impurities intact can then be removed via precipitation. After removal of the impurity the polymer can be reused. Data for the imprinting polymerization of polyacrylates and polyacrylamides for several metal complexes will be presented. Imprinting polymerization in combination with emulsion polymerization to improve the removal of hydrophobic contaminants will be described. Removal efficiencies will be presented and compared with conventional wastewater treatment methods.

  20. Causal imprinting in causal structure learning.

    Science.gov (United States)

    Taylor, Eric G; Ahn, Woo-Kyoung

    2012-11-01

    Suppose one observes a correlation between two events, B and C, and infers that B causes C. Later one discovers that event A explains away the correlation between B and C. Normatively, one should now dismiss or weaken the belief that B causes C. Nonetheless, participants in the current study who observed a positive contingency between B and C followed by evidence that B and C were independent given A, persisted in believing that B causes C. The authors term this difficulty in revising initially learned causal structures "causal imprinting." Throughout four experiments, causal imprinting was obtained using multiple dependent measures and control conditions. A Bayesian analysis showed that causal imprinting may be normative under some conditions, but causal imprinting also occurred in the current study when it was clearly non-normative. It is suggested that causal imprinting occurs due to the influence of prior knowledge on how reasoners interpret later evidence. Consistent with this view, when participants first viewed the evidence showing that B and C are independent given A, later evidence with only B and C did not lead to the belief that B causes C. Copyright © 2012 Elsevier Inc. All rights reserved.

  1. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  2. Potential roles for transposable elements in creating imprinted expression.

    Science.gov (United States)

    Anderson, Sarah N; Springer, Nathan M

    2018-04-01

    Changes in gene expression can have profound effects on phenotype. Nature has provided many complex patterns of gene regulation such as imprinting. Imprinted genes exhibit differences in the expression of the maternal and paternal alleles, even though they reside in the same nucleus with access to the same trans-acting factors. Significant attention has been focused on the potential reasons that imprinted expression could be beneficial and stabilized by selection. However, less attention has focused on understanding how imprinted expression might arise or decay. We discuss the evidence for frequent turnover of imprinted expression based on evolutionary analyses in plants and the potential role for transposable elements (TEs) in creating imprinted expression patterns. Copyright © 2018 Elsevier Ltd. All rights reserved.

  3. Imprinting disorders after assisted reproductive technologies

    DEFF Research Database (Denmark)

    Lidegaard, Øjvind; Pinborg, Anja; Andersen, Anders Nyboe

    2006-01-01

    To assess the evidence of an increased risk of imprinting diseases in children born after use of assisted reproductive technologies.......To assess the evidence of an increased risk of imprinting diseases in children born after use of assisted reproductive technologies....

  4. Protein assay structured on paper by using lithography

    Science.gov (United States)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  5. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  6. Fluorescense Anisotropy Studies of Molecularly Imprinted Polymer Sensors

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yin-Chu; Wang, Zheming; Yan, Mingdi; Prahl, Scott A.

    2005-08-03

    Molecularly imprinted polymers (MIPs) are used as recognition elements in biochemical sensors. In a fluorescence-based MIP sensor system, it is difficult to distinguish the analyte fluorescence from the background fluorescence of the polymer itself. We studied steady-state fluorescence anisotropy of anthracene imprinted in a polymer (polyurethane) matrix. Vertically polarized excitation light was incident on MIP films coated on silicon wafers; vertically and horizontally polarized emission was measured. We compared the fluorescence anisotropy of MIPs with imprinted molecules, MIPs with the imprinted molecules extracted, MIPs with rebound molecules, and nonimprinted control polymers (without binding cavities). It is shown that differences in fluorescence anisotropy between the polymers and imprinted fluorescent molecules may provide a means to discriminate the fluorescence of analyte from that of the background polymer.

  7. 32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography

    Science.gov (United States)

    Greenway, Robert T.; Jeong, Kwangok; Kahng, Andrew B.; Park, Chul-Hong; Petersen, John S.

    2008-10-01

    As optical lithography advances into the 45nm technology node and beyond, new manufacturing-aware design requirements have emerged. We address layout design for interference-assisted lithography (IAL), a double exposure method that combines maskless interference lithography (IL) and projection lithography (PL); cf. hybrid optical maskless lithography (HOMA) in [2] and [3]. Since IL can generate dense but regular pitch patterns, a key challenge to deployment of IAL is the conversion of existing designs to regular-linewidth, regular-pitch layouts. In this paper, we propose new 1-D regular pitch SRAM bitcell layouts which are amenable to IAL. We evaluate the feasibility of our bitcell designs via lithography simulations and circuit simulations, and confirm that the proposed bitcells can be successfully printed by IAL and that their electrical characteristics are comparable to those of existing bitcells.

  8. Imprinting can cause a maladaptive preference for infectious conspecifics.

    Science.gov (United States)

    Stephenson, Jessica F; Reynolds, Michael

    2016-04-01

    Recognizing and associating with specific individuals, such as conspecifics or kin, brings many benefits. One mechanism underlying such recognition is imprinting: the long-term memory of cues encountered during development. Typically, juveniles imprint on cues of nearby individuals and may later associate with phenotypes matching their 'recognition template'. However, phenotype matching could lead to maladaptive social decisions if, for instance, individuals imprint on the cues of conspecifics infected with directly transmitted diseases. To investigate the role of imprinting in the sensory ecology of disease transmission, we exposed juvenile guppies,Poecilia reticulata, to the cues of healthy conspecifics, or to those experiencing disease caused by the directly transmitted parasite Gyrodactylus turnbulli In a dichotomous choice test, adult 'disease-imprinted' guppies preferred to associate with the chemical cues of G. turnbulli-infected conspecifics, whereas 'healthy-imprinted' guppies preferred to associate with cues of uninfected conspecifics. These responses were only observed when stimulus fish were in late infection, suggesting imprinted fish responded to cues of disease, but not of infection alone. We discuss how maladaptive imprinting may promote disease transmission in natural populations of a social host. © 2016 The Author(s).

  9. Pattern imprinting in CMOS static RAMs from Co-60 irradiation

    International Nuclear Information System (INIS)

    Schott, J.T.; Zugich, M.H.

    1987-01-01

    Total dose irradiation of various CMOS SRAMs is shown to imprint the pattern stored in the memory during irradiation. This imprinted pattern is the preferred state of the memory at subsequent power-up. Imprinting can occur at dose levels significantly below the failure level of the devices and is consistent with the bias dependent radiation induced threshold shifts of the individual transistors of the memory cells. However, before total imprinting occurs, other unusual imprinting phenomena can occur, such as a reverse imprinting effect seen in SOS memories, which is probably related to the bias dependence of back-channel leakage

  10. Sexual imprinting: what strategies should we expect to see in nature?

    Science.gov (United States)

    Chaffee, Dalton W; Griffin, Hayes; Gilman, R Tucker

    2013-12-01

    Sexual imprinting occurs when juveniles learn mate preferences by observing the phenotypes of other members of their populations, and it is ubiquitous in nature. Imprinting strategies, that is which individuals and phenotypes are observed and how strong preferences become, vary among species. Imprinting can affect trait evolution and the probability of speciation, and different imprinting strategies are expected to have different effects. However, little is known about how and why different imprinting strategies evolve, or which strategies we should expect to see in nature. We used a mathematical model to study how the evolution of sexual imprinting depends on (1) imprinting costs and (2) the sex-specific fitness effects of the phenotype on which individuals imprint. We found that even small fixed costs prevent the evolution of sexual imprinting, but small relative costs do not. When imprinting does evolve, we identified the conditions under which females should evolve to imprint on their fathers, their mothers, or on other members of their populations. Our results provide testable hypotheses for empirical work and help to explain the conditions under which sexual imprinting might evolve to promote speciation. © 2013 The Author(s). Evolution © 2013 The Society for the Study of Evolution.

  11. Metal films with imprinted nanostructures by template stripping

    DEFF Research Database (Denmark)

    Eriksen, René Lynge; Pors, Anders; Dreier, Jes

    We present a novel template stripping procedure for fabricating metal films with imprinted nanostructures. The basic idea is to deposit a gold film onto a nano-structured substrate and subsequently strip the film from the substrate surface thereby revealing imprinted nanostructures in the film...... result is a thin gold film with imprinted nano-cavities....

  12. Pending templates imprinted polymers-hypothesis, synthesis, adsorption, and chromatographic properties.

    Science.gov (United States)

    Yang, Chun; Luan, Xinjie; Zhao, Meifeng; Liu, Guofeng; Wang, Jian; Qu, Qishu; Hu, Xiaoya

    2013-05-01

    This is the first time when protein-imprinted polymers are prepared with "pending templates." The polymers were synthesized in the presence of a real sample (chicken egg white), rather than any known commercial proteins. Compared with a simultaneously synthesized nonimprinted control polymer, the polymers show higher adsorption capacity for abundant components (as "pending templates") in the original sample. Chromatography experiments indicated that the columns made of the imprinted polymers could retain abundant species (imprinted) and separate them from those not imprinted. Thus, the sample could be split into dimidiate subfractions with reduced complexities. "Pending template imprinting" suggests a new way to investigate molecular imprinting, especially to dissect, simplify, and analyze complicated samples through a series of polymers just imprinted by the samples per se. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Synthesis of Plaster for moulers's elaboration of imprint denture

    International Nuclear Information System (INIS)

    Hamiane, M; Rabahi, N; Saidi, M; Salhi, M

    2012-01-01

    Our goal was the synthesis of plaster from local raw materials to be used in denture mouler's. The plaster type α and β was synthesis by hydrothermal and dry method from gypsum (CaSO4. 2H2O) of the west Algerian. After crushing and mineralogical analysis, gypsum has undergone through cooking in an oven at a temperature (T = 200 ° C), for a time t = 4 hours. The synthesis and characterization of the product has involved several ways investigated as diffraction RX, X-ray fluorescence, time taken, electron microscope (MEB), hardness, SSB, compressive and bending strength and Ph. A digester horizontal type Toni - technical laboratory was used for the synthesis of plasterα. The results are conformable with the standard and plaster synthesized can be a basic material in the manufacture of moulers imprint denture.

  14. Synthesis of Plaster for moulers's elaboration of imprint denture

    Science.gov (United States)

    Hamiane, M.; Rabahi, N.; Saidi, M.; Salhi, M.

    2012-02-01

    Our goal was the synthesis of plaster from local raw materials to be used in denture mouler's. The plaster type α and β was synthesis by hydrothermal and dry method from gypsum (CaSO4. 2H2O) of the west Algerian. After crushing and mineralogical analysis, gypsum has undergone through cooking in an oven at a temperature (T = 200 ° C), for a time t = 4 hours. The synthesis and characterization of the product has involved several ways investigated as diffraction RX, X-ray fluorescence, time taken, electron microscope (MEB), hardness, SSB, compressive and bending strength and Ph. A digester horizontal type Toni - technical laboratory was used for the synthesis of plasterα. The results are conformable with the standard and plaster synthesized can be a basic material in the manufacture of moulers imprint denture.

  15. Functional mapping imprinted quantitative trait loci underlying developmental characteristics

    Directory of Open Access Journals (Sweden)

    Li Gengxin

    2008-03-01

    Full Text Available Abstract Background Genomic imprinting, a phenomenon referring to nonequivalent expression of alleles depending on their parental origins, has been widely observed in nature. It has been shown recently that the epigenetic modification of an imprinted gene can be detected through a genetic mapping approach. Such an approach is developed based on traditional quantitative trait loci (QTL mapping focusing on single trait analysis. Recent studies have shown that most imprinted genes in mammals play an important role in controlling embryonic growth and post-natal development. For a developmental character such as growth, current approach is less efficient in dissecting the dynamic genetic effect of imprinted genes during individual ontology. Results Functional mapping has been emerging as a powerful framework for mapping quantitative trait loci underlying complex traits showing developmental characteristics. To understand the genetic architecture of dynamic imprinted traits, we propose a mapping strategy by integrating the functional mapping approach with genomic imprinting. We demonstrate the approach through mapping imprinted QTL controlling growth trajectories in an inbred F2 population. The statistical behavior of the approach is shown through simulation studies, in which the parameters can be estimated with reasonable precision under different simulation scenarios. The utility of the approach is illustrated through real data analysis in an F2 family derived from LG/J and SM/J mouse stains. Three maternally imprinted QTLs are identified as regulating the growth trajectory of mouse body weight. Conclusion The functional iQTL mapping approach developed here provides a quantitative and testable framework for assessing the interplay between imprinted genes and a developmental process, and will have important implications for elucidating the genetic architecture of imprinted traits.

  16. Synthesis of a Molecularly Imprinted Polymer for Dioxin

    Directory of Open Access Journals (Sweden)

    Magda Brattoli

    2006-08-01

    Full Text Available A molecularly imprinted polymer for recognising selectively 2,3,7,8-tetrachlorodibenzodioxin (TCDD was made by a new non-covalent method employing a“dummy” template. The proposed way represents a simplification of a synthetic schemeproposed by Lübke et al.[1] for covalent imprinting. Comparison of extraction yields of thenovel polymer, a non imprinted polymer and an imprinting polymer, prepared by theoriginal procedure demonstrates the binding capacity of the proposed polymer, which is inprinciple applicable to solid phase extraction (SPE of dioxin.

  17. Rational preparation of dibenzothiophene-imprinted polymers by surface imprinting technique combined with atom transfer radical polymerization

    International Nuclear Information System (INIS)

    Yang, Wenming; Liu, Lukuan; Zhou, Zhiping; Liu, Hong; Xie, Binze; Xu, Wanzhen

    2013-01-01

    A computational simulation method is introduced to simulate the dibenzothiophene-monomer pre-assembly system of molecular imprinted polymers. The interaction type and intensity between dibenzothiophene and monomer are discussed from the binding energy and spatial position distribution. The simulation and analysis results indicate that the amount of the function monomer is not the more the better in preparing molecular imprinted polymers. Based on the above results, a novel dibenzothiophene-imprinted polymers with the favorable specific adsorption effect was prepared by surface imprinting technique combined with atom transfer radical polymerization. This combined technologies are used for preparing a desulfurization adsorbent for the first time. Various measures were selected to characterize the structure and morphology of the prepared adsorbent. The characterization results show that the adsorbent has suitable features for further adsorption process. A series of static adsorption experiments were conducted to analyze its adsorption performance. The adsorption process follows Elovich model by the kinetic analysis and Sips equation by the isothermal analysis. The approach we described will provide another opportunity in the deep desulfurization field.

  18. Role of imprint/exfoliative cytology in ulcerated skin neoplasms.

    Science.gov (United States)

    Ramakrishnaiah, Vishnu Prasad Nelamangala; Babu, Ravindra; Pai, Dinker; Verma, Surendra Kumar

    2013-12-01

    Imprint cytology is a method of studying cells by taking an imprint from the cut surface of a wedge biopsy specimen or from the resected margins of a surgical specimen. It is rapid, simple and fairly accurate. Exfoliative cytology is an offshoot from the imprint cytology where in cells obtained from the surface of ulcers, either by scrape or brush, are analyzed for the presence of malignant cells. We undertook this study to see the role of imprint/exfoliative cytology in the diagnosis of ulcerated skin neoplasm and to check the adequacy of resected margins intra-operatively. This was a prospective investigative study conducted from September 2003 to July 2005. All patients presenting to surgical clinic with ulcerated skin and soft tissue tumours were included in the study. A wedge biopsy obtained from the ulcer and imprint smears were taken from the cut surface. Exfoliative cytology was analyzed from the surface smears. Wedge biopsy specimen was sent for histopathological (HPE) examination. The cytology and HPE were analyzed by a separate pathologist. Imprint cytology was also used to check the adequacy of resected margins in case of wide excision. This was compared with final HPE. Total of 107 patients was included in the present study and 474 imprint smears were done, with an average of 4.43 slides per lesion. Out of 59 wide excision samples, 132 imprint smears were prepared for assessing resected margins accounting for an average of 2.24 slides per each excised lesion. On combining imprint cytology with exfoliative cytology the overall sensitivity, specificity and positive predictive value were 90.38 %, 100 % and 90.38 % respectively. Only one out of 59 cases had a positive resected margin which was not picked by imprint cytology. Imprint cytology can be used for rapid and accurate diagnosis of various skin malignancies. It can also be used to check the adequacy of the resected margin intraoperatively.

  19. Molecularly Imprinted Polymer/Metal Organic Framework Based Chemical Sensors

    Directory of Open Access Journals (Sweden)

    Zhenzhong Guo

    2016-10-01

    Full Text Available The present review describes recent advances in the concept of molecular imprinting using metal organic frameworks (MOF for development of chemical sensors. Two main strategies regarding the fabrication, performance and applications of recent sensors based on molecularly imprinted polymers associated with MOF are presented: molecularly imprinted MOF films and molecularly imprinted core-shell nanoparticles using MOF as core. The associated transduction modes are also discussed. A brief conclusion and future expectations are described herein.

  20. GATM, the human ortholog of the mouse imprinted Gatm gene, escapes genomic imprinting in placenta

    Directory of Open Access Journals (Sweden)

    Toshinobu Miyamoto

    2005-03-01

    Full Text Available The GATM gene encodes L-arginine:glycine amidinotransferase, which catalyzes the conversion of L-arginine into guanidinoacetate, the rate-limiting step in the synthesis of creatine. Since, deficiencies in creatine synthesis and transport lead to certain forms of mental retardation in human, the human GATM gene appears to be involved in brain development. Recently it has been demonstrated that the mouse Gatm is expressed during development and is imprinted with maternal expression in the placenta and yolk sac, but not in embryonic tissues. We investigated the imprinting status of the human GATM by analyzing its expression in four human placentas. GATM was biallelically expressed, thus suggesting that this gene escapes genomic imprinting in placentas, differently from what has been reported in mouse extra-embryonic tissues.

  1. Fabrication of digital rainbow holograms and 3-D imaging using SEM based e-beam lithography.

    Science.gov (United States)

    Firsov, An; Firsov, A; Loechel, B; Erko, A; Svintsov, A; Zaitsev, S

    2014-11-17

    Here we present an approach for creating full-color digital rainbow holograms based on mixing three basic colors. Much like in a color TV with three luminescent points per single screen pixel, each color pixel of initial image is presented by three (R, G, B) distinct diffractive gratings in a hologram structure. Change of either duty cycle or area of the gratings are used to provide proper R, G, B intensities. Special algorithms allow one to design rather complicated 3D images (that might even be replacing each other with hologram rotation). The software developed ("RainBow") provides stability of colorization of rotated image by means of equalizing of angular blur from gratings responsible for R, G, B basic colors. The approach based on R, G, B color synthesis allows one to fabricate gray-tone rainbow hologram containing white color what is hardly possible in traditional dot-matrix technology. Budgetary electron beam lithography based on SEM column was used to fabricate practical examples of digital rainbow hologram. The results of fabrication of large rainbow holograms from design to imprinting are presented. Advantages of the EBL in comparison to traditional optical (dot-matrix) technology is considered.

  2. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun; Jeong, Hoon Eui; Suh, Kahp Y.

    2009-01-01

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold

  3. Foster parenting, human imprinting and conventional handling ...

    African Journals Online (AJOL)

    p2492989

    Foster parenting, human imprinting and conventional handling affects survival and early .... bird may subsequently direct its sexual attention to those humans on whom it was imprinted (Bubier et al., ..... The mind through chicks' eyes: memory,.

  4. Distinguishing epigenetic marks of developmental and imprinting regulation

    Directory of Open Access Journals (Sweden)

    McEwen Kirsten R

    2010-01-01

    Full Text Available Abstract Background The field of epigenetics is developing rapidly, however we are only beginning to comprehend the complexity of its influence on gene regulation. Using genomic imprinting as a model we examine epigenetic profiles associated with different forms of gene regulation. Imprinting refers to the expression of a gene from only one of the chromosome homologues in a parental-origin-specific manner. This is dependent on heritable germline epigenetic control at a cis-acting imprinting control region that influences local epigenetic states. Epigenetic modifications associated with imprinting regulation can be compared to those associated with the more canonical developmental regulation, important for processes such as differentiation and tissue specificity. Here we test the hypothesis that these two mechanisms are associated with different histone modification enrichment patterns. Results Using high-throughput data extraction with subsequent analysis, we have found that particular histone modifications are more likely to be associated with either imprinting repression or developmental repression of imprinted genes. H3K9me3 and H4K20me3 are together enriched at imprinted genes with differentially methylated promoters and do not show a correlation with developmental regulation. H3K27me3 and H3K4me3, however, are more often associated with developmental regulation. We find that imprinted genes are subject to developmental regulation through bivalency with H3K4me3 and H3K27me3 enrichment on the same allele. Furthermore, a specific tri-mark signature comprising H3K4me3, H3K9me3 and H4K20me3 has been identified at all imprinting control regions. Conclusion A large amount of data is produced from whole-genome expression and epigenetic profiling studies of cellular material. We have shown that such publicly available data can be mined and analysed in order to generate novel findings for categories of genes or regulatory elements. Comparing two

  5. Hard-tip, soft-spring lithography.

    Science.gov (United States)

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  6. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  7. Electron-beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. (UK)

  8. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee [Inha Univ, Incheon (Korea, Republic of)

    2016-08-15

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed.

  9. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    International Nuclear Information System (INIS)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee

    2016-01-01

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed

  10. Sub-15-nm patterning of asymmetric metal electrodes and devices by adhesion lithography

    KAUST Repository

    Beesley, David J.

    2014-05-27

    Coplanar electrodes formed from asymmetric metals separated on the nanometre length scale are essential elements of nanoscale photonic and electronic devices. Existing fabrication methods typically involve electron-beam lithography - a technique that enables high fidelity patterning but suffers from significant limitations in terms of low throughput, poor scalability to large areas and restrictive choice of substrate and electrode materials. Here, we describe a versatile method for the rapid fabrication of asymmetric nanogap electrodes that exploits the ability of selected self-assembled monolayers to attach conformally to a prepatterned metal layer and thereby weaken adhesion to a subsequently deposited metal film. The method may be carried out under ambient conditions using simple equipment and a minimum of processing steps, enabling the rapid fabrication of nanogap electrodes and optoelectronic devices with aspect ratios in excess of 100,000.2014 Macmillan Publishers Limited. All rights reserved.

  11. Sub-15-nm patterning of asymmetric metal electrodes and devices by adhesion lithography

    KAUST Repository

    Beesley, David J.; Semple, James; Jagadamma, Lethy Krishnan; Amassian, Aram; McLachlan, Martyn A.; Anthopoulos, Thomas D.; deMello, John C.

    2014-01-01

    Coplanar electrodes formed from asymmetric metals separated on the nanometre length scale are essential elements of nanoscale photonic and electronic devices. Existing fabrication methods typically involve electron-beam lithography - a technique that enables high fidelity patterning but suffers from significant limitations in terms of low throughput, poor scalability to large areas and restrictive choice of substrate and electrode materials. Here, we describe a versatile method for the rapid fabrication of asymmetric nanogap electrodes that exploits the ability of selected self-assembled monolayers to attach conformally to a prepatterned metal layer and thereby weaken adhesion to a subsequently deposited metal film. The method may be carried out under ambient conditions using simple equipment and a minimum of processing steps, enabling the rapid fabrication of nanogap electrodes and optoelectronic devices with aspect ratios in excess of 100,000.2014 Macmillan Publishers Limited. All rights reserved.

  12. Computer-aided design and synthesis of magnetic molecularly imprinted polymers with high selectivity for the removal of phenol from water.

    Science.gov (United States)

    Yang, Wenming; Liu, Lukuan; Ni, Xiaoni; Zhou, Wei; Huang, Weihong; Liu, Hong; Xu, Wanzhen

    2016-02-01

    A molecular simulation method was introduced to compute the phenol-monomer pre-assembled system of a molecularly imprinted polymer. The interaction type and intensity between phenol and monomer were evaluated by combining binding energy and charge transfer with complex conformation. The simulation results indicate that interaction energies are simultaneously affected by the type of monomer and the ratio between phenol and monomers. At the same time, we considered that by increasing the amount of functional monomer is not always better for preparing molecularly imprinter polymers. In this study, three kinds of novel magnetic phenol-imprinted polymers with favorable specific adsorption effects were prepared by the surface imprinting technique combined with atom transfer radical polymerization. Various measures were selected to characterize the structure and morphology to obtain the optimal polymer. The characterization results show that the optimal polymer has suitable features for further adsorption process. A series of static adsorption experiments were conducted to analyze its adsorption performance, which follows the Elovich model from the kinetic analysis and the Sips equation from the isothermal analysis. To further verify the reliability and accuracy of the simulation results, the effects of different monomers on the adsorption selectivity were also determined. They display higher selectivity towards phenol than 4-nitrophenol.The results from the simulation of the pre-assembled complexes are in reasonable agreement with those from the experiment. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Review: Biological imprinting: Some genetic considerations | Saad ...

    African Journals Online (AJOL)

    ... as for interpretation of possible mechanisms implicated in its occurrence. Keywords: Genetic imprinting; Mutations; Re-sense mutation; Epigenetic alterations; DNA methylation/demethylation; Parthenogenesis; Position-effect variegation; Post-fertilization genomic imprinting; microRNA; Chromatin modifications; Pyknons ...

  14. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  15. Current Progress of Nanomaterials in Molecularly Imprinted Electrochemical Sensing.

    Science.gov (United States)

    Zhong, Chunju; Yang, Bin; Jiang, Xinxin; Li, Jianping

    2018-01-02

    Nanomaterials have received much attention during the past decade because of their excellent optical, electronic, and catalytic properties. Nanomaterials possess high chemical reactivity, also high surface energy. Thus, provide a stable immobilization platform for biomolecules, while preserving their reactivity. Due to the conductive and catalytic properties, nanomaterials can also enhance the sensitivity of molecularly imprinted electrochemical sensors by amplifying the electrode surface, increasing the electron transfer, and catalyzing the electrochemical reactions. Molecularly imprinted polymers that contain specific molecular recognition sites can be designed for a particular target analyte. Incorporating nanomaterials into molecularly imprinted polymers is important because nanomaterials can improve the response signal, increase the sensitivity, and decrease the detection limit of the sensors. This study describes the classification of nanomaterials in molecularly imprinted polymers, their analytical properties, and their applications in the electrochemical sensors. The progress of the research on nanomaterials in molecularly imprinted polymers and the application of nanomaterials in molecularly imprinted polymers is also reviewed.

  16. Enhancement of the fluorescence intensity of DNA intercalators using nano-imprinted 2-dimensional photonic crystal

    International Nuclear Information System (INIS)

    Endo, Tatsuro; Ueda, China; Hisamoto, Hideaki; Kajita, Hiroshi; Okuda, Norimichi; Tanaka, Satoru

    2013-01-01

    We have fabricated polymer-based 2-dimensional photonic crystals that play a key role in enhancing the fluorescence of DNA intercalators. Highly ordered 2-dimensional photonic crystals possessing triangle-shaped and nm-sized hole arrays were fabricated on a 100 μm thick polymer film using nano-imprint lithography. Samples of double-stranded DNAs (sizes: 4361 and 48502 bp; concentration: 1 pM to 10 nM) were adsorbed on the surface of the 2-dimensional photonic crystal by electrostatic interactions and then treated with intercalators. It is found that the fluorescence intensity of the intercalator is enhanced by a factor of up to 10 compared to the enhancement in the absence of the 2-dimensional photonic crystal. Fluorescence intensity increases with increasing length and concentration of the DNAs. If the 2-dimensional photonic crystal is used as a Bragg reflection mirror, the enhancement of fluorescence intensity can be easily observed using a conventional spectrofluorometer. These results suggest that the printed photonic crystal offers a great potential for highly sensitive intercalator-based fluorescent detection of DNAs. (author)

  17. Preparation of polyhedral oligomeric silsesquioxane based imprinted monolith.

    Science.gov (United States)

    Li, Fang; Chen, Xiu-Xiu; Huang, Yan-Ping; Liu, Zhao-Sheng

    2015-12-18

    Polyhedral oligomeric silsesquioxane (POSS) was successfully applied, for the first time, to prepare imprinted monolithic column with high porosity and good permeability. The imprinted monolithic column was synthesized with a mixture of PSS-(1-Propylmethacrylate)-heptaisobutyl substituted (MA 0702), naproxon (template), 4-vinylpyridine, and ethylene glycol dimethacrylate, in ionic liquid 1-butyl-3-methylimidazolium tetrafluoroborate ([BMIM]BF4). The influence of synthesis parameters on the retention factor and imprinting effect, including the amount of MA 0702, the ratio of template to monomer, and the ratio of monomer to crosslinker, was investigated. The greatest imprinting factor on the imprinted monolithic column prepared with MA 0702 was 22, about 10 times higher than that prepared in absence of POSS. The comparisons between MIP monoliths synthesized with POSS and without POSS were made in terms of permeability, column efficiency, surface morphology and pore size distribution. In addition, thermodynamic and Van Deemter analysis were used to evaluate the POSS-based MIP monolith. Copyright © 2015 Elsevier B.V. All rights reserved.

  18. Electron beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. 5 figs

  19. Convergent and divergent evolution of genomic imprinting in the marsupial Monodelphis domestica

    Directory of Open Access Journals (Sweden)

    Das Radhika

    2012-08-01

    Full Text Available Abstract Background Genomic imprinting is an epigenetic phenomenon resulting in parent-of-origin specific monoallelic gene expression. It is postulated to have evolved in placental mammals to modulate intrauterine resource allocation to the offspring. In this study, we determined the imprint status of metatherian orthologues of eutherian imprinted genes. Results L3MBTL and HTR2A were shown to be imprinted in Monodelphis domestica (the gray short-tailed opossum. MEST expressed a monoallelic and a biallelic transcript, as in eutherians. In contrast, IMPACT, COPG2, and PLAGL1 were not imprinted in the opossum. Differentially methylated regions (DMRs involved in regulating imprinting in eutherians were not found at any of the new imprinted loci in the opossum. Interestingly, a novel DMR was identified in intron 11 of the imprinted IGF2R gene, but this was not conserved in eutherians. The promoter regions of the imprinted genes in the opossum were enriched for the activating histone modification H3 Lysine 4 dimethylation. Conclusions The phenomenon of genomic imprinting is conserved in Therians, but the marked difference in the number and location of imprinted genes and DMRs between metatherians and eutherians indicates that imprinting is not fully conserved between the two Therian infra-classes. The identification of a novel DMR at a non-conserved location as well as the first demonstration of histone modifications at imprinted loci in the opossum suggest that genomic imprinting may have evolved in a common ancestor of these two Therian infra-classes with subsequent divergence of regulatory mechanisms in the two lineages.

  20. Genome Imprinting

    Indian Academy of Sciences (India)

    the cell nucleus (mitochondrial and chloroplast genomes), and. (3) traits governed ... tively good embryonic development but very poor development of membranes and ... Human homologies for the type of situation described above are naturally ..... imprint; (b) New modifications of the paternal genome in germ cells of each ...

  1. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  2. Optimization algorithm that generates the lowest ΔEab values to a reference standard based on spectral measurements of solid inks in offset lithography

    DEFF Research Database (Denmark)

    Jensen, Søren Tapdrup

    2014-01-01

    ISO 12647-2 specifies CIELAB values for primary and secondary colors, but only tolerances for the primary solid colors. Press operators in lithography still favor density measurements for process control to assure quality and reproducibility during a production run. Since there is no direct...... relationship between density and CIELAB measurements, there is a gap between what the standard specifies and what the industry is actually doing. This research investigates the possibility of using the tolerances specified for the primary colors to achieve a better conformance of the secondary colors...

  3. Image-projection ion-beam lithography

    International Nuclear Information System (INIS)

    Miller, P.A.

    1989-01-01

    Image-projection ion-beam lithography is an attractive alternative for submicron patterning because it may provide high throughput; it uses demagnification to gain advantages in reticle fabrication, inspection, and lifetime; and it enjoys the precise deposition characteristics of ions which cause essentially no collateral damage. This lithographic option involves extracting low-mass ions (e.g., He + ) from a plasma source, transmitting the ions at low voltage through a stencil reticle, and then accelerating and focusing the ions electrostatically onto a resist-coated wafer. While the advantages of this technology have been demonstrated experimentally by the work of IMS (Austria), many difficulties still impede extension of the technology to the high-volume production of microelectronic devices. We report a computational study of a lithography system designed to address problem areas in field size, telecentricity, and chromatic and geometric aberration. We present a novel ion-column-design approach and conceptual ion-source and column designs which address these issues. We find that image-projection ion-beam technology should in principle meet high-volume-production requirements. The technical success of our present relatively compact-column design requires that a glow-discharge-based ion source (or equivalent cold source) be developed and that moderate further improvement in geometric aberration levels be obtained. Our system requires that image predistortion be employed during reticle fabrication to overcome distortion due to residual image nonlinearity and space-charge forces. This constitutes a software data preparation step, as do correcting for distortions in electron lithography columns and performing proximity-effect corrections. Areas needing further fundamental work are identified

  4. Highly uniform residual layers for arrays of 3D nanoimprinted cavities in Fabry-Pérot-filter-array-based nanospectrometers

    Science.gov (United States)

    Memon, Imran; Shen, Yannan; Khan, Abdullah; Woidt, Carsten; Hillmer, Hartmut

    2016-04-01

    Miniaturized optical spectrometers can be implemented by an array of Fabry-Pérot (FP) filters. FP filters are composed of two highly reflecting parallel mirrors and a resonance cavity. Each filter transmits a small spectral band (filter line) depending on its individual cavity height. The optical nanospectrometer, a miniaturized FP-based spectrometer, implements 3D NanoImprint technology for the fabrication of multiple FP filter cavities in a single process step. However, it is challenging to avoid the dependency of residual layer (RL) thickness on the shape of the printed patterns in NanoImprint. Since in a nanospectrometer the filter cavities vary in height between neighboring FP filters and, thus, the volume of each cavity varies causing that the RL varies slightly or noticeably between different filters. This is one of the few disadvantages of NanoImprint using soft templates such as substrate conformal imprint lithography which is used in this paper. The advantages of large area soft templates can be revealed substantially if the problem of laterally inhomogeneous RLs can be avoided or reduced considerably. In the case of the nanospectrometer, non-uniform RLs lead to random variations in the designed cavity heights resulting in the shift of desired filter lines. To achieve highly uniform RLs, we report a volume-equalized template design with the lateral distribution of 64 different cavity heights into several units with each unit comprising four cavity heights. The average volume of each unit is kept constant to obtain uniform filling of imprint material per unit area. The imprint results, based on the volume-equalized template, demonstrate highly uniform RLs of 110 nm thickness.

  5. Sb(III)-Imprinted Organic-Inorganic Hybrid Sorbent Prepared by Hydrothermal-Assisted Surface Imprinting Technique for Selective Adsorption of Sb(III)

    Science.gov (United States)

    Zhang, Dan; Zhao, Yue; Xu, Hong-Bo

    2018-03-01

    Sb(III)-imprinted organic-inorganic hybrid sorbent was prepared by hydrothermal-assisted surface imprinting technique and was characterized by Fourier transform infrared spectroscopy, scanning electron microscopy coupled to an energy dispersive spectrometer and N2 adsorption/desorption isotherms. Hydrothermal-assisted process can improve the selectivity of the Sb(III)-imprinted hybrid sorbent for Sb(III) due to stable control of temperature and pressure. The Sb(III)-imprinted hybrid sorbent IIS indicated higher selectivity for Sb(III), had high static adsorption capacity of 37.3 mg g-1 for Sb(III), displayed stable adsorption capacity in pH range from 4 to 8, reached an rapid adsorption equilibrium within 30 min. According to the correlation coefficient ( r 2 > 0.99), the experimental data fitted better the pseudo-second-order kinetic model and Langmuir equilibrium isotherm.

  6. Molecularly Imprinted Microrods via Mesophase Polymerization.

    Science.gov (United States)

    Parisi, Ortensia Ilaria; Scrivano, Luca; Candamano, Sebastiano; Ruffo, Mariarosa; Vattimo, Anna Francesca; Spanedda, Maria Vittoria; Puoci, Francesco

    2017-12-28

    The aim of the present research work was the synthesis of molecularly imprinted polymers (MIPs) with a rod-like geometry via "mesophase polymerization". The ternary lyotropic system consisting of sodium dodecyl sulfate (SDS), water, and decanol was chosen to prepare a hexagonal mesophase to direct the morphology of the synthesized imprinted polymers using theophylline, methacrylic acid, and ethylene glycol dimethacrylate as a drug model template, a functional monomer, and a crosslinker, respectively. The obtained molecularly imprinted microrods (MIMs) were assessed by performing binding experiments and in vitro release studies, and the obtained results highlighted good selective recognition abilities and sustained release properties. In conclusion, the adopted synthetic strategy involving a lyotropic mesophase system allows for the preparation of effective MIPs characterized by a rod-like morphology.

  7. Imprint cytology: A boon in tissue diagnosis

    Directory of Open Access Journals (Sweden)

    Charusheela Rajesh Gore

    2017-01-01

    Full Text Available Background: The technique of imprint cytology has provided great impetus to cytodiagnosis due to its simplicity, cost effectiveness, rapid results. It plays a significant role in the rapid diagnosis of the lesions. Objectives: To analyze the sensitivity and specificity of imprint cytology and thereby to evaluate its diagnostic utility. Materials and Methods: The prospective study was carried out in a tertiary care hospital. It included 105 cases. Both benign and malignant lesions from different organ systems were included in the study. Various techniques like touch imprints scrape cytology and squash preparations were used according to the nature of tissue sample. The cytodiagnosis was correlated with histopathological (HP diagnosis to evaluate the sensitivity and specificity of imprint cytology. Results: Maximum lesions were of central nervous system (25.7% followed by breast, head, and neck. Imprint cytology diagnosis had sensitivity of 95.5% with 100% specificity for detection of benign and malignant lesions. Overall accuracy of detecting type of lesion was 98.1%. Total discordance with HP diagnosis was found in 1.9% of cases. Conclusion: The use of smear technique in intraoperative diagnosis provides a rapid and efficient means of pathological assessment which in experienced hand, is capable of obtaining a high degree of accuracy. Its use is highly recommended routinely.

  8. Quantum-dots-encoded-microbeads based molecularly imprinted polymer.

    Science.gov (United States)

    Liu, Yixi; Liu, Le; He, Yonghong; He, Qinghua; Ma, Hui

    2016-03-15

    Quantum dots encoded microbeads have various advantages such as large surface area, superb optical properties and the ability of multiplexing. Molecularly imprinted polymer that can mimic the natural recognition entities has high affinity and selectivity for the specific analyte. Here, the concept of utilizing the quantum dots encoded microbeads as the supporting material and the polydopamine as the functional monomer to form the core-shell molecular imprinted polymer was proposed for the first time. The resulted imprinted polymer can provide various merits: polymerization can complete in aqueous environment; fabrication procedure is facile and universal; the obvious economic advantage; the thickness of the imprinting layer is highly controllable; polydopamine coating can improve the biocompatibility of the quantum dot encoded microbeads. The rabbit IgG binding and flow cytometer experiment result showed the distinct advantages of this strategy: cost-saving, facile and fast preparation procedure. Most importantly, the ability for the multichannel detection, which makes the imprinted polydopamine modified encoded-beads very attractive in protein pre-concentration, recognition, separation and biosensing. Copyright © 2015 Elsevier B.V. All rights reserved.

  9. Molecular Imprinting Applications in Forensic Science.

    Science.gov (United States)

    Yılmaz, Erkut; Garipcan, Bora; Patra, Hirak K; Uzun, Lokman

    2017-03-28

    Producing molecular imprinting-based materials has received increasing attention due to recognition selectivity, stability, cast effectiveness, and ease of production in various forms for a wide range of applications. The molecular imprinting technique has a variety of applications in the areas of the food industry, environmental monitoring, and medicine for diverse purposes like sample pretreatment, sensing, and separation/purification. A versatile usage, stability and recognition capabilities also make them perfect candidates for use in forensic sciences. Forensic science is a demanding area and there is a growing interest in molecularly imprinted polymers (MIPs) in this field. In this review, recent molecular imprinting applications in the related areas of forensic sciences are discussed while considering the literature of last two decades. Not only direct forensic applications but also studies of possible forensic value were taken into account like illicit drugs, banned sport drugs, effective toxins and chemical warfare agents in a review of over 100 articles. The literature was classified according to targets, material shapes, production strategies, detection method, and instrumentation. We aimed to summarize the current applications of MIPs in forensic science and put forth a projection of their potential uses as promising alternatives for benchmark competitors.

  10. Role of imprint cytology in intra operative diagnosis of thyroid lesions.

    Science.gov (United States)

    Anila, K R; Krishna, G

    2014-07-01

    Intra-operative imprint cytology is an important diagnostic modality in the diagnosis of thyroid lesions. A correct intra-operative diagnosis helps eliminate the need for second surgery. To study diagnostic accuracy of imprint cytology and to compare the imprint cytology results with that of the corresponding paraffin section diagnosis in thyroidectomy cases. This is a prospective study of 84 patients who have undergone thyroidectomies over a period of one year at the Department of Surgery, Thiruvananthapuram, Kerala, India. The intraoperative imprint cytology smears were stained by Papanicolaou method. The imprint cytology interpretation was later compared with the paraffin section diagnosis. Of the 84 patients using haematoxylin and eosin stained histopathology sections as the gold standard, the diagnostic sensitivity of imprint cytology was 75% and specificity was 100%. Positive predictive value was 100%. Negative predictive value was 98.74%. Imprint cytology has high sensitivity and specificity in diagnosing lesions of the thyroid. The problems faced were in diagnosing follicular carcinomas and differentiating low grade lymphoma from lymphocytic thyroiditis. Imprint cytology is a simple, reliable diagnostic technique. It has high sensitivity and specificity in intra-operative diagnosis of lesions of thyroid. In spite of the advent of newer diagnostic modalities like frozen sections, imprint cytology still holds its unique position in the current perspective.

  11. The partial coherence modulation transfer function in testing lithography lens

    Science.gov (United States)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  12. Highly selective determination of methylmercury with methylmercury-imprinted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Liu Yongwen [Department of Chemistry, Shanxi Datong University, Datong 037009 (China)]. E-mail: dtlyw@263.net; Zai Yunhui [School of Chemistry and Chemical Engineering of Lanzhou University, Lanzhou 730000 (China); Chang Xijun [School of Chemistry and Chemical Engineering of Lanzhou University, Lanzhou 730000 (China); Guo Yong [Department of Chemistry, Shanxi Datong University, Datong 037009 (China); Meng Shuangming [Department of Chemistry, Shanxi Datong University, Datong 037009 (China); Feng Feng [Department of Chemistry, Shanxi Datong University, Datong 037009 (China)

    2006-08-11

    Methylmercury-imprinted and non-imprinted polymers were prepared by formation monomer complex of methylmercury with (4-ethenylphenyl)-4-formate-6-phenyl-2,2'-bipyridine and thermally polymerizing with divinylbenzene (crosslinker) in the presence of 2,2'-azobisisobutyronitrile as initiator and subsequently leached with the acidic thiourea solution (1.0 mol L{sup -1} of thiourea and 4.0 mol L{sup -1} of HCl). In the same way, non-imprinted copolymers were prepared without methylmercury chloride added. The separation and preconcentration characteristics of the polymers for methylmercury were investigated by batch and column procedures. The results demonstrated that the methylmercury-imprinted polymers had higher adsorption capacity (170 {mu}mol g{sup -1} of dry microbeads) and good selectivity for methylmercury compared to non-imprinted polymers. The distribution ratio (D) values of the methylmercury-imprinted polymers increased for methylmercury with respect to both D values of Hg(II), Cu(II), Zn(II), Cd(II) and non-imprinted polymers. The relatively selective factor ({alpha} {sub r}) values of CH{sub 3}Hg{sup +}/Hg(II), CH{sub 3}Hg{sup +}/Cu(II), CH{sub 3}Hg{sup +}/Zn(II), and CH{sub 3}Hg{sup +}/Cd(II) are 24.0, 46.7, 50.7, and 40.2, which are greater than 1. The methylmercury-imprinted polymers can be used at least twenty times with recoveries no less than 95%. Based on the packed columns with methylmercury-imprinted polymers, a highly selective solid-phase extraction (SPE) and preconcentration method for methylmercury was developed. The metal ion imprinted polymer solid-phase extraction (MIIP-SPE) preconcentration procedure showed a linear calibration curve within concentration range from 0.093 to 22 {mu}g L{sup -1}. The detection limit and quantification limit were 0.041 and 0.093 {mu}g L{sup -1} (3{sigma}) for cold vapor atomic absorption spectrometry (CVAAS). The relative standard deviation of the 10 replicate determinations was 3.5% for the

  13. Imprinted silicon-based nanophotonics

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Olsen, Brian Bilenberg; Frandsen, Lars Hagedorn

    2007-01-01

    We demonstrate and optically characterize silicon-on-insulator based nanophotonic devices fabricated by nanoimprint lithography. In our demonstration, we have realized ordinary and topology-optimized photonic crystal waveguide structures. The topology-optimized structures require lateral pattern ...

  14. Parental genome dosage imbalance deregulates imprinting in Arabidopsis.

    Directory of Open Access Journals (Sweden)

    Pauline E Jullien

    2010-03-01

    Full Text Available In mammals and in plants, parental genome dosage imbalance deregulates embryo growth and might be involved in reproductive isolation between emerging new species. Increased dosage of maternal genomes represses growth while an increased dosage of paternal genomes has the opposite effect. These observations led to the discovery of imprinted genes, which are expressed by a single parental allele. It was further proposed in the frame of the parental conflict theory that parental genome imbalances are directly mirrored by antagonistic regulations of imprinted genes encoding maternal growth inhibitors and paternal growth enhancers. However these hypotheses were never tested directly. Here, we investigated the effect of parental genome imbalance on the expression of Arabidopsis imprinted genes FERTILIZATION INDEPENDENT SEED2 (FIS2 and FLOWERING WAGENINGEN (FWA controlled by DNA methylation, and MEDEA (MEA and PHERES1 (PHE1 controlled by histone methylation. Genome dosage imbalance deregulated the expression of FIS2 and PHE1 in an antagonistic manner. In addition increased dosage of inactive alleles caused a loss of imprinting of FIS2 and MEA. Although FIS2 controls histone methylation, which represses MEA and PHE1 expression, the changes of PHE1 and MEA expression could not be fully accounted for by the corresponding fluctuations of FIS2 expression. Our results show that parental genome dosage imbalance deregulates imprinting using mechanisms, which are independent from known regulators of imprinting. The complexity of the network of regulations between expressed and silenced alleles of imprinted genes activated in response to parental dosage imbalance does not support simple models derived from the parental conflict hypothesis.

  15. Retrotransposon silencing by DNA methylation can drive mammalian genomic imprinting.

    Directory of Open Access Journals (Sweden)

    Shunsuke Suzuki

    2007-04-01

    Full Text Available Among mammals, only eutherians and marsupials are viviparous and have genomic imprinting that leads to parent-of-origin-specific differential gene expression. We used comparative analysis to investigate the origin of genomic imprinting in mammals. PEG10 (paternally expressed 10 is a retrotransposon-derived imprinted gene that has an essential role for the formation of the placenta of the mouse. Here, we show that an orthologue of PEG10 exists in another therian mammal, the marsupial tammar wallaby (Macropus eugenii, but not in a prototherian mammal, the egg-laying platypus (Ornithorhynchus anatinus, suggesting its close relationship to the origin of placentation in therian mammals. We have discovered a hitherto missing link of the imprinting mechanism between eutherians and marsupials because tammar PEG10 is the first example of a differentially methylated region (DMR associated with genomic imprinting in marsupials. Surprisingly, the marsupial DMR was strictly limited to the 5' region of PEG10, unlike the eutherian DMR, which covers the promoter regions of both PEG10 and the adjacent imprinted gene SGCE. These results not only demonstrate a common origin of the DMR-associated imprinting mechanism in therian mammals but provide the first demonstration that DMR-associated genomic imprinting in eutherians can originate from the repression of exogenous DNA sequences and/or retrotransposons by DNA methylation.

  16. Imprinted Expression of SNRPN in Human Preimplantation Embryos

    OpenAIRE

    Huntriss, John; Daniels, Robert; Bolton, Virginia; Monk, Marilyn

    1998-01-01

    Prader-Willi syndrome (PWS) and Angelman syndrome (AS) are two clinically distinct neurogenetic disorders arising from a loss of expression of imprinted genes within the human chromosome region 15q11-q13. Recent evidence suggests that the SNRPN gene, which is defective in PWS, plays a central role in the imprinting-center regulation of the PWS/AS region. To increase our understanding of the regulation of expression of this imprinted gene, we have developed single-cell-sensitive procedures for...

  17. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  18. Molecularly imprinted poly(4-amino-5-hydroxy-2,7-naphthalenedisulfonic acid) modified glassy carbon electrode as an electrochemical theophylline sensor

    International Nuclear Information System (INIS)

    Aswini, K.K.; Vinu Mohan, A.M.; Biju, V.M.

    2016-01-01

    Theophylline is an inexpensive drug employed in asthma and chronic obstructive pulmonary disorder medications and is toxic at higher concentration. The development of a molecularly imprinted polymer based theophylline electrochemical sensor on glassy carbon electrode by the electropolymerization of 4-amino-5-hydroxy-2,7-naphthalenedisulfonic acid is being discussed in this work. The MIP modification enhances the theophylline recognition ability and the electron transfer kinetics of the bare electrode. The parameters, controlling the performance of the imprinted polymer based sensor, like number of electropolymerization cycles, composition of the pre-polymerization mixture, pH and immersion time were investigated and optimized. The interaction energy and the most stable conformation of the template–monomer complex in the pre-polymerization mixture were determined computationally using ab initio calculations based on density functional theory. The amperometric measurements showed that the developed sensor has a method detection limit of 0.32 μM for the dynamic range of 0.4 to 17 μM, at optimized conditions. The transducer possesses appreciable selectivity in the presence of structurally similar interferents such as theobromine, caffeine and doxofylline. The developed sensor showed remarkable stability and reproducibility and was also successfully employed in theophylline detection from commercially available tablets. - Highlights: • Molecularly imprinted polymer based theophylline sensor was developed. • Imprinted poly(4-amino-5-hydroxy-2,7-naphthalenedisulfonic acid) was electrodeposited. • Most stable template-monomer complex was assigned by computational analysis. • Possessed remarkable selectivity in the presence of structurally similar interferents • Employed for theophylline detection from commercially available tablets

  19. Molecularly imprinted poly(4-amino-5-hydroxy-2,7-naphthalenedisulfonic acid) modified glassy carbon electrode as an electrochemical theophylline sensor

    Energy Technology Data Exchange (ETDEWEB)

    Aswini, K.K., E-mail: aswinikk@ymail.com; Vinu Mohan, A.M.; Biju, V.M., E-mail: vmbiju@ymail.com

    2016-08-01

    Theophylline is an inexpensive drug employed in asthma and chronic obstructive pulmonary disorder medications and is toxic at higher concentration. The development of a molecularly imprinted polymer based theophylline electrochemical sensor on glassy carbon electrode by the electropolymerization of 4-amino-5-hydroxy-2,7-naphthalenedisulfonic acid is being discussed in this work. The MIP modification enhances the theophylline recognition ability and the electron transfer kinetics of the bare electrode. The parameters, controlling the performance of the imprinted polymer based sensor, like number of electropolymerization cycles, composition of the pre-polymerization mixture, pH and immersion time were investigated and optimized. The interaction energy and the most stable conformation of the template–monomer complex in the pre-polymerization mixture were determined computationally using ab initio calculations based on density functional theory. The amperometric measurements showed that the developed sensor has a method detection limit of 0.32 μM for the dynamic range of 0.4 to 17 μM, at optimized conditions. The transducer possesses appreciable selectivity in the presence of structurally similar interferents such as theobromine, caffeine and doxofylline. The developed sensor showed remarkable stability and reproducibility and was also successfully employed in theophylline detection from commercially available tablets. - Highlights: • Molecularly imprinted polymer based theophylline sensor was developed. • Imprinted poly(4-amino-5-hydroxy-2,7-naphthalenedisulfonic acid) was electrodeposited. • Most stable template-monomer complex was assigned by computational analysis. • Possessed remarkable selectivity in the presence of structurally similar interferents • Employed for theophylline detection from commercially available tablets.

  20. Differential regulation of genomic imprinting by TET proteins in embryonic stem cells.

    Science.gov (United States)

    Liu, Lizhi; Mao, Shi-Qing; Ray, Chelsea; Zhang, Yu; Bell, Fong T; Ng, Sheau-Fang; Xu, Guo-Liang; Li, Xiajun

    2015-09-01

    TET proteins have been found to play an important role in active demethylation at CpG sites in mammals. There are some reports implicating their functions in removal of DNA methylation imprint at the imprinted regions in the germline. However, it is not well established whether TET proteins can also be involved in demethylation of DNA methylation imprint in embryonic stem (ES) cells. Here we report that loss of TET proteins caused a significant increase in DNA methylation at the Igf2-H19 imprinted region in ES cells. We also observed a variable increase in DNA methylation at the Peg1 imprinted region in the ES clones devoid of TET proteins, in particular in the differentiated ES cells. By contrast, we did not observe a significant increase of DNA methylation imprint at the Peg3, Snrpn and Dlk1-Dio3 imprinted regions in ES cells lacking TET proteins. Interestingly, loss of TET proteins did not result in a significant increase of DNA methylation imprint at the Igf2-H19 and Peg1 imprinted regions in the embryoid bodies (EB). Therefore, TET proteins seem to be differentially involved in maintaining DNA methylation imprint at a subset of imprinted regions in ES cells and EBs. Copyright © 2015 The Authors. Published by Elsevier B.V. All rights reserved.

  1. Designing Fingers in Simulation based on Imprints

    DEFF Research Database (Denmark)

    Wolniakowski, Adam; Krüger, Norbert; Werner, Andrzej

    process of doing so. This method takes root in the idea of using the imprint to produce the finger geometry. We furthermore provide a verification of our newly introduced imprinting method and a comparison to the previously introduced parametrized geometry method. This verification is done through a set...

  2. Designing Fingers in Simulation based on Imprints

    DEFF Research Database (Denmark)

    Wiuf Schwartz, Lukas Christoffer Malte; Wolniakowski, Adam; Werner, Andrzej

    2017-01-01

    process of doing so. This method takes root in the idea of using the imprint to produce the finger geometry. We furthermore provide a verification of our newly introduced imprinting method and a comparison to the previously introduced parametrized geometry method. This verification is done through a set...

  3. Design of molecular imprinted polymers compatible with aqueous environment.

    Science.gov (United States)

    Piletska, Elena V; Guerreiro, Antonio R; Romero-Guerra, Maria; Chianella, Iva; Turner, Anthony P F; Piletsky, Sergey A

    2008-01-21

    The main problem of poor water compatibility of molecularly imprinted polymers (MIPs) was addressed in examples describing design of synthetic receptors with high affinity for drugs of abuse. An extensive potentiometric titration of 10 popular functional monomers and corresponding imprinted and blank polymers was conducted in order to evaluate the subtleties of functional groups ionisation under aqueous conditions. It was found that polymers prepared using 2-trifluoromethacrylic acid (TFMAA) in combination with toluene as porogen possess superior properties which make them suitable for effective template recognition in water. The potential impact of phase separation during polymerisation on formation of high quality imprints has been discussed. Three drugs of abuse such as cocaine, deoxyephedrine and methadone were used as template models in polymer preparation for the practical validation of obtained results. The polymer testing showed that synthesized molecularly imprinted polymers have high affinity and selectivity for corresponding templates in aqueous environment, with imprinting factors of 2.6 for cocaine and 1.4 for methadone and deoxyephedrine. Corresponding blank polymers were unable to differentiate between analytes, suggesting that imprinting phenomenon was responsible for the recognition properties.

  4. Molecularly Imprinted Microrods via Mesophase Polymerization

    Directory of Open Access Journals (Sweden)

    Ortensia Ilaria Parisi

    2017-12-01

    Full Text Available The aim of the present research work was the synthesis of molecularly imprinted polymers (MIPs with a rod-like geometry via “mesophase polymerization”. The ternary lyotropic system consisting of sodium dodecyl sulfate (SDS, water, and decanol was chosen to prepare a hexagonal mesophase to direct the morphology of the synthesized imprinted polymers using theophylline, methacrylic acid, and ethylene glycol dimethacrylate as a drug model template, a functional monomer, and a crosslinker, respectively. The obtained molecularly imprinted microrods (MIMs were assessed by performing binding experiments and in vitro release studies, and the obtained results highlighted good selective recognition abilities and sustained release properties. In conclusion, the adopted synthetic strategy involving a lyotropic mesophase system allows for the preparation of effective MIPs characterized by a rod-like morphology.

  5. Imprint switch mutations at Rasgrf1 support conflict hypothesis of imprinting and define a growth control mechanism upstream of IGF1

    Science.gov (United States)

    Drake, Nadia M.; Park, Yoon Jung; Shirali, Aditya S.; Cleland, Thomas A.

    2010-01-01

    Rasgrf1 is imprinted and expressed preferentially from the paternal allele in neonatal mouse brain. At weaning, expression becomes biallelic. Using a mouse model, we assayed the effects of perturbing imprinted Rasgrf1 expression in mice with the following imprinted expression patterns: monoallelic paternal (wild type), monoallelic maternal (maternal only), biallelic (both alleles transcribed), and null (neither allele transcribed). All genotypes exhibit biallelic expression around weaning. Consequences of this transient imprinting perturbation are manifested as overall size differences that correspond to the amount of neonatal Rasgrf1 expressed and are persistent, extending into adulthood. Biallelic mice are the largest and overexpress Rasgrf1 relative to wild-type mice, null mice are the smallest and underexpress Rasgrf1 as neonates, and the two monoallelically expressing genotypes are intermediate and indistinguishable from one another, in both size and Rasgrf1 expression level. Importantly, these data support one of the key underlying assumptions of the “conflict hypothesis” that describes the evolution of genomic imprinting in mammals and supposes that equivalent amounts of imprinted gene expression produce equivalent phenotypes, regardless of which parental allele is transcribed. Concordant with the difference in overall body size, we identify differences in IGF-1 levels, both in serum protein and as liver transcript, and identify additional differential expression of components upstream of IGF-1 release in the GH/IGF-1 axis. These data suggest that imprinted Rasgrf1 expression affects GH/IGF-1 axis function, and that the consequences of Rasgrf1 inputs to this axis persist beyond the time period when expression is restricted via epigenetic mechanisms, suggesting that proper neonatal Rasgrf1 expression levels are critical for development. PMID:19513790

  6. Synchrotron radiation sources and condensers for projection x-ray lithography

    International Nuclear Information System (INIS)

    Murphy, J.B.; MacDowell, A.A.; White, D.L.; Wood, O.R. II

    1992-01-01

    The design requirements for a compact electron storage ring that could be used as a soft x-ray source for projection lithography are discussed. The design concepts of the x-ray optics that are required to collect and condition the radiation in divergence, uniformity and direction to properly illuminate the mask and the particular x-ray projection camera used are discussed. Preliminary designs for an entire soft x-ray projection lithography system using an electron storage ring as a soft X-ray source are presented. It is shown that by combining the existing technology of storage rings with large collection angle condensers, a powerful and reliable source of 130 Angstrom photons for production line projection x-ray lithography is possible

  7. Measurement of pull-off force on imprinted nanopatterns in an inert liquid

    International Nuclear Information System (INIS)

    Kim, Jae Kwan; Lee, Dong Eon; Lee, Woo Il; Suh, Kahp Y

    2010-01-01

    We report on the measurement of the pull-off force on nanoscale patterns that are formed by thermal nanoimprint lithography (t-NIL). Various patterns with feature sizes in the range of 50-900 nm were fabricated on silicon substrates using a rigiflex polymeric mold of ultraviolet curable polyurethane acrylate (PUA, Young's modulus ∼ 1 GPa) or perfluoropolyether (PFPE, Young's modulus ∼ 10.5 MPa) and a resist layer of polystyrene (PS) of three different molecular weights (M w = 18 100, 211 600 and 2043 000). The pull-off force was measured in non-polar, non-reactive perfluorodecalin (PFD) solvent between a sharp atomic force microscopy (AFM) tip and an imprinted pattern. Our experimental data demonstrated that the measured pull-off forces were in good agreement with a simple adhesion model based on Lifshitz theory. Also, the force on the pressed region (valley) is higher than that on the cavity region (hill), with the ratio (hill/valley) decreasing with the decrease of pattern size and the increase of molecular weight. The confinement effects were more pronounced for smaller patterns ( w = 211 600 and 2043 000) presumably due to sluggish movement of polymer chains into nano-cavities. Finally, the experimental observations were compared with molecular dynamic simulations based on a simplified amorphous polyethylene model.

  8. Sexual dimorphism in parental imprint ontogeny and contribution to embryonic development.

    Science.gov (United States)

    Bourc'his, Déborah; Proudhon, Charlotte

    2008-01-30

    Genomic imprinting refers to the functional non-equivalence of parental genomes in mammals that results from the parent-of-origin allelic expression of a subset of genes. Parent-specific expression is dependent on the germ line acquisition of DNA methylation marks at imprinting control regions (ICRs), coordinated by the DNA-methyltransferase homolog DNMT3L. We discuss here how the gender-specific stages of DNMT3L expression may have influenced the various sexually dimorphic aspects of genomic imprinting: (1) the differential developmental timing of methylation establishment at paternally and maternally imprinted genes in each parental germ line, (2) the differential dependence on DNMT3L of parental methylation imprint establishment, (3) the unequal duration of paternal versus maternal methylation imprints during germ cell development, (4) the biased distribution of methylation-dependent ICRs towards the maternal genome, (5) the different genomic organization of paternal versus maternal ICRs, and finally (6) the overwhelming contribution of maternal germ line imprints to development compared to their paternal counterparts.

  9. Smart coumarin-tagged imprinted polymers for the rapid detection of tamoxifen.

    Science.gov (United States)

    Ray, Judith V; Mirata, Fosca; Pérollier, Celine; Arotcarena, Michel; Bayoudh, Sami; Resmini, Marina

    2016-03-01

    A signalling molecularly imprinted polymer was synthesised for easy detection of tamoxifen and its metabolites. 6-Vinylcoumarin-4-carboxylic acid (VCC) was synthesised from 4-bromophenol to give a fluorescent monomer, designed to switch off upon binding of tamoxifen. Clomiphene, a chlorinated analogue, was used as the template for the imprinting, and its ability to quench the coumarin fluorescence when used in a 1:1 ratio was demonstrated. Tamoxifen and 4-hydroxytamoxifen were also shown to quench coumarin fluorescence. Imprinted and non-imprinted polymers were synthesised using VCC, methacrylic acid as a backbone monomer and ethylene glycol dimethacrylate as cross-linker, and were ground and sieved to particle sizes ranging between 45 and 25 μm. Rebinding experiments demonstrate that the imprinted polymer shows very strong affinity for both clomiphene and tamoxifen, while the non-imprinted polymer shows negligible rebinding. The fluorescence of the imprinted polymer is quenched by clomiphene, tamoxifen and 4-hydroxytamoxifen. The switch off in fluorescence of the imprinted polymer under these conditions could also be detected under a UV lamp with the naked eye, making this matrix suitable for applications when coupled with a sample preparation system.

  10. Interference lithography for optical devices and coatings

    Science.gov (United States)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  11. The application of synchrotron radiation to X-ray lithography

    International Nuclear Information System (INIS)

    Spiller, E.; Eastman, D.E.; Feder, R.; Grobman, W.D.; Gudat, W.; Topalian, J.

    1976-06-01

    Synchrotron radiation from the German electron synchrotron DESY in Hamburg has been used for X-ray lithograpgy. Replications of different master patterns (for magnetic bubble devices, fresnel zone plates, etc.) were made using various wavelengths and exposures. High quality lines down to 500 A wide have been reproduced using very soft X-rays. The sensitivities of X-ray resists have been evaluated over a wide range of exposures. Various critical factors (heating, radiation damage, etc.) involved with X-ray lithography using synchrotron radiation have been studied. General considerations of storage ring sources designed as radiation sources for X-ray lithography are discussed, together with a comparison with X-ray tube sources. The general conclusion is that X-ray lithography using synchrotron radiation offers considerable promise as a process for forming high quality sub-micron images with exposure times as short as a few seconds. (orig.) [de

  12. Computer numerical control (CNC) lithography: light-motion synchronized UV-LED lithography for 3D microfabrication

    International Nuclear Information System (INIS)

    Kim, Jungkwun; Allen, Mark G; Yoon, Yong-Kyu

    2016-01-01

    This paper presents a computer-numerical-controlled ultraviolet light-emitting diode (CNC UV-LED) lithography scheme for three-dimensional (3D) microfabrication. The CNC lithography scheme utilizes sequential multi-angled UV light exposures along with a synchronized switchable UV light source to create arbitrary 3D light traces, which are transferred into the photosensitive resist. The system comprises a switchable, movable UV-LED array as a light source, a motorized tilt-rotational sample holder, and a computer-control unit. System operation is such that the tilt-rotational sample holder moves in a pre-programmed routine, and the UV-LED is illuminated only at desired positions of the sample holder during the desired time period, enabling the formation of complex 3D microstructures. This facilitates easy fabrication of complex 3D structures, which otherwise would have required multiple manual exposure steps as in the previous multidirectional 3D UV lithography approach. Since it is batch processed, processing time is far less than that of the 3D printing approach at the expense of some reduction in the degree of achievable 3D structure complexity. In order to produce uniform light intensity from the arrayed LED light source, the UV-LED array stage has been kept rotating during exposure. UV-LED 3D fabrication capability was demonstrated through a plurality of complex structures such as V-shaped micropillars, micropanels, a micro-‘hi’ structure, a micro-‘cat’s claw,’ a micro-‘horn,’ a micro-‘calla lily,’ a micro-‘cowboy’s hat,’ and a micro-‘table napkin’ array. (paper)

  13. Direct imprinting of indium-tin-oxide precursor gel and simultaneous formation of channel and source/drain in thin-film transistor

    Science.gov (United States)

    Haga, Ken-ichi; Kamiya, Yuusuke; Tokumitsu, Eisuke

    2018-02-01

    We report on a new fabrication process for thin-film transistors (TFTs) with a new structure and a new operation principle. In this process, both the channel and electrode (source/drain) are formed simultaneously, using the same oxide material, using a single nano-rheology printing (n-RP) process, without any conventional lithography process. N-RP is a direct thermal imprint technique and deforms oxide precursor gel. To reduce the source/drain resistance, the material common to the channel and electrode is conductive indium-tin-oxide (ITO). The gate insulator is made of a ferroelectric material, whose high charge density can deplete the channel of the thin ITO film, which realizes the proposed operation principle. First, we have examined the n-RP conditions required for the channel and source/drain patterning, and found that the patterning properties are strongly affected by the cooling rate before separating the mold. Second, we have fabricated the TFTs as proposed and confirmed their TFT operation.

  14. Enhanced Absorption in Organic Thin-Films from Imprinted Concave Nanostructures

    DEFF Research Database (Denmark)

    Goszczak, Arkadiusz Jaroslaw; Rubahn, Horst-Günter; Madsen, Morten

    2017-01-01

    In this work, a rapid, replicable method for imprinting concave nanostructures to be used as functional light-trapping nanostructures in organic thin-films is presented. Porous anodic alumina templates were fabricated both by anodization of thick Al foils and by anodization of submicrometer thin Al...... patterns and used for imprinting of spin coated photoresist on glass substrates. We have investigated semi-periodic and aperiodic imprinted large concave patterns fabricated from rigid masters after anodization of Al in H3PO4. We show that metal covered imprinted concaves show enhancement in absorption...

  15. Selectively Patterning Polymer Opal Films via Microimprint Lithography.

    Science.gov (United States)

    Ding, Tao; Zhao, Qibin; Smoukov, Stoyan K; Baumberg, Jeremy J

    2014-11-01

    Large-scale structural color flexible coatings have been hard to create, and patterning color on them is key to many applications, including large-area strain sensors, wall-size displays, security devices, and smart fabrics. To achieve controlled tuning, a micro-imprinting technique is applied here to pattern both the surface morphology and the structural color of the polymer opal films (POFs). These POFs are made of 3D ordered arrays of hard spherical particles embedded inside soft shells. The soft outer shells cause the POFs to deform upon imprinting with a pre-patterned stamp, driving a flow of the soft polymer and a rearrangement of the hard spheres within the films. As a result, a patterned surface morphology is generated within the POFs and the structural colors are selectively modified within different regions. These changes are dependent on the pressure, temperature, and duration of imprinting, as well as the feature sizes in the stamps. Moreover, the pattern geometry and structural colors can then be further tuned by stretching. Micropattern color generation upon imprinting depends on control of colloidal transport in a polymer matrix under shear flow and brings many potential properties including stretchability and tunability, as well as being of fundamental interest.

  16. Rational computational design for the development of andrographolide molecularly imprinted polymer

    Science.gov (United States)

    Krishnan, Hemavathi; Islam, K. M. Shafiqul; Hamzah, Zainab; Ahmad, Mohd Noor

    2017-10-01

    Andrographolide is a popular medicinal compound derived from Andrographis Paniculata (AP). Molecularly Imprint Polymer (MIP) is a "Lock and Key" approach, where MIP is the lock and Andrographolide is the key which fits to the MIP lock by both physically and chemically. MIP will be used as selective extraction tool to enrich Andrographolide bioactive compound. Pre-polymerization step is crucial to design MIP. This work investigates molecular interactions and the Gibbs free binding energies on the development of MIP. The structure of Andrographolide (template) and functional monomers were drawn in HyperChem 8.0.10. A hybrid quantum chemical model was used with a few functional monomers. Possible conformations of template and functional monomer as 1:n (n < 4) were designed and simulated to geometrically optimize the complex to the lowest energy in gas phase. The Gibbs free binding energies of each conformation were calculated using semi-empirical PM3 simulation method. Results proved that functional monomers that contain carboxylic group shows higher binding energy compared to those with amine functional group. Itaconic acid (IA) chosen as the best functional monomer at optimum ratio (1:3) of template: monomer to prepare andrographolide MIP. This study demonstrates the importance of studying intermolecular interaction among template, functional monomer and template-monomer ratio in developing MIP.

  17. Genomic imprinting of IGF2 in marsupials is methylation dependent

    Directory of Open Access Journals (Sweden)

    Imumorin Ikhide

    2008-05-01

    Full Text Available Abstract Background- Parent-specific methylation of specific CpG residues is critical to imprinting in eutherian mammals, but its importance to imprinting in marsupials and, thus, the evolutionary origins of the imprinting mechanism have been the subject of controversy. This has been particularly true for the imprinted Insulin-like Growth Factor II (IGF2, a key regulator of embryonic growth in vertebrates and a focal point of the selective forces leading to genomic imprinting. The presence of the essential imprinting effector, DNMT3L, in marsupial genomes and the demonstration of a differentially methylated region (DMR in the retrotransposon-derived imprinted gene, PEG10, in tammar wallaby argue for a role for methylation in imprinting, but several studies have found no evidence of parent-specific methylation at other imprinted loci in marsupials. Results- We performed the most extensive search to date for allele-specific patterns of CpG methylation within CpG isochores or CpG enriched segments across a 22 kilobase region surrounding the IGF2 gene in the South American opossum Monodelphis domestica. We identified a previously unknown 5'-untranslated exon for opossum IGF2, which is flanked by sequences defining a putative neonatal promoter, a DMR and an active Matrix Attachment Region (MAR. Demethylation of this DMR in opossum neonatal fibroblasts results in abherrant biallelic expression of IGF2. Conclusion- The demonstration of a DMR and an active MAR in the 5' flank of opossum IGF2 mirrors the regulatory features of the 5' flank of Igf2 in mice. However, demethylation induced activation of the maternal allele of IGF2 in opossum differs from the demethylation induced repression of the paternal Igf2 allele in mice. While it can now be concluded that parent-specific DNA methylation is an epigentic mark common to Marsupialia and Eutheria, the molecular mechanisms of transcriptional silencing at imprinted loci have clearly evolved along independent

  18. Functionalized SU-8 patterned with X-ray Lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Romanato, F.

    2005-01-01

    spontaneous emission light source that couples out light normal to the chip plane. In addition we examine the influence of the x-ray irradiation on the fluorescence of thin films of dye doped SU-8. The dye embedded in the SU-8 is optically excited during, characterization by an external light source tuned......In this work we demonstrate the feasibility of x-ray lithography on SU-8 photoresist doped with the laser dye Rhodamine 6G, while retaining the photoactive properties of the embedded dye. Two kinds of structures are fabricated via soft x-ray lithography and characterized: a laser and in amplified...

  19. Gene dosage effects of the imprinted delta-like homologue 1 (dlk1/pref1 in development: implications for the evolution of imprinting.

    Directory of Open Access Journals (Sweden)

    Simao Teixeira da Rocha

    2009-02-01

    Full Text Available Genomic imprinting is a normal process that causes genes to be expressed according to parental origin. The selective advantage conferred by imprinting is not understood but is hypothesised to act on dosage-critical genes. Here, we report a unique model in which the consequences of a single, double, and triple dosage of the imprinted Dlk1/Pref1, normally repressed on the maternally inherited chromosome, can be assessed in the growing embryo. BAC-transgenic mice were generated that over-express Dlk1 from endogenous regulators at all sites of embryonic activity. Triple dosage causes lethality associated with major organ abnormalities. Embryos expressing a double dose of Dlk1, recapitulating loss of imprinting, are growth enhanced but fail to thrive in early life, despite the early growth advantage. Thus, any benefit conferred by increased embryonic size is offset by postnatal lethality. We propose a negative correlation between gene dosage and survival that fixes an upper limit on growth promotion by Dlk1, and we hypothesize that trade-off between growth and lethality might have driven imprinting at this locus.

  20. Using IMPRINT to Guide Experimental Design with Simulated Task Environments

    Science.gov (United States)

    2015-06-18

    USING IMPRINT TO GUIDE EXPERIMENTAL DESIGN OF SIMULATED TASK ENVIRONMENTS THESIS Gregory...ENG-MS-15-J-052 USING IMPRINT TO GUIDE EXPERIMENTAL DESIGN WITH SIMULATED TASK ENVIRONMENTS THESIS Presented to the Faculty Department...Civilian, USAF June 2015 DISTRIBUTION STATEMENT A. APPROVED FOR PUBLIC RELEASE; DISTRIBUTION UNLIMITED. AFIT-ENG-MS-15-J-052 USING IMPRINT

  1. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  2. Helium ion lithography principles and performance

    NARCIS (Netherlands)

    Drift, E. van der; Maas, D.J.

    2012-01-01

    Recent developments show that Scanning Helium Ion Beam Lithography (SHIBL) with a sub-nanometer beam diameter is a promising alternative fabrication technique for high-resolution nanostructures at high pattern densities. Key principles and critical conditions of the technique are explained. From

  3. Mycotoxin analysis using imprinted materials technology: Recent developments

    Science.gov (United States)

    Molecular imprinting technology is an attractive, cost effective, and robust alternative to address the limitations of highly selective natural receptors, such as antibodies and aptamers. The field of molecular imprinting has seen a recent surge in growth with several commercially available products...

  4. Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, Vincent; Chansin, G.

    2006-01-01

    The fabrication of a three-dimensional multilayered nanostructure is demonstrated with a newly developed nanofabrication technique, namely, reverse contact ultraviolet nanoimprint lithography. This technique is a combination of reverse nanoimprint lithography and contact ultraviolet lithography....... In this process, a UV cross-linkable polymer and a thermoplastic polymer are spin coated onto a patterned hybrid metal-quartz stamp. These thin polymer films are then transferred from the stamp to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light....... After separation of the stamp and the substrate, the unexposed polymer areas are rinsed away with acetone leaving behind the negative features of the original stamp with no residual layer....

  5. Sexual imprinting on ecologically divergent traits leads to sexual isolation in sticklebacks.

    Science.gov (United States)

    Kozak, Genevieve M; Head, Megan L; Boughman, Janette W

    2011-09-07

    During sexual imprinting, offspring learn parental phenotypes and then select mates who are similar to their parents. Imprinting has been thought to contribute to the process of speciation in only a few rare cases; this is despite imprinting's potential to generate assortative mating and solve the problem of recombination in ecological speciation. If offspring imprint on parental traits under divergent selection, these traits will then be involved in both adaptation and mate preference. Such 'magic traits' easily generate sexual isolation and facilitate speciation. In this study, we show that imprinting occurs in two ecologically divergent stickleback species (benthics and limnetics: Gasterosteus spp.). Cross-fostered females preferred mates of their foster father's species. Furthermore, imprinting is essential for sexual isolation between species; isolation was reduced when females were raised without fathers. Daughters imprinted on father odour and colour during a critical period early in development. These traits have diverged between the species owing to differences in ecology. Therefore, we provide the first evidence that imprinting links ecological adaptation to sexual isolation between species. Our results suggest that imprinting may facilitate the evolution of sexual isolation during ecological speciation, may be especially important in cases of rapid diversification, and thus play an integral role in the generation of biodiversity.

  6. Selective enrichment and separation of phosphotyrosine peptides by thermosensitive molecularly imprinted polymers.

    Science.gov (United States)

    Yang, Xiaoqing; Xia, Yan

    2016-01-01

    Novel thermosensitive molecularly imprinted polymers were successfully prepared using the epitope imprinting approach in the presence of the mimic template phenylphosphonic acid, the functional monomer vinylphosphonic acid-Ti(4+) , the temperature-sensitive monomer N-isopropylacrylamide and the crosslinker N,N'-methylenebisacrylamide. The ratio of the template/thermosensitive monomers/crosslinker was optimized, and when the ratio was 2:2:1, the prepared thermosensitive molecularly imprinted polymers had the highest imprinting factor. The synthetic thermosensitive molecularly imprinted polymers were characterized by Fourier transform infrared spectroscopy to reveal the combination and elution processes of the template. Then, the adsorption capacity and thermosensitivity was measured. When the temperature was 28°C, the imprinting factor was the highest. The selectivity and adsorption capacity of the thermosensitive molecularly imprinted polymers for phosphotyrosine peptides from a mixture of three tailor-made peptides were measured by high-performance liquid chromatography. The results showed that the thermosensitive molecularly imprinted polymers have good selectivity for phosphotyrosine peptides. Finally, the imprinted hydrogels were applied to specifically adsorb phosphotyrosine peptides from a sample mixture containing phosphotyrosine and a tryptic digest of β-casein, which demonstrated high selectivity. After four rebinding cycles, 78.9% adsorption efficiency was still retained. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Molecularly Imprinted Polymers: Novel Discovery for Drug Delivery.

    Science.gov (United States)

    Dhanashree, Surve; Priyanka, Mohite; Manisha, Karpe; Vilasrao, Kadam

    2016-01-01

    Molecularly imprinted polymers (MIP) are novel carriers synthesized by imprinting of a template over a polymer. This paper presents the recent application of MIP for diagnostic and therapeutic drug delivery. MIP owing to their 3D polymeric structures and due to bond formation with the template serves as a reservoir of active causing stimuli sensitive, enantioselective, targetted and/or controlled release. The review elaborates about key factors for optimization of MIP, controlled release by MIP for various administration routes various forms like patches, contact lenses, nanowires along with illustrations. To overcome the limitation of organic solvent usage causing increased cost, water compatible MIP and use of supercritical fluid technology for molecular imprinting were developed. Novel methods for developing water compatible MIP like pickering emulsion polymerization, co-precipitation method, cyclodextrin imprinting, surface grafting, controlled/living radical chain polymerization methods are described with illustration in this review. Various protein imprinting methods like bulk, epitope and surface imprinting are described along with illustrations. Further, application of MIP in microdevices as biomimetic sensing element for personalized therapy is elaborated. Although development and application of MIP in drug delivery is still at its infancy, constant efforts of researchers will lead to a novel intelligent drug delivery with commercial value. Efforts should be directed in developing solid oral dosage forms consisting of MIP for therapeutic protein and peptide delivery and targeted release of potent drugs addressing life threatening disease like cancer. Amalgamation of bio-engineering and pharmaceutical techniques can make these future prospects into reality.

  8. Evidence for a Peripheral Olfactory Memory in Imprinted Salmon

    Science.gov (United States)

    Nevitt, Gabrielle A.; Dittman, Andrew H.; Quinn, Thomas P.; Moody, William J., Jr.

    1994-05-01

    The remarkable homing ability of salmon relies on olfactory cues, but its cellular basis is unknown. To test the role of peripheral olfactory receptors in odorant memory retention, we imprinted coho salmon (Oncorhynchus kisutch) to micromolar concentrations of phenyl ethyl alcohol during parr-smolt transformation. The following year, we measured phenyl ethyl alcohol responses in the peripheral receptor cells using patch clamp. Cells from imprinted fish showed increased sensitivity to phenyl ethyl alcohol compared either to cells from naive fish or to sensitivity to another behaviorally important odorant (L-serine). Field experiments verified an increased behavioral preference for phenyl ethyl alcohol by imprinted salmon as adults. Thus, some component of the imprinted olfactory homestream memory appears to be retained peripherally.

  9. Cosmological imprints of pre-inflationary particles

    Energy Technology Data Exchange (ETDEWEB)

    Fialkov, Anastasia; Itzhaki, Nissan; Kovetz, Ely D., E-mail: anastasia.fialkov@gmail.com, E-mail: nitzhaki@post.tau.ac.il, E-mail: elykovetz@gmail.com [Tel-Aviv University, Ramat-Aviv, 69978 (Israel)

    2010-02-01

    We study some of the cosmological imprints of pre-inflationary particles. We show that each such particle provides a seed for a spherically symmetric cosmic defect. The profile of this cosmic defect is fixed and its magnitude is linear in a single parameter that is determined by the mass of the pre-inflationary particle. We study the CMB and peculiar velocity imprints of this cosmic defect and suggest that it could explain some of the large scale cosmological anomalies.

  10. Cosmological imprints of pre-inflationary particles

    International Nuclear Information System (INIS)

    Fialkov, Anastasia; Itzhaki, Nissan; Kovetz, Ely D.

    2010-01-01

    We study some of the cosmological imprints of pre-inflationary particles. We show that each such particle provides a seed for a spherically symmetric cosmic defect. The profile of this cosmic defect is fixed and its magnitude is linear in a single parameter that is determined by the mass of the pre-inflationary particle. We study the CMB and peculiar velocity imprints of this cosmic defect and suggest that it could explain some of the large scale cosmological anomalies

  11. Bio-Mimetic Sensors Based on Molecularly Imprinted Membranes

    Directory of Open Access Journals (Sweden)

    Catia Algieri

    2014-07-01

    Full Text Available An important challenge for scientific research is the production of artificial systems able to mimic the recognition mechanisms occurring at the molecular level in living systems. A valid contribution in this direction resulted from the development of molecular imprinting. By means of this technology, selective molecular recognition sites are introduced in a polymer, thus conferring it bio-mimetic properties. The potential applications of these systems include affinity separations, medical diagnostics, drug delivery, catalysis, etc. Recently, bio-sensing systems using molecularly imprinted membranes, a special form of imprinted polymers, have received the attention of scientists in various fields. In these systems imprinted membranes are used as bio-mimetic recognition elements which are integrated with a transducer component. The direct and rapid determination of an interaction between the recognition element and the target analyte (template was an encouraging factor for the development of such systems as alternatives to traditional bio-assay methods. Due to their high stability, sensitivity and specificity, bio-mimetic sensors-based membranes are used for environmental, food, and clinical uses. This review deals with the development of molecularly imprinted polymers and their different preparation methods. Referring to the last decades, the application of these membranes as bio-mimetic sensor devices will be also reported.

  12. Bio-Mimetic Sensors Based on Molecularly Imprinted Membranes

    Science.gov (United States)

    Algieri, Catia; Drioli, Enrico; Guzzo, Laura; Donato, Laura

    2014-01-01

    An important challenge for scientific research is the production of artificial systems able to mimic the recognition mechanisms occurring at the molecular level in living systems. A valid contribution in this direction resulted from the development of molecular imprinting. By means of this technology, selective molecular recognition sites are introduced in a polymer, thus conferring it bio-mimetic properties. The potential applications of these systems include affinity separations, medical diagnostics, drug delivery, catalysis, etc. Recently, bio-sensing systems using molecularly imprinted membranes, a special form of imprinted polymers, have received the attention of scientists in various fields. In these systems imprinted membranes are used as bio-mimetic recognition elements which are integrated with a transducer component. The direct and rapid determination of an interaction between the recognition element and the target analyte (template) was an encouraging factor for the development of such systems as alternatives to traditional bio-assay methods. Due to their high stability, sensitivity and specificity, bio-mimetic sensors-based membranes are used for environmental, food, and clinical uses. This review deals with the development of molecularly imprinted polymers and their different preparation methods. Referring to the last decades, the application of these membranes as bio-mimetic sensor devices will be also reported. PMID:25196110

  13. Highly Selective Fluorescent Sensing of Proteins Based on a Fluorescent Molecularly Imprinted Nanosensor

    Directory of Open Access Journals (Sweden)

    Shuo Wang

    2013-09-01

    Full Text Available A fluorescent molecularly imprinted nanosensor was obtained by grafting imprinted polymer onto the surface of multi-wall carbon nanotubes and post-imprinting treatment with fluorescein isothiocyanate (FITC. The fluorescence of lysozyme-imprinted polymer (Lys-MIP was quenched more strongly by Lys than that of nonimprinted polymer (NIP, which indicated that the Lys-MIP could recognize Lys. The resulted imprinted material has the ability to selectively sense a target protein, and an imprinting factor of 3.34 was achieved. The Lys-MIP also showed selective detection for Lys among other proteins such as cytochrome C (Cyt C, hemoglobin (HB and bovine serum albumin (BSA due to the imprinted sites in the Lys-MIP. This approach combines the high selectivity of surface molecular imprinting technology and fluorescence, and converts binding events into detectable signals by monitoring fluorescence spectra. Therefore, it will have further applications for Lys sensing.

  14. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  15. Ionic and Polyampholyte N-Isopropylacrylamide-Based Hydrogels Prepared in the Presence of Imprinting Ligands: Stimuli-Responsiveness and Adsorption/Release Properties

    Directory of Open Access Journals (Sweden)

    Carmen Alvarez-Lorenzo

    2011-12-01

    Full Text Available The conformation of the imprinted pockets in stimulus-responsive networks can be notably altered when the stimulus causes a volume phase transition. Such a tunable affinity for the template molecule finds interesting applications in the biomedical and drug delivery fields. Nevertheless, the effect that the binding of the template causes on the stimuli-responsiveness of the network has barely been evaluated. In this work, the effect of two ionic drugs used as templates, namely propranolol hydrochloride and ibuprofen sodium, on the responsiveness of N-isopropylacrylamide-based hydrogels copolymerized with acrylic acid (AAc and N-(3-aminopropyl methacrylamide (APMA and on their ability to rebind and to control the release of the template was evaluated. The degree of swelling and, in some cases, energetics (HS-DSC of the transitions were monitored as a function of temperature, pH, and concentration of drug. Marked decrease in the transition temperature of the hydrogels, accompanied by notable changes in the transition width, was observed in physiological NaCl solutions and after the binding of the drug molecules, which reveals relevant changes in the domain structure of the hydrogels as the charged groups are shielded. The ability of the hydrogels to rebind propranolol or ibuprofen was quantified at both 4 and 37 °C and at two different drug concentrations, in the range of those that cause major changes in the network structure. Noticeable differences between hydrogels bearing AAc or APMA and between imprinted and non-imprinted networks were also observed during the release tests in NaCl solutions of various concentrations. Overall, the results obtained evidence the remarkable effect of the template molecules on the responsiveness of intelligent imprinted hydrogels.

  16. Synthesis of molecular imprinting polymers for extraction of gallic acid from urine.

    Science.gov (United States)

    Bhawani, Showkat Ahmad; Sen, Tham Soon; Ibrahim, Mohammad Nasir Mohammad

    2018-02-21

    The molecularly imprinted polymers for gallic acid were synthesized by precipitation polymerization. During the process of synthesis a non-covalent approach was used for the interaction of template and monomer. In the polymerization process, gallic acid was used as a template, acrylic acid as a functional monomer, ethylene glycol dimethacrylate as a cross-linker and 2,2'-azobisisobutyronitrile as an initiator and acetonitrile as a solvent. The synthesized imprinted and non-imprinted polymer particles were characterized by using Fourier-transform infrared spectroscopy and scanning electron microscopy. The rebinding efficiency of synthesized polymer particles was evaluated by batch binding assay. The highly selective imprinted polymer for gallic acid was MIPI1 with a composition (molar ratio) of 1:4:20, template: monomer: cross-linker, respectively. The MIPI1 showed highest binding efficiency (79.50%) as compared to other imprinted and non-imprinted polymers. The highly selective imprinted polymers have successfully extracted about 80% of gallic acid from spiked urine sample.

  17. Deregulation of an imprinted gene network in prostate cancer.

    Science.gov (United States)

    Ribarska, Teodora; Goering, Wolfgang; Droop, Johanna; Bastian, Klaus-Marius; Ingenwerth, Marc; Schulz, Wolfgang A

    2014-05-01

    Multiple epigenetic alterations contribute to prostate cancer progression by deregulating gene expression. Epigenetic mechanisms, especially differential DNA methylation at imprinting control regions (termed DMRs), normally ensure the exclusive expression of imprinted genes from one specific parental allele. We therefore wondered to which extent imprinted genes become deregulated in prostate cancer and, if so, whether deregulation is due to altered DNA methylation at DMRs. Therefore, we selected presumptive deregulated imprinted genes from a previously conducted in silico analysis and from the literature and analyzed their expression in prostate cancer tissues by qRT-PCR. We found significantly diminished expression of PLAGL1/ZAC1, MEG3, NDN, CDKN1C, IGF2, and H19, while LIT1 was significantly overexpressed. The PPP1R9A gene, which is imprinted in selected tissues only, was strongly overexpressed, but was expressed biallelically in benign and cancerous prostatic tissues. Expression of many of these genes was strongly correlated, suggesting co-regulation, as in an imprinted gene network (IGN) reported in mice. Deregulation of the network genes also correlated with EZH2 and HOXC6 overexpression. Pyrosequencing analysis of all relevant DMRs revealed generally stable DNA methylation between benign and cancerous prostatic tissues, but frequent hypo- and hyper-methylation was observed at the H19 DMR in both benign and cancerous tissues. Re-expression of the ZAC1 transcription factor induced H19, CDKN1C and IGF2, supporting its function as a nodal regulator of the IGN. Our results indicate that a group of imprinted genes are coordinately deregulated in prostate cancers, independently of DNA methylation changes.

  18. S-Layer Based Bio-Imprinting - Synthetic S-Layer Polymers

    Science.gov (United States)

    2015-07-09

    AFRL-OSR-VA-TR-2015-0161 S-Layer Based Bio- Imprinting - Synthetic S-Layer Polymers Dietmar Pum ZENTRUM FUER NANOBIOTECHNOLOGIE Final Report 07/09...COVERED (From - To)      01-06-2012 to 31-05-2015 4.  TITLE AND SUBTITLE S-Layer Based Bio- Imprinting - Synthetic S-Layer Polymers 5a.  CONTRACT...technology for the fabrication of nano patterned thin film imprints by using functional S-layer protein arrays as templates. The unique feature of

  19. Electropolymerized molecularly imprinted polypyrrole film for sensing of clofibric acid.

    Science.gov (United States)

    Schweiger, Bianca; Kim, Jungtae; Kim, Young Jun; Ulbricht, Mathias

    2015-02-26

    Piezoelectric quartz crystals and analogous gold substrates were electrochemically coated with molecularly imprinted polypyrrole films for pulsed amperometric detection (PAD) of clofibric acid, a metabolite of clofibrate. Cyclic voltammetry data obtained during polymerization and deposited weight estimations revealed a decrease of the polymerization rate with increasing clofibric acid concentration. XPS measurements indicated that clofibric acid could be removed after imprinting with an aqueous ethanol solution, which was further optimized by using PAD. Zeta potential and contact angle measurements revealed differences between molecularly imprinted (MIP) and non-imprinted polymer (NIP) layers. Binding experiments with clofibric acid and other substances showed a pronounced selectivity of the MIP for clofibric acid vs. carbamazepine, but the response of MIP and NIP to 2,4-dichlorophenoxyacetic acid was higher than that for clofibric acid. A smooth surface, revealed by AFM measurements, with roughness of 6-8 nm for imprinted and non-imprinted layers, might be a reason for an excessively low density of specific binding sites for clofibric acid. Furthermore, the decreased polymerization rate in the presence of clofibric acid might not result in well-defined polymer structures, which could be the reason for the lower sensitivity.

  20. Electropolymerized Molecularly Imprinted Polypyrrole Film for Sensing of Clofibric Acid

    Directory of Open Access Journals (Sweden)

    Bianca Schweiger

    2015-02-01

    Full Text Available Piezoelectric quartz crystals and analogous gold substrates were electrochemically coated with molecularly imprinted polypyrrole films for pulsed amperometric detection (PAD of clofibric acid, a metabolite of clofibrate. Cyclic voltammetry data obtained during polymerization and deposited weight estimations revealed a decrease of the polymerization rate with increasing clofibric acid concentration. XPS measurements indicated that clofibric acid could be removed after imprinting with an aqueous ethanol solution, which was further optimized by using PAD. Zeta potential and contact angle measurements revealed differences between molecularly imprinted (MIP and non-imprinted polymer (NIP layers. Binding experiments with clofibric acid and other substances showed a pronounced selectivity of the MIP for clofibric acid vs. carbamazepine, but the response of MIP and NIP to 2,4-dichlorophenoxyacetic acid was higher than that for clofibric acid. A smooth surface, revealed by AFM measurements, with roughness of 6–8 nm for imprinted and non-imprinted layers, might be a reason for an excessively low density of specific binding sites for clofibric acid. Furthermore, the decreased polymerization rate in the presence of clofibric acid might not result in well-defined polymer structures, which could be the reason for the lower sensitivity.

  1. Molecular imprinted polypyrrole modified glassy carbon electrode for the determination of tobramycin

    International Nuclear Information System (INIS)

    Gupta, Vinod Kumar; Yola, Mehmet Lütfi; Özaltın, Nuran; Atar, Necip; Üstündağ, Zafer; Uzun, Lokman

    2013-01-01

    Graphical abstract: Atomic force microscopic images of (A) bare GCE and (B) TOB imprinted PPy/GCE surface. - Highlights: • Glassy carbon electrode based on molecularly imprinted polypyrrole was prepared. • The developed surfaces were characterized by AFM, FTIR, EIS and CV. • The developed nanosensor was applied to egg and milk samples. - Abstract: Over the past two decades, molecular imprinted polymers have attracted a broad interest from scientists in sensor development. In the preparation of molecular imprinted polymers the desired molecule (template) induces the creation of specific recognition sites in the polymer. In this study, the glassy carbon electrode (GCE) based on molecularly imprinted polypyrrole (PPy) was fabricated for the determination of tobramycin (TOB). The developed electrode was prepared by incorporation of a template molecule (TOB) during the electropolymerization of pyrrole on GCE in aqueous solution using cyclic voltammetry (CV) method. The performance of the imprinted and non-imprinted electrodes was evaluated by square wave voltammetry (SWV). The effect of pH, monomer and template concentrations, electropolymerization cycles on the performance of the imprinted and non-imprinted electrodes was investigated and optimized. The non-modified and TOB-imprinted surfaces were characterized by using atomic force microscopy (AFM), Fourier transform infrared spectroscopy (FTIR), electrochemical impedance spectroscopy (EIS) and CV. The linearity range of TOB was 5.0 × 10 −10 –1.0 × 10 −8 M with the detection limit of 1.4 × 10 −10 M. The developed nanosensor was applied successfully for the determination of TOB in egg and milk

  2. Imprinting of Phenylalanine ethyl ester in cyclodextrin polymers in aqueous solution

    DEFF Research Database (Denmark)

    Detcheva, Anna Hr.; Yu, Donghong; Larsen, Kim Lambertsen

    During the last decades there has been a wide interest of developing molecularly imprinted polymers, which selectively can recognize small molecules. Cyclodextrins offer relatively strong binding site of a wide range of small molecules in water and molecular imprinted polymers of these have...... previously been produced with some success. Nevertheless most molecules of interest for molecular imprinting are too samll to accommodate more than one cyclodextrin per molecule and thus limited selectivity may be expected. In order to improve the selectivity of cyclodextrin based molecular imprinted polymer...

  3. Does imprint cytology improve the accuracy of transrectal prostate needle biopsy?

    Science.gov (United States)

    Sayar, Hamide; Bulut, Burak Besir; Bahar, Abdulkadir Yasir; Bahar, Mustafa Remzi; Seringec, Nurten; Resim, Sefa; Çıralık, Harun

    2015-02-01

    To evaluate the accuracy of imprint cytology of core needle biopsy specimens in the diagnosis of prostate cancer. Between December 24, 2011 and May 9, 2013, patients with an abnormal DRE and/or serum PSA level of >2.5 ng/mL underwent transrectal prostate needle biopsy. Samples with positive imprint cytology but negative initial histologic exam underwent repeat sectioning and histological examination. 1,262 transrectal prostate needle biopsy specimens were evaluated from 100 patients. Malignant imprint cytology was found in 236 specimens (18.7%), 197 (15.6%) of which were confirmed by histologic examination, giving an initial 3.1% (n = 39) rate of discrepant results by imprint cytology. Upon repeat sectioning and histologic examination of these 39 biopsy samples, 14 (1.1% of the original specimens) were then diagnosed as malignant, 3 (0.2%) as atypical small acinar proliferation (ASAP), and 5 (0.4%) as high-grade prostatic intraepithelial neoplasia (HGPIN). Overall, 964 (76.4%) specimens were negative for malignancy by imprint cytology. Seven (0.6%) specimens were benign by cytology but malignant cells were found on histological evaluation. On imprint cytology examination, nonmalignant but abnormal findings were seen in 62 specimens (4.9%). These were all due to benign processes. After reexamination, the accuracy, sensitivity, specificity, positive predictive value, negative predictive value, false-positive rate, false-negative rate of imprint preparations were 98.1, 96.9, 98.4, 92.8, 99.3, 1.6, 3.1%, respectively. Imprint cytology is valuable tool for evaluating TRUS-guided core needle biopsy specimens from the prostate. Use of imprint cytology in combination with histopathology increases diagnostic accuracy when compared with histopathologic assessment alone. © 2014 Wiley Periodicals, Inc.

  4. Wavelength selection for multilayer coatings for the lithography generation beyond extreme ultraviolet

    NARCIS (Netherlands)

    Makhotkin, Igor Alexandrovich; Zoethout, E.; Louis, Eric; Yakunin, A.M.; Muellender, S.; Bijkerk, Frederik

    2012-01-01

    Reducing the operating wavelength in advanced photolitho- graphy while maintaining the lithography machine’s produc- tivity has been a traditional way to enable improved imaging for the last 20 years. The transition from 13.5 nm to 6.5 to 6.9 nm optical lithography offers a possibility to combine

  5. Fabrication of Periodic Gold Nanocup Arrays Using Colloidal Lithography

    Energy Technology Data Exchange (ETDEWEB)

    DeVetter, Brent M.; Bernacki, Bruce E.; Bennett, Wendy D.; Schemer-Kohrn, Alan; Alvine, Kyle J.

    2017-01-01

    Within recent years, the field of plasmonics has exploded as researchers have demonstrated exciting applications related to chemical and optical sensing in combination with new nanofabrication techniques. A plasmon is a quantum of charge density oscillation that lends nanoscale metals such as gold and silver unique optical properties. In particular, gold and silver nanoparticles exhibit localized surface plasmon resonances—collective charge density oscillations on the surface of the nanoparticle—in the visible spectrum. Here, we focus on the fabrication of periodic arrays of anisotropic plasmonic nanostructures. These half-shell (or nanocup) structures can exhibit additional unique light-bending and polarization dependent optical properties that simple isotropic nanostructures cannot. Researchers are interested in the fabrication of periodic arrays of nanocups for a wide variety of applications such as low-cost optical devices, surface-enhanced Raman scattering, and tamper indication. We present a scalable technique based on colloidal lithography in which it is possible to easily fabricate large periodic arrays of nanocups using spin-coating and self-assembled commercially available polymeric nanospheres. Electron microscopy and optical spectroscopy from the visible to near-IR was performed to confirm successful nanocup fabrication. We conclude with a demonstration of the transfer of nanocups to a flexible, conformal adhesive film.

  6. Adsorptive molecularly imprinted composite membranes for chiral separation of phenylalanine

    Directory of Open Access Journals (Sweden)

    Shah Nasrullah

    2016-09-01

    Full Text Available Two types of composite imprinted membranes, i.e., composite membrane comprised of D-Phe imprinted beads and D-Phe imprinted membrane or DCM and composite membrane comprised of L-Phe imprinted beads and L-Phe imprinted membranes or LCM, were synthesized by phase inversion technique after a uniform dispersion of beads within the polymeric solutions using simple physico-mechanical process. The assemblies of the prepared DCM, LCM and control membranes were employed in ultrafiltration for chiral separation of D, L-Phenylalanine racemate solution. DCM and LCM showed an improved adsorption capacity (0.334 mg g-1 and 0.365 mg g-1 respectively, and adsorption selectivity (2.72 and 2.98 respectively. However, the percent rejection of the template and counter enantiomer were lower than that of control membranes. Compared to control membrane, the DCM and LCM showed inverse permselectivity. These composite membranes having better adsorption and separation ability for Phenylalanine racemate solution will be suitable in the future for various other applications.

  7. Molecularly Imprinted Polymers for 5-Fluorouracil Release in Biological Fluids

    Directory of Open Access Journals (Sweden)

    Franco Alhaique

    2007-04-01

    Full Text Available The aim of this work was to investigate the possibility of employing Molecularly Imprinted Polymers (MIPs as a controlled release device for 5-fluorouracil (5-FU in biological fluids, especially gastrointestinal ones, compared to Non Imprinted Polymers (NIPs. MIPs were synthesized using methacrylic acid (MAA as functional monomer and ethylene glycol dimethacrylate (EGDMA as crosslinking agent. The capacity of the polymer to recognize and to bind the template selectively in both organic and aqueous media was evaluated. An in vitro release study was performed both in gastrointestinal and in plasma simulating fluids. The imprinted polymers bound much more 5-Fu than the corresponding non-imprinted ones and showed a controlled/sustained drug release, with MIPs release rate being indeed much more sustained than that obtained from NIPs. These polymers represent a potential valid system for drug delivery and this study indicates that the selective binding characteristic of molecularly imprinted polymers is promising for the preparation of novel controlled release drug dosage form.

  8. Genomic Imprinting Was Evolutionarily Conserved during Wheat Polyploidization.

    Science.gov (United States)

    Yang, Guanghui; Liu, Zhenshan; Gao, Lulu; Yu, Kuohai; Feng, Man; Yao, Yingyin; Peng, Huiru; Hu, Zhaorong; Sun, Qixin; Ni, Zhongfu; Xin, Mingming

    2018-01-01

    Genomic imprinting is an epigenetic phenomenon that causes genes to be differentially expressed depending on their parent of origin. To evaluate the evolutionary conservation of genomic imprinting and the effects of ploidy on this process, we investigated parent-of-origin-specific gene expression patterns in the endosperm of diploid ( Aegilops spp), tetraploid, and hexaploid wheat ( Triticum spp) at various stages of development via high-throughput transcriptome sequencing. We identified 91, 135, and 146 maternally or paternally expressed genes (MEGs or PEGs, respectively) in diploid, tetraploid, and hexaploid wheat, respectively, 52.7% of which exhibited dynamic expression patterns at different developmental stages. Gene Ontology enrichment analysis suggested that MEGs and PEGs were involved in metabolic processes and DNA-dependent transcription, respectively. Nearly half of the imprinted genes exhibited conserved expression patterns during wheat hexaploidization. In addition, 40% of the homoeolog pairs originating from whole-genome duplication were consistently maternally or paternally biased in the different subgenomes of hexaploid wheat. Furthermore, imprinted expression was found for 41.2% and 50.0% of homolog pairs that evolved by tandem duplication after genome duplication in tetraploid and hexaploid wheat, respectively. These results suggest that genomic imprinting was evolutionarily conserved between closely related Triticum and Aegilops species and in the face of polyploid hybridization between species in these genera. © 2018 American Society of Plant Biologists. All rights reserved.

  9. Advanced coatings for next generation lithography

    Science.gov (United States)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  10. Nano-Imprint Lithography: Nanonex NX-2000

    Data.gov (United States)

    Federal Laboratory Consortium — Description:CORAL Name: NanoimprinterThis tool creates a pattern in a thin resist by embossing from a mold. The pattern is later transferred to the wafer by reactive...

  11. Elevated expression of brain-derived neurotrophic factor facilitates visual imprinting in chicks.

    Science.gov (United States)

    Suzuki, Keiko; Maekawa, Fumihiko; Suzuki, Shingo; Nakamori, Tomoharu; Sugiyama, Hayato; Kanamatsu, Tomoyuki; Tanaka, Kohichi; Ohki-Hamazaki, Hiroko

    2012-12-01

    With the aim of elucidating the neural mechanisms of early learning, we studied the role of brain-derived neurotrophic factor (BDNF) in visual imprinting in birds. The telencephalic neural circuit connecting the visual Wulst and intermediate medial mesopallium is critical for imprinting, and the core region of the hyperpallium densocellulare (HDCo), situated at the center of this circuit, has a key role in regulating the activity of the circuit. We found that the number of BDNF mRNA-positive cells in the HDCo was elevated during the critical period, particularly at its onset, on the day of hatching (P0). After imprinting training on P1, BDNF mRNA-positive cells in the HDCo increased in number, and tyrosine phosphorylation of TrkB was observed. BDNF infusion into the HDCo at P1 induced imprinting, even with a weak training protocol that does not normally induce imprinting. In contrast, K252a, an antagonist of Trk, inhibited imprinting. Injection of BDNF at P7, after the critical period, did not elicit imprinting. These results suggest that BDNF promotes the induction of imprinting through TrkB exclusively during the critical period. © 2012 The Authors Journal of Neurochemistry © 2012 International Society for Neurochemistry.

  12. Nm-scale diamond-like-carbon (DLC) templates for use in soft lithography

    International Nuclear Information System (INIS)

    Watson, G.S.; Myhra, S.; Brown, C.L.; Watson, J.A.

    2005-01-01

    An emerging set of methods known collectively as soft lithography is now being utilised for a large variety of applications including micromolding, microfluidic networks and microcontact printing. In particular stamps and elastomeric elements can be formed by exposure of a polymer to a template. Established lithographic techniques used in the microelectronic industry, such as photolithography, are generally used to fabricate such master templates at the micron scale. In this study we demonstrate the use of diamond-like-carbon (DLC) as a template for producing polymer micro/nano stamps and 3D polymer structures. Intricate surface relief patterns can be formed on the DLC surface from lithographic techniques by atomic force microscopy (AFM) operated in the electrical conductivity mode. A number of polymers can be used to transfer patterns. One of the most widely used polymers for pattern transfer has been polydimethylsiloxane (PDMS). The elastomer is chemically resistant, has a low surface energy and readily conforms to different surface topographies. Obtaining a master is the limiting factor in the production of PDMS replicas. (author). 2 refs., 4 figs

  13. Molecularly Imprinted Polypyrrole Based Impedimentric Sensor for Theophylline Determination

    International Nuclear Information System (INIS)

    Ratautaite, Vilma; Janssens, Stoffel D.; Haenen, Ken; Nesládek, Milos; Ramanaviciene, Almira; Baleviciute, Ieva; Ramanavicius, Arunas

    2014-01-01

    Highlights: • Sensor based on polypyrrole imprinted by theophylline (MIP) deposited on oxygen terminated boron-doped nanocrystalline diamond was developed. • This structure was applied as impedimetric sensor sensitive for theophylline. • Optimal polymer formation conditions suitable for MIP formation were elaborated. • Some analytical parameters were determined and evaluated. - Abstract: In this study development of impedimetric sensor based on oxygen terminated boron-doped nanocrystalline diamond (B:NCD:O) modified with theophylline imprinted polypyrrole is described. Hydrogen peroxide induced chemical formation of polypyrrole molecularly imprinted by theophylline was applied for the modification of conducting silicon substrate covered by B:NCD:O film. Non-imprinted polypyrrole layer was formed on similar substrate in order to prove efficiency of imprinted polypyrrole. Electrochemical impedance spectroscopy was applied for the evaluation of analyte-induced changes in electrochemical capacitance/resistance. The impact of polymerization duration on the capacitance of impedimetric sensor was estimated. A different impedance behavior was observed at different ratio of polymerized monomer and template molecule in the polymerization media. The influence of ethanol as additive to polymerization media on registered changes in capacitance/resistance was evaluated. Degradation of sensor stored in buffer solution was evaluated

  14. Molecularly imprinted titania nanoparticles for selective recognition and assay of uric acid

    Science.gov (United States)

    Mujahid, Adnan; Khan, Aimen Idrees; Afzal, Adeel; Hussain, Tajamal; Raza, Muhammad Hamid; Shah, Asma Tufail; uz Zaman, Waheed

    2015-06-01

    Molecularly imprinted titania nanoparticles are su ccessfully synthesized by sol-gel method for the selective recognition of uric acid. Atomic force microscopy is used to study the morphology of uric acid imprinted titania nanoparticles with diameter in the range of 100-150 nm. Scanning electron microscopy images of thick titania layer indicate the formation of fine network of titania nanoparticles with uniform distribution. Molecular imprinting of uric acid as well as its subsequent washing is confirmed by Fourier transformation infrared spectroscopy measurements. Uric acid rebinding studies reveal the recognition capability of imprinted particles in the range of 0.01-0.095 mmol, which is applicable in monitoring normal to elevated levels of uric acid in human blood. The optical shift (signal) of imprinted particles is six times higher in comparison with non-imprinted particles for the same concentration of uric acid. Imprinted titania particles have shown substantially reduced binding affinity toward interfering and structurally related substances, e.g. ascorbic acid and guanine. These results suggest the possible application of titania nanoparticles in uric acid recognition and quantification in blood serum.

  15. Gas Sensors Based on Molecular Imprinting Technology

    OpenAIRE

    Zhang, Yumin; Zhang, Jin; Liu, Qingju

    2017-01-01

    Molecular imprinting technology (MIT); often described as a method of designing a material to remember a target molecular structure (template); is a technique for the creation of molecularly imprinted polymers (MIPs) with custom-made binding sites complementary to the target molecules in shape; size and functional groups. MIT has been successfully applied to analyze; separate and detect macromolecular organic compounds. Furthermore; it has been increasingly applied in assays of biological mac...

  16. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    Science.gov (United States)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  17. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    International Nuclear Information System (INIS)

    Li, Yue; Wu, Ping; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun; Luo, Zhaofeng; Ren, Yuxuan

    2015-01-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories. (paper)

  18. A preliminary study of synchrotron light sources for x-ray lithography

    International Nuclear Information System (INIS)

    Hoffmann, C.R.; Bigham, C.B.; Ebrahim, N.A.; Sawicki, J.A.; Taylor, T.

    1989-02-01

    A preliminary study of synchrotron light sources has been made, primarily oriented toward x-ray lithography. X-ray lithography is being pursued vigorously in several countries, with a goal of manufacturing high-density computer chips (0.25 μm feature sizes), and may attain commercial success in the next decade. Many other applications of soft x-rays appear worthy of investigation as well. The study group visited synchrotron radiation facilities and had discussions with members of the synchrotron radiation community, particularly Canadians. It concluded that accelerator technology for a conventional synchrotron light source appropriate for x-ray lithography is well established and is consistent with skills and experience at Chalk River Nuclear Laboratories. Compact superconducting systems are being developed also. Their technical requirements overlap with capabilities at Chalk River. (32 refs)

  19. Molecular imprinting-chemiluminescence determination of trimethoprim using trimethoprim-imprinted polymer as recognition material.

    Science.gov (United States)

    He, Yunhua; Lu, Jiuru; Liu, Mei; Du, Jianxiu

    2005-07-01

    A new molecular imprinting-chemiluminescence method for the determination of trimethoprim was developed, in which trimethoprim-imprinted polymer was used as the molecular recognition material and the CL reaction of trimethoprim with potassium permanganate in acidic medium was used as the detection system. The CL intensity responds linearly to the concentration of trimethoprim within the 5.0 x 10(-8)-5.0 x 10(-6) g mL(-1) range (r= 0.9983) with a detection limit of 2 x 10(-8) g mL(-1). The relative standard deviation for the determination of 1.0 x 10(-7) g mL(-1) trimethoprim solutions is 4.8% (n= 9). The method has been applied to the determination of trimethoprim in pharmaceutical preparations and body fluids, and satisfactory results were obtained.

  20. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    Science.gov (United States)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  1. Simulation flow and model verification for laser direct-write lithography

    Science.gov (United States)

    Onanuga, Temitope; Rumler, Maximilian; Erdmann, Andreas

    2017-07-01

    A simulation flow for laser direct-write lithography (LDWL), a maskless lithography process in which a focused laser beam is scanned through a photoresist, is proposed. The simulation flow includes focusing of Gaussian beams, photoresist exposure, free-radical polymerization chemistry of the photoresist, and photoresist development. We applied the simulation method to investigate the scaling of feature sizes or linewidths for a varying number of exposure cycles at a total constant exposure dose. Experimental results from literature demonstrate that exposing the photoresist over multiple exposure cycles causes a reduction in linewidths. We explore possible reasons for this phenomenon and conclude that radical losses occurring between subsequent exposures provide a possible explanation of the observed effects. Furthermore, we apply the developed simulation method to analyze lithographic structures that were fabricated by a combination of LDWL and nanoimprint lithography. The simulation results agree with the experimental tendencies of a reduced likelihood of overexposures with an increase in the number of exposure cycles.

  2. Gas Sensors Based on Molecular Imprinting Technology.

    Science.gov (United States)

    Zhang, Yumin; Zhang, Jin; Liu, Qingju

    2017-07-04

    Molecular imprinting technology (MIT); often described as a method of designing a material to remember a target molecular structure (template); is a technique for the creation of molecularly imprinted polymers (MIPs) with custom-made binding sites complementary to the target molecules in shape; size and functional groups. MIT has been successfully applied to analyze; separate and detect macromolecular organic compounds. Furthermore; it has been increasingly applied in assays of biological macromolecules. Owing to its unique features of structure specificity; predictability; recognition and universal application; there has been exploration of the possible application of MIPs in the field of highly selective gas sensors. In this present study; we outline the recent advances in gas sensors based on MIT; classify and introduce the existing molecularly imprinted gas sensors; summarize their advantages and disadvantages; and analyze further research directions.

  3. Producing superfluid circulation states using phase imprinting

    Science.gov (United States)

    Kumar, Avinash; Dubessy, Romain; Badr, Thomas; De Rossi, Camilla; de Goër de Herve, Mathieu; Longchambon, Laurent; Perrin, Hélène

    2018-04-01

    We propose a method to prepare states of given quantized circulation in annular Bose-Einstein condensates (BEC) confined in a ring trap using the method of phase imprinting without relying on a two-photon angular momentum transfer. The desired phase profile is imprinted on the atomic wave function using a short light pulse with a tailored intensity pattern generated with a spatial light modulator. We demonstrate the realization of "helicoidal" intensity profiles suitable for this purpose. Due to the diffraction limit, the theoretical steplike intensity profile is not achievable in practice. We investigate the effect of imprinting an intensity profile smoothed by a finite optical resolution onto the annular BEC with a numerical simulation of the time-dependent Gross-Pitaevskii equation. This allows us to optimize the intensity pattern for a given target circulation to compensate for the limited resolution.

  4. Synthesis of molecular imprinted beta cyclodextrins oligomers in water

    DEFF Research Database (Denmark)

    Yu, Donghong; Nielsen, Anne Louise; Bach, Lone

    2003-01-01

    compounds in aqueous solution and, therefore, molecular imprinting of cyclodextrins polymers in aqueous solution is of great interest. In this paper, molecular imprinting of beta cyclodextrins has been performed in water by use of diiodobenzene as template and epichlorohydrin as a crosslinker. Inclusion...

  5. Preparation and recognition of surface molecularly imprinted core-shell microbeads for protein in aqueous solutions

    International Nuclear Information System (INIS)

    Lu Yan; Yan Changling; Gao Shuyan

    2009-01-01

    In this paper, a surface molecular imprinting technique was reported for preparing core-shell microbeads of protein imprinting, and bovine hemoglobin or bovine serum albumin were used as model proteins for studying the imprinted core-shell microbeads. 3-Aminophenylboronic acid (APBA) was polymerized onto the surface of polystyrene microbead in the presence of the protein templates to create protein-imprinted core-shell microbeads. The various samples were characterized using scanning electron microscopy (SEM), transmission electron microscopy (TEM), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and Brunauer-Emmett-Teller (BET) methods. The effect of pH on rebinding of the template hemoglobin, the specific binding and selective recognition were studied for the imprinted microbeads. The results show that the bovine hemoglobin-imprinted core-shell microbeads were successfully created. The shell was a sort of imprinted thin films with porous structure and larger surface areas. The imprinted microbeads have good selectivity for templates and high stability. Due to the recognition sites locating at or closing to the surface, these imprinted microbeads have good property of mass-transport. Unfortunately, the imprint technology was not successfully applied to imprinting bovine serum albumin (BSA).

  6. Preparation and recognition of surface molecularly imprinted core-shell microbeads for protein in aqueous solutions

    Energy Technology Data Exchange (ETDEWEB)

    Lu Yan, E-mail: yanlu2001@sohu.com [College of Chemistry and Environmental Science, Henan Normal University, 46 Jlanshe Road, Xinxiang 453007 (China); Yan Changling; Gao Shuyan [College of Chemistry and Environmental Science, Henan Normal University, 46 Jlanshe Road, Xinxiang 453007 (China)

    2009-04-01

    In this paper, a surface molecular imprinting technique was reported for preparing core-shell microbeads of protein imprinting, and bovine hemoglobin or bovine serum albumin were used as model proteins for studying the imprinted core-shell microbeads. 3-Aminophenylboronic acid (APBA) was polymerized onto the surface of polystyrene microbead in the presence of the protein templates to create protein-imprinted core-shell microbeads. The various samples were characterized using scanning electron microscopy (SEM), transmission electron microscopy (TEM), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and Brunauer-Emmett-Teller (BET) methods. The effect of pH on rebinding of the template hemoglobin, the specific binding and selective recognition were studied for the imprinted microbeads. The results show that the bovine hemoglobin-imprinted core-shell microbeads were successfully created. The shell was a sort of imprinted thin films with porous structure and larger surface areas. The imprinted microbeads have good selectivity for templates and high stability. Due to the recognition sites locating at or closing to the surface, these imprinted microbeads have good property of mass-transport. Unfortunately, the imprint technology was not successfully applied to imprinting bovine serum albumin (BSA).

  7. Influence of surface-imprinted nanoparticles on trypsin activity.

    Science.gov (United States)

    Guerreiro, António; Poma, Alessandro; Karim, Kal; Moczko, Ewa; Takarada, Jessica; de Vargas-Sansalvador, Isabel Perez; Turner, Nicholas; Piletska, Elena; de Magalhães, Cristiana Schmidt; Glazova, Natalia; Serkova, Anastasia; Omelianova, Aleksandra; Piletsky, Sergey

    2014-09-01

    Here, the modulation of enzyme activity is presented by protein-imprinted nanoparticles produced using a solid-phase approach. Using trypsin as target, binding of the nanoparticles to the enzyme results in its inhibition or in stabilization, depending on the orientation of the immobilized enzyme used during imprinting. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. [Evolution of genomic imprinting in mammals: what a zoo!].

    Science.gov (United States)

    Proudhon, Charlotte; Bourc'his, Déborah

    2010-05-01

    Genomic imprinting imposes an obligate mode of biparental reproduction in mammals. This phenomenon results from the monoparental expression of a subset of genes. This specific gene regulation mechanism affects viviparous mammals, especially eutherians, but also marsupials to a lesser extent. Oviparous mammals, or monotremes, do not seem to demonstrate monoparental allele expression. This phylogenic confinement suggests that the evolution of the placenta imposed a selective pressure for the emergence of genomic imprinting. This physiological argument is now complemented by recent genomic evidence facilitated by the sequencing of the platypus genome, a rare modern day case of a monotreme. Analysis of the platypus genome in comparison to eutherian genomes shows a chronological and functional coincidence between the appearance of genomic imprinting and transposable element accumulation. The systematic comparative analyses of genomic sequences in different species is essential for the further understanding of genomic imprinting emergence and divergent evolution along mammalian speciation.

  9. Determination of fusaric acid in maize using molecularly imprinted SPE clean-up

    Science.gov (United States)

    A new liquid chromatography method to detect fusaric acid in maize is reported based on molecularly imprinted polymer solid phase extraction clean-up (MISPE) using mimic-templated molecularly-imprinted polymers. Picolinic acid was used as a toxin analog for imprinting polymers during a thermolytic s...

  10. New generation ion-imprinted nanocarrier for removal of Cr(VI) from wastewater

    International Nuclear Information System (INIS)

    Uygun, Murat; Feyzioğlu, Esra; Özçalışkan, Emir; Caka, Müşerref; Ergen, Aygen; Akgöl, Sinan; Denizli, Adil

    2013-01-01

    The purpose of this study was to prepare a novel ion-imprinted nanoparticle to remove Cr(VI) ions from waste water. For this, Cr(VI) ions were complexed with 2-methacryloylamido histidine (MAH) and then Cr(VI)-imprinted poly(HEMAH) nanoparticles were synthesized by surfactant-free emulsion polymerization technique. The templates, Cr(VI) ions, were removed from the nanoparticles using 0.1 M of HNO 3 solution. The specific surface area of the Cr(VI)-imprinted poly(HEMAH) nanoparticles was found to be 1,397.85 m 2 /g, and the particle size was calculated as 155.3 nm. These Cr(VI)-imprinted nanoparticles were used for the adsorption/desorption of Cr(VI) ions from its aqueous solutions. The effects of initial Cr(VI) concentration and medium pH on the Cr(VI) adsorption capacity were also studied. The maximum adsorbed amount of Cr(VI) on the imprinted nanoparticles was found to be 3,830.58 mg/g nanoparticle in pH 4.0. In order to investigate the selectivity of the imprinted nanoparticle, adsorption studies were repeated using Cr(III) ions. The selectivity results demonstrated that Cr(VI)-imprinted poly(HEMAH) nanoparticles showed high affinity for the Cr(VI) ions than Cr(III). The Cr(VI)-imprinted nanoparticles were used several times without decreasing their Cr(VI) adsorption capacities

  11. New generation ion-imprinted nanocarrier for removal of Cr(VI) from wastewater

    Science.gov (United States)

    Uygun, Murat; Feyzioğlu, Esra; Özçalışkan, Emir; Caka, Müşerref; Ergen, Aygen; Akgöl, Sinan; Denizli, Adil

    2013-08-01

    The purpose of this study was to prepare a novel ion-imprinted nanoparticle to remove Cr(VI) ions from waste water. For this, Cr(VI) ions were complexed with 2-methacryloylamido histidine (MAH) and then Cr(VI)-imprinted poly(HEMAH) nanoparticles were synthesized by surfactant-free emulsion polymerization technique. The templates, Cr(VI) ions, were removed from the nanoparticles using 0.1 M of HNO3 solution. The specific surface area of the Cr(VI)-imprinted poly(HEMAH) nanoparticles was found to be 1,397.85 m2/g, and the particle size was calculated as 155.3 nm. These Cr(VI)-imprinted nanoparticles were used for the adsorption/desorption of Cr(VI) ions from its aqueous solutions. The effects of initial Cr(VI) concentration and medium pH on the Cr(VI) adsorption capacity were also studied. The maximum adsorbed amount of Cr(VI) on the imprinted nanoparticles was found to be 3,830.58 mg/g nanoparticle in pH 4.0. In order to investigate the selectivity of the imprinted nanoparticle, adsorption studies were repeated using Cr(III) ions. The selectivity results demonstrated that Cr(VI)-imprinted poly(HEMAH) nanoparticles showed high affinity for the Cr(VI) ions than Cr(III). The Cr(VI)-imprinted nanoparticles were used several times without decreasing their Cr(VI) adsorption capacities.

  12. New generation ion-imprinted nanocarrier for removal of Cr(VI) from wastewater

    Energy Technology Data Exchange (ETDEWEB)

    Uygun, Murat, E-mail: muygun@adu.edu.tr [Adnan Menderes University, Kocarl Latin-Small-Letter-Dotless-I Vocational and Training School (Turkey); Feyzioglu, Esra; Oezcal Latin-Small-Letter-Dotless-I skan, Emir; Caka, Mueserref; Ergen, Aygen; Akgoel, Sinan [Ege University, Department of Biochemistry, Faculty of Science (Turkey); Denizli, Adil [Hacettepe University, Department of Chemistry, Faculty of Science (Turkey)

    2013-08-15

    The purpose of this study was to prepare a novel ion-imprinted nanoparticle to remove Cr(VI) ions from waste water. For this, Cr(VI) ions were complexed with 2-methacryloylamido histidine (MAH) and then Cr(VI)-imprinted poly(HEMAH) nanoparticles were synthesized by surfactant-free emulsion polymerization technique. The templates, Cr(VI) ions, were removed from the nanoparticles using 0.1 M of HNO{sub 3} solution. The specific surface area of the Cr(VI)-imprinted poly(HEMAH) nanoparticles was found to be 1,397.85 m{sup 2}/g, and the particle size was calculated as 155.3 nm. These Cr(VI)-imprinted nanoparticles were used for the adsorption/desorption of Cr(VI) ions from its aqueous solutions. The effects of initial Cr(VI) concentration and medium pH on the Cr(VI) adsorption capacity were also studied. The maximum adsorbed amount of Cr(VI) on the imprinted nanoparticles was found to be 3,830.58 mg/g nanoparticle in pH 4.0. In order to investigate the selectivity of the imprinted nanoparticle, adsorption studies were repeated using Cr(III) ions. The selectivity results demonstrated that Cr(VI)-imprinted poly(HEMAH) nanoparticles showed high affinity for the Cr(VI) ions than Cr(III). The Cr(VI)-imprinted nanoparticles were used several times without decreasing their Cr(VI) adsorption capacities.

  13. The evolution of the DLK1-DIO3 imprinted domain in mammals.

    Directory of Open Access Journals (Sweden)

    Carol A Edwards

    2008-06-01

    Full Text Available A comprehensive, domain-wide comparative analysis of genomic imprinting between mammals that imprint and those that do not can provide valuable information about how and why imprinting evolved. The imprinting status, DNA methylation, and genomic landscape of the Dlk1-Dio3 cluster were determined in eutherian, metatherian, and prototherian mammals including tammar wallaby and platypus. Imprinting across the whole domain evolved after the divergence of eutherian from marsupial mammals and in eutherians is under strong purifying selection. The marsupial locus at 1.6 megabases, is double that of eutherians due to the accumulation of LINE repeats. Comparative sequence analysis of the domain in seven vertebrates determined evolutionary conserved regions common to particular sub-groups and to all vertebrates. The emergence of Dlk1-Dio3 imprinting in eutherians has occurred on the maternally inherited chromosome and is associated with region-specific resistance to expansion by repetitive elements and the local introduction of noncoding transcripts including microRNAs and C/D small nucleolar RNAs. A recent mammal-specific retrotransposition event led to the formation of a completely new gene only in the eutherian domain, which may have driven imprinting at the cluster.

  14. Imprinting and recalling cortical ensembles.

    Science.gov (United States)

    Carrillo-Reid, Luis; Yang, Weijian; Bando, Yuki; Peterka, Darcy S; Yuste, Rafael

    2016-08-12

    Neuronal ensembles are coactive groups of neurons that may represent building blocks of cortical circuits. These ensembles could be formed by Hebbian plasticity, whereby synapses between coactive neurons are strengthened. Here we report that repetitive activation with two-photon optogenetics of neuronal populations from ensembles in the visual cortex of awake mice builds neuronal ensembles that recur spontaneously after being imprinted and do not disrupt preexisting ones. Moreover, imprinted ensembles can be recalled by single- cell stimulation and remain coactive on consecutive days. Our results demonstrate the persistent reconfiguration of cortical circuits by two-photon optogenetics into neuronal ensembles that can perform pattern completion. Copyright © 2016, American Association for the Advancement of Science.

  15. Protein imprinting and recognition via forming nanofilms on microbeads surfaces in aqueous media

    International Nuclear Information System (INIS)

    Lu Yan; Yan Changling; Wang Xuejing; Wang Gongke

    2009-01-01

    In this paler, we present a technique of forming nanofilms of poly-3-aminophenylboronic acid (pAPBA) on the surfaces of polystyrene (PS) microbeads for proteins (papain and trypsin) in aqueous. Papain was chosen as a model to study the feasibility of the technique and trypsin as an extension. Obtained core-shell microbeads were characterized using scanning electron microscopy (SEM), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and BET methods. The results show that pAPBA formed nanofilms (60-100 nm in thickness) on the surfaces of PS microbeads. The specific surface area of the papain-imprinted beads was about 180 m 2 g -1 and its pore size was 31 nm. These imprinted microbeads exhibit high recognition specificity and fast mass transfer kinetics. The specificity of these imprinted beads mainly originates from the spatial effect of imprinted sites. Because the protein-imprinted sites were located at, or close to, the surface, the imprinted beads have good site accessibility toward the template molecules. The facility of the imprinting protocol and the high recognition properties of imprinted microbeads make the approach an attractive solution to problems in the field of biotechnology.

  16. Short interspersed transposable elements (SINEs) are excluded from imprinted regions in the human genome.

    Science.gov (United States)

    Greally, John M

    2002-01-08

    To test whether regions undergoing genomic imprinting have unique genomic characteristics, imprinted and nonimprinted human loci were compared for nucleotide and retroelement composition. Maternally and paternally expressed subgroups of imprinted genes were found to differ in terms of guanine and cytosine, CpG, and retroelement content, indicating a segregation into distinct genomic compartments. Imprinted regions have been normally permissive to L1 long interspersed transposable element retroposition during mammalian evolution but universally and significantly lack short interspersed transposable elements (SINEs). The primate-specific Alu SINEs, as well as the more ancient mammalian-wide interspersed repeat SINEs, are found at significantly low densities in imprinted regions. The latter paleogenomic signature indicates that the sequence characteristics of currently imprinted regions existed before the mammalian radiation. Transitions from imprinted to nonimprinted genomic regions in cis are characterized by a sharp inflection in SINE content, demonstrating that this genomic characteristic can help predict the presence and extent of regions undergoing imprinting. During primate evolution, SINE accumulation in imprinted regions occurred at a decreased rate compared with control loci. The constraint on SINE accumulation in imprinted regions may be mediated by an active selection process. This selection could be because of SINEs attracting and spreading methylation, as has been found at other loci. Methylation-induced silencing could lead to deleterious consequences at imprinted loci, where inactivation of one allele is already established, and expression is often essential for embryonic growth and survival.

  17. Polymer Catalysts Imprinted with Metal Ions as Biomimics of Metalloenzymes

    Directory of Open Access Journals (Sweden)

    Joanna Czulak

    2013-01-01

    Full Text Available This work presents the preparation and properties of molecularly imprinted polymers (MIPs with catalytic centers that mimic the active sites of metalloenzymes. The MIP synthesis was based on suspension polymerization of functional monomers (4-vinylpyridine and acrylonitrile with trimethylolpropane trimethacrylate as a crosslinker in the presence of transition metal ions and 4-methoxybenzyl alcohol as a template. Four metal ions have been chosen for imprinting from among the microelements that are the most essential in the native enzymes: Cu2+, Co2+, Mn2+, and Zn2+. To prepare catalysts, the required loading of metal ions was obtained during sorption process. The catalysts imprinted with Cu2+, Co2+, and Zn2+ were successfully used for hydroquinone oxidation in the presence of hydrogen peroxide. The Mn2+-imprinted catalyst showed no activity due to the insufficient metal loading. Cu2+ MIP showed the highest efficiency. In case of Cu- and Co-MIP catalysts, their activity was additionally increased by the use of surface imprinting technique.

  18. Evaluation of accuracy of intra operative imprint cytology for detection of breast lesions

    International Nuclear Information System (INIS)

    Mahmood, Z.; Shahbaz, A.; Qureshi, A.; Aziz, N.; Niazi, S.; Qureshi, S.; Bukhari, M.H.

    2010-01-01

    Objective: To determine the accuracy of imprint cytology as an intraoperative diagnostic procedure for breast lesions with histopathological correlation. Materials and Methods: This was a descriptive study on 40 cases of breast lesions comprising of inflammatory, benign and malignant lesions including their margins etc. It was conducted at King Edward Medical University, Lahore in collaboration with all Surgical Departments of Mayo Hospital. Relevant clinical data was recorded in a proforma. Both touch and scrape imprints were prepared from all the lesions and stained with May-Grunwaled Giemsa and Haematoxylin and Eosin stains. The imprints were subsequently compared with histopathology sections. Results: When we used atypical cases as negative both touch and scrape imprints gave sensitivity, specificity, positive predictive value, negative predictive value and accuracy at 100%. However when we used cases with atypia as positive, sensitivity and negative predictive value were 100% with both touch and scrape imprints. Specificity, positive predictive value and accuracy were 71%, 86%, 85.5% respectively with touch imprints and 78%, 89%, 89% respectively with scrape imprints. No diagnostic difference was noted between the results of both stains. All the imprints were well correlated with histopathological diagnosis. Conclusion: Imprint cytology is an accurate and simple intraoperative method for diagnosing breast lesions. It can provide the surgeons with information regarding immediate clinical and surgical interventions. (author)

  19. Charge storage in mesoscopic graphitic islands fabricated using AFM bias lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kurra, Narendra; Basavaraja, S; Kulkarni, G U [Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur PO, Bangalore 560 064 (India); Prakash, Gyan; Fisher, Timothy S; Reifenberger, Ronald G, E-mail: kulkarni@jncasr.ac.in, E-mail: reifenbr@purdue.edu [Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States)

    2011-06-17

    Electrochemical oxidation and etching of highly oriented pyrolytic graphite (HOPG) has been achieved using biased atomic force microscopy (AFM) lithography, allowing patterns of varying complexity to be written into the top layers of HOPG. The graphitic oxidation process and the trench geometry after writing were monitored using intermittent contact mode AFM. Electrostatic force microscopy reveals that the isolated mesoscopic islands formed during the AFM lithography process become positively charged, suggesting that they are laterally isolated from the surrounding HOPG substrate. The electrical transport studies of these laterally isolated finite-layer graphitic islands enable detailed characterization of electrical conduction along the c-direction and reveal an unexpected stability of the charged state. Utilizing conducting-atomic force microscopy, the measured I(V) characteristics revealed significant non-linearities. Micro-Raman studies confirm the presence of oxy functional groups formed during the lithography process.

  20. Schedules of electric shock presentation in the behavioral control of imprinted ducklings.

    Science.gov (United States)

    Barrett, J E

    1972-09-01

    The behavioral effects of various schedules of electric shock presentation were investigated during and after the imprinting of Peking ducklings to moving stimuli. The behavior of following a moving imprinted stimulus was differentially controlled by a multiple schedule of punishment and avoidance that respectively suppressed and maintained following behavior. Pole-pecking, reinforced by presentations of the imprinted stimulus, was suppressed by response-produced shock (punishment); various schedules of response-independent shock and delayed punishment had an overall minimal effect. The delivery of response-independent shock in the presence of one of two stimuli, both during and after imprinting, resulted in a marked reduction in choice of the stimulus paired with shock. The experiments provide no support for a differentiation of imprinting from learning on the basis of the behavioral effects of aversive stimuli. Instead, as is the case with other organisms, the schedule under which shock is delivered to imprinted ducklings appears to be an important determinant of the temporal patterning of subsequent behavior.

  1. New molecular imprinted voltammetric sensor for determination of ochratoxin A

    Energy Technology Data Exchange (ETDEWEB)

    Yola, Mehmet Lütfi, E-mail: mehmetyola@gmail.com [Department of Metallurgical and Materials Engineering, Faculty of Engineering, Sinop University, Sinop (Turkey); Gupta, Vinod Kumar, E-mail: vinodfcy@iitr.ac.in [Indian Institute of Technology, Department of Chemistry, Roorkee, Roorkee 247667 (India); Department of Applied Chemistry, University of Johannesburg, Johannesburg (South Africa); Atar, Necip [Department of Chemical Engineering, Faculty of Engineering, Pamukkale University, Denizli (Turkey)

    2016-04-01

    In this report, a novel molecular imprinted voltammetric sensor based on silver nanoparticles (AgNPs) involved in a polyoxometalate (H{sub 3}PW{sub 12}O{sub 40}, POM) functionalized reduced graphene oxide (rGO) modified glassy carbon electrode (GCE) was presented for determination of ochrattoxin A (OCH). The developed surfaces were characterized using scanning electron microscope (SEM), transmission electron microscope (TEM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD) method. OCH imprinted GCE was prepared via electropolymerization process of 100 mM phenol as monomer in the presence of phosphate buffer solution (pH 6.0) containing 25 mM OCH. The linearity range and the detection limit of the method were calculated as 5.0 × 10{sup −11} − 1.5 × 10{sup −9} M and 1.6 × 10{sup −11} M, respectively. The voltammetric sensor was applied to grape juice and wine samples with good selectivity and recovery. The stability of the voltammetric sensor was also reported. - Highlights: • Ochratoxin A-imprinted electrochemical sensor is developed for the sensitive detection of ochratoxin A • The nanomaterial and ochratoxin A-imprinted surfaces were characterized by several methods • Ochratoxin A-imprinted electrochemical sensor is sensitive and selective in analysis of food • Ochratoxin A-imprinted electrochemical sensor is preferred to the other methods.

  2. Sensitive determination of citrinin based on molecular imprinted electrochemical sensor

    Energy Technology Data Exchange (ETDEWEB)

    Atar, Necip [Department of Chemical Engineering, Faculty of Engineering, Pamukkale University, Denizli (Turkey); Yola, Mehmet Lütfi, E-mail: mehmetyola@gmail.com [Department of Metallurgical and Materials Engineering, Faculty of Engineering, Sinop University, Sinop (Turkey); Eren, Tanju [Department of Chemical Engineering, Faculty of Engineering, Pamukkale University, Denizli (Turkey)

    2016-01-30

    Graphical abstract: - Highlights: • Citrinin-imprinted electrochemical sensor is developed for the sensitive detection of citrinin. • The nanomaterial and citrinin-imprinted surfaces were characterized by several methods. • Citrinin-imprinted electrochemical sensor is sensitive and selective in analysis of food. • Citrinin-imprinted electrochemical sensor is preferred to the other methods. - Abstract: In this report, a novel molecular imprinted voltammetric sensor based on glassy carbon electrode (GCE) modified with platinum nanoparticles (PtNPs) involved in a polyoxometalate (H{sub 3}PW{sub 12}O{sub 40}, POM) functionalized reduced graphene oxide (rGO) was prepared for the determination of citrinin (CIT). The developed surfaces were characterized by using scanning electron microscope (SEM), transmission electron microscope (TEM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD) method. CIT imprinted GCE was prepared via electropolymerization process of 80.0 mM pyrrole as monomer in the presence of phosphate buffer solution (pH 6.0) containing 20.0 mM CIT. The linearity range and the detection limit of the developed method were calculated as 1.0 × 10{sup −12}–1.0 × 10{sup −10} M and 2.0 × 10{sup −13} M, respectively. In addition, the voltammetric sensor was applied to rye samples. The stability and selectivity of the voltammetric sensor were also reported.

  3. Sensitive determination of citrinin based on molecular imprinted electrochemical sensor

    International Nuclear Information System (INIS)

    Atar, Necip; Yola, Mehmet Lütfi; Eren, Tanju

    2016-01-01

    Graphical abstract: - Highlights: • Citrinin-imprinted electrochemical sensor is developed for the sensitive detection of citrinin. • The nanomaterial and citrinin-imprinted surfaces were characterized by several methods. • Citrinin-imprinted electrochemical sensor is sensitive and selective in analysis of food. • Citrinin-imprinted electrochemical sensor is preferred to the other methods. - Abstract: In this report, a novel molecular imprinted voltammetric sensor based on glassy carbon electrode (GCE) modified with platinum nanoparticles (PtNPs) involved in a polyoxometalate (H_3PW_1_2O_4_0, POM) functionalized reduced graphene oxide (rGO) was prepared for the determination of citrinin (CIT). The developed surfaces were characterized by using scanning electron microscope (SEM), transmission electron microscope (TEM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD) method. CIT imprinted GCE was prepared via electropolymerization process of 80.0 mM pyrrole as monomer in the presence of phosphate buffer solution (pH 6.0) containing 20.0 mM CIT. The linearity range and the detection limit of the developed method were calculated as 1.0 × 10"−"1"2–1.0 × 10"−"1"0 M and 2.0 × 10"−"1"3 M, respectively. In addition, the voltammetric sensor was applied to rye samples. The stability and selectivity of the voltammetric sensor were also reported.

  4. New molecular imprinted voltammetric sensor for determination of ochratoxin A

    International Nuclear Information System (INIS)

    Yola, Mehmet Lütfi; Gupta, Vinod Kumar; Atar, Necip

    2016-01-01

    In this report, a novel molecular imprinted voltammetric sensor based on silver nanoparticles (AgNPs) involved in a polyoxometalate (H_3PW_1_2O_4_0, POM) functionalized reduced graphene oxide (rGO) modified glassy carbon electrode (GCE) was presented for determination of ochrattoxin A (OCH). The developed surfaces were characterized using scanning electron microscope (SEM), transmission electron microscope (TEM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD) method. OCH imprinted GCE was prepared via electropolymerization process of 100 mM phenol as monomer in the presence of phosphate buffer solution (pH 6.0) containing 25 mM OCH. The linearity range and the detection limit of the method were calculated as 5.0 × 10"−"1"1 − 1.5 × 10"−"9 M and 1.6 × 10"−"1"1 M, respectively. The voltammetric sensor was applied to grape juice and wine samples with good selectivity and recovery. The stability of the voltammetric sensor was also reported. - Highlights: • Ochratoxin A-imprinted electrochemical sensor is developed for the sensitive detection of ochratoxin A • The nanomaterial and ochratoxin A-imprinted surfaces were characterized by several methods • Ochratoxin A-imprinted electrochemical sensor is sensitive and selective in analysis of food • Ochratoxin A-imprinted electrochemical sensor is preferred to the other methods

  5. Adsorption characteristics, recognition properties, and preliminary application of nordihydroguaiaretic acid molecularly imprinted polymers prepared by sol–gel surface imprinting technology

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Sen; Zhang, Wen; Long, Wei; Hou, Dan; Yang, Xuechun; Tan, Ni, E-mail: tannii@21cn.com

    2016-02-28

    Graphical abstract: - Highlights: • Nordihydroguaiaretic acid imprinted polymer with imprinting factor 2.12 was prepared for the first time through hydrogen bonding and hydrophobic interaction between the template molecules and the bifunctional monomers. • The obtained surface molecularly imprinting polymers exhibited high affinity and selectivity to the template molecules. • The prepared surface molecularly imprinted polymers were used in separation the natural active component nordihydroguaiaretic acid from medicinal plants. - Abstract: In this paper, a new core-shell composite of nordihydroguaiaretic acid (NDGA) molecularly imprinted polymers layer-coated silica gel (MIP@SiO{sub 2}) was prepared through sol–gel technique and applied as a material for extraction of NDGA from Ephedra. It was synthesized using NDGA as the template molecule, γ-aminopropyltriethoxysilane (APTS) and methyltriethoxysilane (MTEOS) as the functional monomers, tetraethyl orthosilicate (TEOS) as the cross-linker and ethanol as the porogenic solvent in the surface of silica. The non-imprinted polymers layer-coated silica gel (NIP@SiO{sub 2}) were prepared with the same procedure, but with the absence of template molecule. In addition, the optimum adsorption affinity occurred when the molar ratio of NDGA:APTS:MTEOS:TEOS was 1:6:2:80. The prepared MIP@SiO{sub 2} and NIP@SiO{sub 2} were analyzed by scanning electron microscopy (SEM), thermogravimetric analysis (TGA), and Fourier transform-infrared spectroscopy (FT-IR). Their affinity properties to NDGA were evaluated through dynamic adsorption, static adsorption, and selective recognition experiments, and the results showed the saturated adsorption capacity of MIP@SiO{sub 2} could reach to 5.90 mg g{sup −1}, which was two times more than that of NIP@SiO{sub 2}. High performance liquid chromatography (HPLC) was used to evaluate the extraction of NDGA from the medicinal plant ephedra by the above prepared materials, and the results

  6. Adsorption characteristics, recognition properties, and preliminary application of nordihydroguaiaretic acid molecularly imprinted polymers prepared by sol–gel surface imprinting technology

    International Nuclear Information System (INIS)

    Liao, Sen; Zhang, Wen; Long, Wei; Hou, Dan; Yang, Xuechun; Tan, Ni

    2016-01-01

    Graphical abstract: - Highlights: • Nordihydroguaiaretic acid imprinted polymer with imprinting factor 2.12 was prepared for the first time through hydrogen bonding and hydrophobic interaction between the template molecules and the bifunctional monomers. • The obtained surface molecularly imprinting polymers exhibited high affinity and selectivity to the template molecules. • The prepared surface molecularly imprinted polymers were used in separation the natural active component nordihydroguaiaretic acid from medicinal plants. - Abstract: In this paper, a new core-shell composite of nordihydroguaiaretic acid (NDGA) molecularly imprinted polymers layer-coated silica gel (MIP@SiO_2) was prepared through sol–gel technique and applied as a material for extraction of NDGA from Ephedra. It was synthesized using NDGA as the template molecule, γ-aminopropyltriethoxysilane (APTS) and methyltriethoxysilane (MTEOS) as the functional monomers, tetraethyl orthosilicate (TEOS) as the cross-linker and ethanol as the porogenic solvent in the surface of silica. The non-imprinted polymers layer-coated silica gel (NIP@SiO_2) were prepared with the same procedure, but with the absence of template molecule. In addition, the optimum adsorption affinity occurred when the molar ratio of NDGA:APTS:MTEOS:TEOS was 1:6:2:80. The prepared MIP@SiO_2 and NIP@SiO_2 were analyzed by scanning electron microscopy (SEM), thermogravimetric analysis (TGA), and Fourier transform-infrared spectroscopy (FT-IR). Their affinity properties to NDGA were evaluated through dynamic adsorption, static adsorption, and selective recognition experiments, and the results showed the saturated adsorption capacity of MIP@SiO_2 could reach to 5.90 mg g"−"1, which was two times more than that of NIP@SiO_2. High performance liquid chromatography (HPLC) was used to evaluate the extraction of NDGA from the medicinal plant ephedra by the above prepared materials, and the results indicated that the MIP@SiO_2 had

  7. Synthesis of molecularly imprinted dye-silica nanocomposites with high selectivity and sensitivity: Fluorescent imprinted sensor for rapid and efficient detection of τ-fluvalinate in vodka.

    Science.gov (United States)

    Wang, Yunyun; Wang, Jixiang; Cheng, Rujia; Sun, Lin; Dai, Xiaohui; Yan, Yongsheng

    2018-04-01

    An imprinted fluorescent sensor was fabricated based on SiO 2 nanoparticles encapsulated with a molecularly imprinted polymer containing allyl fluorescein. High fluorine cypermethirin as template molecules, methyl methacrylate as functional monomer, and allyl fluorescein as optical materials synthesized a core-shell fluorescent molecular imprinted sensor, which showed a high and rapid sensitivity and selectivity for the detection of τ-fluvalinate. The sensor presented appreciable sensitivity with a limit of 13.251 nM, rapid detection that reached to equilibrium within 3 min, great linear relationship in the relevant concentration range from 0 to 150 nM, and excellent selectivity over structural analogues. In addition, the fluorescent sensor demonstrated desirable regeneration ability (eight cycling operations). The molecularly imprinted polymers ensured specificity, while the fluorescent dyes provided the stabile sensitivity. Finally, an effective application of the sensor was implemented by the detection of τ-fluvalinate in real samples from vodka. The molecularly imprinted fluorescent sensor showed a promising potential in environmental monitoring and food safety. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Report of the fifth workshop on synchrotron x-ray lithography

    International Nuclear Information System (INIS)

    Williams, G.P.; Godel, J.B.; Brown, G.S.

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the ''Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources

  9. Report of the fifth workshop on synchrotron x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G.P.; Godel, J.B. (Brookhaven National Lab., Upton, NY (USA)); Brown, G.S. (Stanford Univ., CA (USA). Stanford Synchrotron Radiation Lab.); Liebmann, W. (Suss (Karl) America, Essex Junction, VT (USA))

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources.

  10. Report on the fifth workshop on synchrotron x ray lithography

    Science.gov (United States)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  11. Fabrication of a cost-effective polymer nanograting as a disposable plasmonic biosensor using nanoimprint lithography

    Science.gov (United States)

    Mohapatra, Saswat; Kumari, Sudha; Moirangthem, Rakesh S.

    2017-07-01

    A simple and cost-effective flexible plasmonic sensor is developed using a gold-coated polymer nanograting structure prepared via soft UV nanoimprint lithography. The sub-wavelength nanograting patterns of digital versatile discs were used as a template to prepare the polydimethylsiloxane stamp. The plasmonic sensing substrate was achieved after coating a gold thin film on top of the imprinted nanograting sample. The surface plasmon resonance (SPR) modes excited on the gold-coated nanograting structure appeared as a dip in the reflectance spectrum measured at normal incidence under white light illumination in the ambient air medium. Electromagnetic simulation based on the finite element method was carried out to analyze the excited SPR modes. The simulated result shows very close agreement with the experimental data. The performance of the sensor with respect to changing the surrounding dielectric medium yields a bulk refractive index sensitivity of 788  ±  21 nm per refractive index unit. Further, label-free detection of proteins using a plasmonic sensing substrate was demonstrated by monitoring specific interactions between bovine serum albumin (BSA) and anti-BSA proteins, which gave a detection limit of 123 pg mm-2 with respect to target anti-BSA protein binding. Thus, our proposed plasmonic sensor has potential for the development of an economical and highly sensitive label-free optical biosensing device for biomedical applications.

  12. Maskless, parallel patterning with zone-plate array lithography

    International Nuclear Information System (INIS)

    Carter, D. J. D.; Gil, Dario; Menon, Rajesh; Mondol, Mark K.; Smith, Henry I.; Anderson, Erik H.

    1999-01-01

    Zone-plate array lithography (ZPAL) is a maskless lithography scheme that uses an array of shuttered zone plates to print arbitrary patterns on a substrate. An experimental ultraviolet ZPAL system has been constructed and used to simultaneously expose nine different patterns with a 3x3 array of zone plates in a quasidot-matrix fashion. We present exposed patterns, describe the system design and construction, and discuss issues essential to a functional ZPAL system. We also discuss another ZPAL system which operates with 4.5 nm x radiation from a point source. We present simulations which show that, with our existing x-ray zone plates and this system, we should be able to achieve 55 nm resolution. (c) 1999 American Vacuum Society

  13. Characterization of Conserved and Nonconserved Imprinted Genes in Swine

    Science.gov (United States)

    Genomic imprinting results in the silencing of a subset of mammalian alleles due to parent-of-origin inheritance. Due to the nature of their expression patterns they play a critical role in placental and early embryonic development. In order to increase our understanding of imprinted genes specifi...

  14. Pyrogallol-imprinted polymers with methyl methacrylate via precipitation polymerization

    Science.gov (United States)

    Mehamod, Faizatul Shimal; Othman, Nor Amira; Bulat, Ku Halim Ku; Suah, Faiz Bukhari Mohd

    2018-06-01

    Molecular simulation techniques are important to study the understanding of chemical and physical properties of any material. Computational modeling is considered as time reducer in finding the best recipes for Molecularly-Imprinted Polymers (MIPs). In this study, Pyrogallol-imprinted polymers (PIP) and non-imprinted polymers (NIPs) were synthesized via precipitation polymerization using Pyrogallol (Py), methyl methacrylate (MMA), divinylbenzene (DVB) as template, functional monomer and cross-linker, respectively. The recipe was according to the results from computational techniques. The synthesized PIP and NIPs were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), Brunauer-Emmett-Teller (BET) and UV-visible spectroscopy (UV-vis). Studies on adsorption isotherm showed that PIP and NIPs follow Scatchard isotherm models. Sorption kinetic study found that PIP and NIPs follow pseudo-second order which indicates the rate-limiting step is the surface adsorption. The imprinting factor of PIP was determined by selectivity study and showed the value of k >1, which proved that PIP was selective toward Pyrogallol compared to NIP.

  15. Halloysite-based dopamine-imprinted polymer for selective protein capture.

    Science.gov (United States)

    Zhu, Xiaohong; Li, Hui; Liu, Hui; Peng, Wei; Zhong, Shian; Wang, Yan

    2016-06-01

    We describe a facile, general, and highly efficient approach to obtain polydopamine-coated molecularly imprinted polymer based on halloysite nanotubes for bovine serum albumin. The method combined surface molecular imprinting and one-step immobilized template technique. Hierarchically structured polymer was prepared in physiological conditions adopting dopamine as functional monomer. A thin layer of polydopamine can be coated on the surface of amino-modified halloysite nanotubes by self-polymerization, and the thickness of the imprinted shells can be controlled by the mass ratio of matrix and dopamine. The polymer was characterized by Fourier transform infrared spectrometry, transmission electron microscopy, and thermogravimetric analysis. The prepared material showed high binding capacity (45.4 mg/g) and specific recognition behavior toward the template protein. In addition, stability and regeneration analyses indicated that the imprinted polymer exhibited excellent reusability (relative standard deviation < 9% for batch-to-batch evaluation). Therefore, the developed polymer is effective for protein recognition and separation. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  17. Nano- and Micro-sized Molecularly Imprinted Polymer Particles on Solid Surfaces

    OpenAIRE

    Kamra, Tripta

    2015-01-01

    Molecularly imprinted polymers (MIPs) are artificial receptors made by imprinting template molecules in a polymer matrix followed by their removal through washing to obtain a specific and selective template cavities. This property of the MIPs have made them a very efficient material for diverse applications such as chromatography, purification, drug sensing, etc. Recently, zero-dimensional polymer materials, in the present case molecularly imprinted polymer nanoparticles (MIP nanoparticles), ...

  18. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    Science.gov (United States)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  19. Histopathology-like categories based on endometrial imprint cytology in dysfunctional uterine bleeding.

    Science.gov (United States)

    Baxi, Seema N; Panchal, Nirav S

    2015-01-01

    Cytology of the endometrium is an underused technique in diagnostic pathology. It has been used in the past for endometrial hyperplasia and carcinoma. Only few studies have used cytology in the diagnosis of dysfunctional uterine bleeding (DUB). Endometrial imprint cytology has been rarely used except for application of immunocytochemistry in diagnosis of endometrial carcinoma. The present study was conducted to evaluate whether it is possible to assign histopathology-like diagnosis by imprint cytology and also to evaluate its usefulness in the assessment of patients of dysfunctional uterine bleeding of low clinical suspicion. Imprint smears were made from 93 curettage materials during a study of DUB. Blinded analysis of imprint smears was performed by using McKenzie's criteria and some criteria devised for the requirements of this study. Results of cytology were correlated with histopathology. Statistical analysis was carried out by GraphpadInStat Demo. Majority of the patterns classifiable in histopathology could also be classified in this study on imprint cytology. The overall sensitivity and specificity of cytology in the detection of endometrial patterns in DUB patients were 91.23% and 83.87%, respectively, although the sensitivities and specificities differ according to the phase of endometrium. Histopathology-like categories can be assigned on imprint smears in the diagnosis of DUB. Endometrial imprint cytology can be helpful in centers where histopathology laboratories are not available and even in well-established institutes. It is possible to improve the sensitivity and specificity with better imprinting techniques.

  20. Polarization imprint effects on the photovoltaic effect in Pb(Zr,Ti)O3 thin films

    Science.gov (United States)

    Tan, Zhengwei; Tian, Junjiang; Fan, Zhen; Lu, Zengxing; Zhang, Luyong; Zheng, Dongfeng; Wang, Yadong; Chen, Deyang; Qin, Minghui; Zeng, Min; Lu, Xubing; Gao, Xingsen; Liu, Jun-Ming

    2018-04-01

    The polarization imprint along with the photovoltaic (PV) effect has been studied in Pt/Pb(Zr0.3Ti0.7)O3/SrRuO3 ferroelectric capacitors. It is shown that the positive DC poling induces the imprint with a downward direction whereas the negative DC poling suppresses the imprint (i.e., rejuvenation). In the polarization up state, the imprinted capacitor exhibits degraded PV properties compared with the rejuvenated one. This may be because the imprint reduces the number of upward domains, thus lowering the driving force for the PV effect. In the polarization down state, however, the rejuvenated capacitor enters the imprinted state spontaneously. This rejuvenation-to-imprint transition can be further aggravated by applying positive voltages and ultraviolet illumination. It is proposed that the domain pinning/depinning, which are associated with the oxygen vacancies and trapped electrons modulated by polarization, voltage, and illumination, may be responsible for the polarization imprint and rejuvenation. Our study therefore sheds light on the correlation between the polarization imprint and the PV effect in the ferroelectrics and also provides some viable suggestions to address the imprint-induced degradation of PV performance.

  1. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    International Nuclear Information System (INIS)

    Li Yi-Gui; Yang Chun-Sheng; Liu Jing-Quan; Sugiyama Susumu

    2011-01-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm 2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost. (cross-disciplinary physics and related areas of science and technology)

  2. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    Science.gov (United States)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  3. Synthesis and Theoretical Study of Molecularly Imprinted Nanospheres for Recognition of Tocopherols

    Directory of Open Access Journals (Sweden)

    Chartchalerm Isarankura-Na-Ayudhya

    2009-08-01

    Full Text Available Molecular imprinting is a technology that facilitates the production of artificial receptors toward compounds of interest. The molecularly imprinted polymers act as artificial antibodies, artificial receptors, or artificial enzymes with the added benefit over their biological counterparts of being highly durable. In this study, we prepared molecularly imprinted polymers for the purpose of binding specifically to tocopherol (vitamin E and its derivative, tocopherol acetate. Binding of the imprinted polymers to the template was found to be two times greater than that of the control, non-imprinted polymers, when using only 10 mg of polymers. Optimization of the rebinding solvent indicated that ethanol-water at a molar ratio of 6:4 (v/v was the best solvent system as it enhanced the rebinding performance of the imprinted polymers toward both tocopherol and tocopherol acetate with a binding capacity of approximately 2 mg/g of polymer. Furthermore, imprinted nanospheres against tocopherol was successfully prepared by precipitation polymerization with ethanol-water at a molar ratio of 8:2 (v/v as the optimal rebinding solvent. Computer simulation was also performed to provide mechanistic insights on the binding mode of template-monomer complexes. Such polymers show high potential for industrial and medical applications, particularly for selective separation of tocopherol and derivatives.

  4. Imprinting modulates processing of visual information in the visual wulst of chicks

    Directory of Open Access Journals (Sweden)

    Uchimura Motoaki

    2006-11-01

    Full Text Available Abstract Background Imprinting behavior is one form of learning and memory in precocial birds. With the aim of elucidating of the neural basis for visual imprinting, we focused on visual information processing. Results A lesion in the visual wulst, which is similar functionally to the mammalian visual cortex, caused anterograde amnesia in visual imprinting behavior. Since the color of an object was one of the important cues for imprinting, we investigated color information processing in the visual wulst. Intrinsic optical signals from the visual wulst were detected in the early posthatch period and the peak regions of responses to red, green, and blue were spatially organized from the caudal to the nasal regions in dark-reared chicks. This spatial representation of color recognition showed plastic changes, and the response pattern along the antero-posterior axis of the visual wulst altered according to the color the chick was imprinted to. Conclusion These results indicate that the thalamofugal pathway is critical for learning the imprinting stimulus and that the visual wulst shows learning-related plasticity and may relay processed visual information to indicate the color of the imprint stimulus to the memory storage region, e.g., the intermediate medial mesopallium.

  5. 21 CFR 330.3 - Imprinting of solid oral dosage form drug products.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 5 2010-04-01 2010-04-01 false Imprinting of solid oral dosage form drug products... AS SAFE AND EFFECTIVE AND NOT MISBRANDED General Provisions § 330.3 Imprinting of solid oral dosage form drug products. A requirement to imprint an identification code on solid oral dosage form drug...

  6. DNA sequence polymorphisms in a panel of eight candidate bovine imprinted genes and their association with performance traits in Irish Holstein-Friesian cattle

    Directory of Open Access Journals (Sweden)

    Mullen Michael P

    2010-10-01

    Full Text Available Abstract Background Studies in mice and humans have shown that imprinted genes, whereby expression from one of the two parentally inherited alleles is attenuated or completely silenced, have a major effect on mammalian growth, metabolism and physiology. More recently, investigations in livestock species indicate that genes subject to this type of epigenetic regulation contribute to, or are associated with, several performance traits, most notably muscle mass and fat deposition. In the present study, a candidate gene approach was adopted to assess 17 validated single nucleotide polymorphisms (SNPs and their association with a range of performance traits in 848 progeny-tested Irish Holstein-Friesian artificial insemination sires. These SNPs are located proximal to, or within, the bovine orthologs of eight genes (CALCR, GRB10, PEG3, PHLDA2, RASGRF1, TSPAN32, ZIM2 and ZNF215 that have been shown to be imprinted in cattle or in at least one other mammalian species (i.e. human/mouse/pig/sheep. Results Heterozygosities for all SNPs analysed ranged from 0.09 to 0.46 and significant deviations from Hardy-Weinberg proportions (P ≤ 0.01 were observed at four loci. Phenotypic associations (P ≤ 0.05 were observed between nine SNPs proximal to, or within, six of the eight analysed genes and a number of performance traits evaluated, including milk protein percentage, somatic cell count, culled cow and progeny carcass weight, angularity, body conditioning score, progeny carcass conformation, body depth, rump angle, rump width, animal stature, calving difficulty, gestation length and calf perinatal mortality. Notably, SNPs within the imprinted paternally expressed gene 3 (PEG3 gene cluster were associated (P ≤ 0.05 with calving, calf performance and fertility traits, while a single SNP in the zinc finger protein 215 gene (ZNF215 was associated with milk protein percentage (P ≤ 0.05, progeny carcass weight (P ≤ 0.05, culled cow carcass weight (P ≤ 0

  7. DNA sequence polymorphisms in a panel of eight candidate bovine imprinted genes and their association with performance traits in Irish Holstein-Friesian cattle

    Science.gov (United States)

    2010-01-01

    Background Studies in mice and humans have shown that imprinted genes, whereby expression from one of the two parentally inherited alleles is attenuated or completely silenced, have a major effect on mammalian growth, metabolism and physiology. More recently, investigations in livestock species indicate that genes subject to this type of epigenetic regulation contribute to, or are associated with, several performance traits, most notably muscle mass and fat deposition. In the present study, a candidate gene approach was adopted to assess 17 validated single nucleotide polymorphisms (SNPs) and their association with a range of performance traits in 848 progeny-tested Irish Holstein-Friesian artificial insemination sires. These SNPs are located proximal to, or within, the bovine orthologs of eight genes (CALCR, GRB10, PEG3, PHLDA2, RASGRF1, TSPAN32, ZIM2 and ZNF215) that have been shown to be imprinted in cattle or in at least one other mammalian species (i.e. human/mouse/pig/sheep). Results Heterozygosities for all SNPs analysed ranged from 0.09 to 0.46 and significant deviations from Hardy-Weinberg proportions (P ≤ 0.01) were observed at four loci. Phenotypic associations (P ≤ 0.05) were observed between nine SNPs proximal to, or within, six of the eight analysed genes and a number of performance traits evaluated, including milk protein percentage, somatic cell count, culled cow and progeny carcass weight, angularity, body conditioning score, progeny carcass conformation, body depth, rump angle, rump width, animal stature, calving difficulty, gestation length and calf perinatal mortality. Notably, SNPs within the imprinted paternally expressed gene 3 (PEG3) gene cluster were associated (P ≤ 0.05) with calving, calf performance and fertility traits, while a single SNP in the zinc finger protein 215 gene (ZNF215) was associated with milk protein percentage (P ≤ 0.05), progeny carcass weight (P ≤ 0.05), culled cow carcass weight (P ≤ 0.01), angularity (P

  8. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  9. Imprinting diseases and IVF: Danish National IVF cohort study

    DEFF Research Database (Denmark)

    Lidegaard, Ojvind; Pinborg, Anja; Andersen, Anders Nyboe

    2005-01-01

    The aim of this study was to compare the frequency of imprinting diseases in children born after IVF with the incidence in naturally conceived children.......The aim of this study was to compare the frequency of imprinting diseases in children born after IVF with the incidence in naturally conceived children....

  10. DNA replication: stalling a fork for imprinting and switching

    DEFF Research Database (Denmark)

    Egel, Richard

    2004-01-01

    Mating-type switching in fission yeast has long been known to be directed by a DNA 'imprint'. This imprint has now been firmly characterized as a protected site-specific and strand-specific nick. New work also links the widely conserved Swi1-Swi3 complex to the protection of stalled replication...

  11. ZFP57 maintains the parent-of-origin-specific expression of the imprinted genes and differentially affects non-imprinted targets in mouse embryonic stem cells

    DEFF Research Database (Denmark)

    Riso, Vincenzo; Cammisa, Marco; Kukreja, Harpreet

    2016-01-01

    ZFP57 is necessary for maintaining repressive epigenetic modifications at Imprinting control regions (ICRs). In mouse embryonic stem cells (ESCs), ZFP57 binds ICRs (ICRBS) and many other loci (non-ICRBS). To address the role of ZFP57 on all its target sites, we performed high-throughput and multi......-locus analyses of inbred and hybrid mouse ESC lines carrying different gene knockouts. By using an allele-specific RNA-seq approach, we demonstrate that ZFP57 loss results in derepression of the imprinted allele of multiple genes in the imprinted clusters. We also find marked epigenetic differences between ICRBS...... the imprinted expression over long distances. At non-ICRBS, ZFP57 inactivation results in acquisition of epigenetic features that are characteristic of poised enhancers, suggesting that another function of ZFP57 in early embryogenesis is to repress cis-acting regulatory elements whose activity is not yet...

  12. Identification and resolution of artifacts in the interpretation of imprinted gene expression.

    Science.gov (United States)

    Proudhon, Charlotte; Bourc'his, Déborah

    2010-12-01

    Genomic imprinting refers to genes that are epigenetically programmed in the germline to express exclusively or preferentially one allele in a parent-of-origin manner. Expression-based genome-wide screening for the identification of imprinted genes has failed to uncover a significant number of new imprinted genes, probably because of the high tissue- and developmental-stage specificity of imprinted gene expression. A very large number of technical and biological artifacts can also lead to the erroneous evidence of imprinted gene expression. In this article, we focus on three common sources of potential confounding effects: (i) random monoallelic expression in monoclonal cell populations, (ii) genetically determined monoallelic expression and (iii) contamination or infiltration of embryonic tissues with maternal material. This last situation specifically applies to genes that occur as maternally expressed in the placenta. Beside the use of reciprocal crosses that are instrumental to confirm the parental specificity of expression, we provide additional methods for the detection and elimination of these situations that can be misinterpreted as cases of imprinted expression.

  13. [The lymph nodes imprint for the diagnosis of lymphoid neoplasms].

    Science.gov (United States)

    Peniche-Alvarado, Carolina; Ramos-Peñafiel, Christian Omar; Martínez-Murillo, Carlos; Romero-Guadarrama, Mónica; Olarte-Carrillo, Irma; Rozen-Fuller, Etta; Martínez-Tovar, Adolfo; Collazo-Jaloma, Juan; Mendoza-García, Carlos Alberto

    2013-01-01

    lymphoma is the most frequent lymphoid neoplasm in our country. Its diagnosis is based on histopathological findings. The lymph node imprint has been used for more than 40 years. The aim was to establish the sensitivity, specificity, positive predictive value and negative predictive value of lymph node imprint and estimate the inter-observer rate. we did an observational, retrospective, prolective study, based on the lymph node imprint obtained by excisional biopsies over a period of 6 years. the inclusion criteria was met on 199 samples, 27.1 % were considered as reactive (n = 54), 16.1 % Hodgkin lymphoma (n = 32), 40.2 % (n = 80) non-Hodgkin lymphoma and 16.6 % (n = 33) as metastatic carcinoma. Comparing with the final histopathology report, the sensitivity and specificity of lymph node imprint were 88 % (0.81-0.95) and 64 % (0.55-0.73) respectively, the positive predictive value was 67 % (0.59-0.76) and the negative predictive value was 86 % (0.79-0.94). The interobserver kappa index was 0.467. the lymph node imprint remains as a useful tool for the diagnosis of lymphoid neoplasm. The agreement between observers was acceptable.

  14. Nanostructured surfaces using thermal nanoimprint lithography: Applications in thin membrane technology, piezoelectric energy harvesting and tactile pressure sensing

    Science.gov (United States)

    Nabar, Bhargav Pradip

    Nanoimprint lithography (NIL) is emerging as a viable contender for fabrication of large-scale arrays of 5-500 nm features. The work presented in this dissertation aims to leverage the advantages of NIL for realization of novel Nano Electro Mechanical Systems (NEMS). The first application is a nanoporous membrane blood oxygenator system. A fabrication process for realization of thin nanoporous membranes using thermal nanoimprint lithography is presented. Suspended silicon nitride membranes were fabricated by Low-Pressure Chemical Vapor Deposition (LPCVD) in conjunction with a potassium hydroxide-based bulk micromachining process. Nanoscale features were imprinted into a commercially available thermoplastic polymer resist using a pre-fabricated silicon mold. The pattern was reversed and transferred to a thin aluminum oxide layer by means of a novel two stage lift-off technique. The patterned aluminum oxide was used as an etch mask in a CHF3/He based reactive ion etch process to transfer the pattern to silicon nitride. Highly directional etch profiles with near vertical sidewalls and excellent Si3N4/Al2O3 etch selectivity was observed. One-micrometer-thick porous membranes with varying dimensions of 250x250 microm2 to 450x450 microm 2 and pore diameter of 400 nm have been engineered and evaluated. Results indicate that the membranes have consistent nanopore dimensions and precisely defined porosity, which makes them ideal as gas exchange interfaces in blood oxygenation systems as well as other applications such as dialysis. Additionally, bulk -- micromachined microfluidic channels have been developed for uniform, laminar blood flow with minimal cell trauma. NIL has been used for ordered growth of crystalline nanostructures for sensing and energy harvesting. Highly ordered arrays of crystalline ZnO nanorods have been fabricated using a polymer template patterned by thermal nanoimprint lithography, in conjunction with a low temperature hydrothermal growth process. Zinc

  15. Deep-etch x-ray lithography at the ALS: First results

    Energy Technology Data Exchange (ETDEWEB)

    Malek, C.K.; Jackson, K.H. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States); Brennen, R.A. [Jet Propulsion Lab., Pasadena, CA (United States)] [and others

    1997-04-01

    The fabrication of high-aspect-ratio and three-dimensional (3D) microstructures is of increasing interest in a multitude of applications in fields such as micromechanics, optics, and interconnect technology. Techniques and processes that enable lithography in thick materials differ from the planar technologies used in standard integrated circuit processing. Deep x-ray lithography permits extremely precise and deep proximity printing of a given pattern from a mask into a very thick resist. It requires a source of hard, intense, and well collimated x-ray radiation, as is provided by a synchrotron radiation source. The thick resist microstructures, so produced can be used as templates from which ultrahigh precision parts with high aspect ratios can be mass-produced out of a large variety of materials (metals, plastics, ceramics). This whole series of techniques and processes has been historically referred to as {open_quotes}LIGA,{close_quotes} from the German acronym for lithography, electroforming (Galvanoformung), and plastic molding (Abformung), the first development of the basic LIGA process having been performed at the Nuclear Research Center at Karlsruhe in Germany.

  16. Molecularly imprinted solid-phase extraction in the analysis of agrochemicals.

    Science.gov (United States)

    Yi, Ling-Xiao; Fang, Rou; Chen, Guan-Hua

    2013-08-01

    The molecular imprinting technique is a highly predeterminative recognition technology. Molecularly imprinted polymers (MIPs) can be applied to the cleanup and preconcentration of analytes as the selective adsorbent of solid-phase extraction (SPE). In recent years, a new type of SPE has formed, molecularly imprinted polymer solid-phase extraction (MISPE), and has been widely applied to the extraction of agrochemicals. In this review, the mechanism of the molecular imprinting technique and the methodology of MIP preparations are explained. The extraction modes of MISPE, including offline and online, are discussed, and the applications of MISPE in the analysis of agrochemicals such as herbicides, fungicides and insecticides are summarized. It is concluded that MISPE is a powerful tool to selectively isolate agrochemicals from real samples with higher extraction and cleanup efficiency than commercial SPE and that it has great potential for broad applications.

  17. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    Science.gov (United States)

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  18. Does genomic imprinting play a role in autoimmunity?

    Science.gov (United States)

    Camprubí, Cristina; Monk, David

    2011-01-01

    In the 19th century Gregor Mendel defined the laws of genetic inheritance by crossing different types of peas. From these results arose his principle of equivalence: the gene will have the same behaviour whether it is inherited from the mother or the father. Today, several key exceptions to this principle are known, for example sex-linked traits and genes in the mitochondrial genome, whose inheritance patterns are referred to as 'non mendelian'. A third, important exception in mammals is that of genomic imprinting, where transcripts are expressed in a monoallelic fashion from only the maternal or the paternal chromosome. In this chapter, we discuss how parent-of-origin effects and genomic imprinting may play a role in autoimmunity and speculate how imprinted miRNAs may influence the expression of many target autoimmune associated genes.

  19. Characterization of molecularly imprinted polymers using a new polar solvent titration method.

    Science.gov (United States)

    Song, Di; Zhang, Yagang; Geer, Michael F; Shimizu, Ken D

    2014-07-01

    A new method of characterizing molecularly imprinted polymers (MIPs) was developed and tested, which provides a more accurate means of identifying and measuring the molecular imprinting effect. In the new polar solvent titration method, a series of imprinted and non-imprinted polymers were prepared in solutions containing increasing concentrations of a polar solvent. The polar solvent additives systematically disrupted the templation and monomer aggregation processes in the prepolymerization solutions, and the extent of disruption was captured by the polymerization process. The changes in binding capacity within each series of polymers were measured, providing a quantitative assessment of the templation and monomer aggregation processes in the imprinted and non-imprinted polymers. The new method was tested using three different diphenyl phosphate imprinted polymers made using three different urea functional monomers. Each monomer had varying efficiencies of templation and monomer aggregation. The new MIP characterization method was found to have several advantages. To independently verify the new characterization method, the MIPs were also characterized using traditional binding isotherm analyses. The two methods appeared to give consistent conclusions. First, the polar solvent titration method is less susceptible to false positives in identifying the imprinting effect. Second, the method is able to differentiate and quantify changes in binding capacity, as measured at a fixed guest and polymer concentration, arising from templation or monomer aggregation processes in the prepolymerization solution. Third, the method was also easy to carry out, taking advantage of the ease of preparing MIPs. Copyright © 2014 John Wiley & Sons, Ltd.

  20. Molecularly imprinted electrochemical sensor based on nickel nanoparticle-modified electrodes for phenobarbital determination

    International Nuclear Information System (INIS)

    Yu, Hui Cheng; Huang, Xue Yi; Lei, Fu Hou; Tan, Xue Cai; Wei, Yi Chun; Li, Hao

    2014-01-01

    Highlights: • Uniform Ni nanoparticles were synthesized. • A Ni nanoparticle-modified imprinted sensor was developed to detect phenobarbital. • The modified sensor exhibited high sensitivity for phenobarbital. • The electrochemical properties of the modified sensor were investigated. • The prepared sensor was applied to detect phenobarbital in fish samples. - Abstract: Uniform nickel nanoparticles were applied to improve the sensitivity of sensors for phenobarbital (PB) determination. A Ni nanoparticle-modified imprinted electrochemical sensor was developed by thermal polymerization with the use of methacrylic acid as the functional monomer and ethylene glycol maleic rosinate acrylate as the crosslinking agent. The chemical structures and morphologies of the imprinted films were characterized using Fourier transform infrared spectroscopy and scanning electron microscopy. The success of the fabrication of Ni nanoparticles, as well as the Ni nanoparticle-modified imprinted electrochemical sensor, was confirmed by the analytical results. The electrochemical properties of the modified molecularly imprinted and non-imprinted polymer sensors were investigated by cyclic voltammetry, differential pulse voltammetry, electrochemical impedance spectroscopy, and chronoamperometry. Results showed that the electrochemical properties of the molecularly imprinted sensor were remarkably different from those of the non-imprinted sensor. Linear responses of the imprinted sensor to PB were observed for concentrations ranging from 1.4 × 10 −7 mol L −1 to 1.3 × 10 −4 mol L −1 (r 2 = 0.9976), with a detection limit of 8.2 × 10 −9 mol L −1 (S/N = 3). The imprinted electrochemical sensor was used to determine PB in actual fish samples, in which average recoveries between 95.60% and 104.67% were achieved. The developed Ni nanoparticle-modified electrochemical sensor exhibited high sensitivity, high selectivity, and good recovery

  1. MOLECULARLY IMPRINTED SOLID PHASE EXTRACTION FOR TRACE ANALYSIS OF DIAZINON IN DRINKING WATER

    Directory of Open Access Journals (Sweden)

    M. Rahiminejad ، S. J. Shahtaheri ، M. R. Ganjali ، A. Rahimi Forushani ، F. Golbabaei

    2009-04-01

    Full Text Available Amongst organophosphate pesticides, the one most widely used and common environmental contaminant is diazinon; thus methods for its trace analysis in environmental samples must be developed. Use of diazinon imprinted polymers such as sorbents in solid phase extraction, is a prominent and novel application area of molecular imprinted polymers. For diazinon extraction, high performance liquid chromatography analysis was demonstrated in this study. During optimization of the molecular imprinted solid phase extraction procedure for efficient solid phase extraction of diazinon, Plackett-Burman design was conducted. Eight experimental factors with critical influence on molecular imprinted solid phase extraction performance were selected, and 12 different experimental runs based on Plackett-Burman design were carried out. The applicability of diazinon imprinted polymers as the sorbent in solid phase extraction, presented obtained good recoveries of diazinon from LC-grade water. An increase in pH caused an increase in the recovery on molecular imprinted solid phase extraction. From these results, the optimal molecular imprinted solid phase extraction procedure was as follows: solid phase extraction packing with 100 mg diazinon imprinted polymers; conditioning with 5 mL of methanol and 6 mL of LC-grade water; sample loading containing diazinon (pH=10; washing with 1 mL of LC-grade water, 1 mL LC- grade water containing 30% acetonitrile and 0.5 mL of acetonitrile, respectively; eluting with 1 mL of methanol containing 2% acetic acid. The percentage recoveries obtained by the optimized molecular imprinted solid phase extraction were more than 90% with drinking water spiked at different trace levels of diazinon. Generally speaking, the molecular imprinted solid phase extraction procedure and subsequent high performance liquid chromatography analysis can be a relatively fast and proper approach for qualitative and quantitative analysis of diazinon in

  2. Metal layer mask patterning by force microscopy lithography

    International Nuclear Information System (INIS)

    Filho, H.D. Fonseca; Mauricio, M.H.P.; Ponciano, C.R.; Prioli, R.

    2004-01-01

    The nano-lithography of a metallic surface in air by atomic force microscopy while operated in contact mode and equipped with a diamond tip is presented. The aluminum mask was prepared by thermal deposition on arsenic sulfide films. The analysis of the scratches performed by the tip on the metallic mask show that the depth of the lithographed pattern increases with the increase of the applied normal force. The scanning velocity is also shown to influence the AFM patterning process. As the scanning velocity increases, the scratch depth and width decreases. Nano-indentations performed with the diamond tip show that the plastically deformed surface increases with the increase of the duration of the applied force. The use of the nano-lithography method to create nano-structures is discussed

  3. V-groove plasmonic waveguides fabricated by nanoimprint lithography

    DEFF Research Database (Denmark)

    Fernandez-Cuesta, I.; Nielsen, R.B.; Boltasseva, Alexandra

    2007-01-01

    Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication of integra...... of integrated optical devices composed of metal V grooves. This method represents an improvement with respect to previous works, where the V grooves were fabricated by direct milling of the metal, in terms of robustness and throughput. © 2007 American Vacuum Society......Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication...

  4. Self-oriented nanoparticles for site-selective immunoglobulin G recognition via epitope imprinting approach.

    Science.gov (United States)

    Çorman, Mehmet Emin; Armutcu, Canan; Uzun, Lokman; Say, Rıdvan; Denizli, Adil

    2014-11-01

    Molecular imprinting is a polymerization technique that provides synthetic analogs for template molecules. Molecularly imprinted polymers (MIPs) have gained much attention due to their unique properties such as selectivity and specificity for target molecules. In this study, we focused on the development of polymeric materials with molecular recognition ability, so molecular imprinting was combined with miniemulsion polymerization to synthesize self-orienting nanoparticles through the use of an epitope imprinting approach. Thus, L-lysine imprinted nanoparticles (LMIP) were synthesized via miniemulsion polymerization technique. Immunoglobulin G (IgG) was then bound to the cavities that specifically formed for L-lysine molecules that are typically found at the C-terminus of the Fc region of antibody molecules. The resulting nanoparticles makes it possible to minimize the nonspecific interaction between monomer and template molecules. In addition, the orientation of the entire IgG molecule was controlled, and random imprinting of the IgG was prevented. The optimum conditions were determined for IgG recognition using the imprinted nanoparticles. The selectivity of the nanoparticles against IgG molecules was also evaluated using albumin and hemoglobin as competitor molecules. In order to show the self-orientation capability of imprinted nanoparticles, human serum albumin (HSA) adsorption onto both the plain nanoparticles and immobilized nanoparticles by anti-human serum albumin antibody (anti-HSA antibody) was also carried out. Due to anti-HSA antibody immobilization on the imprinted nanoparticles, the adsorption capability of nanoparticles against HSA molecules vigorously enhanced. It is proved that the oriented immobilization of antibodies was appropriately succeeded. Copyright © 2014 Elsevier B.V. All rights reserved.

  5. Fabrication of Surface Protein-Imprinted Nanoparticles Using a Metal Chelating Monomer via Aqueous Precipitation Polymerization.

    Science.gov (United States)

    Li, Wei; Sun, Yan; Yang, Chongchong; Yan, Xianming; Guo, Hao; Fu, Guoqi

    2015-12-16

    Molecular imprinting is a promising way for constructing artificial protein recognition materials, but it has been challenged by difficulties such as restricted biomacromolecule transfer in the cross-linked polymer networks, and reduced template-monomer interactions that are due to the required aqueous media. Herein, we propose a strategy for imprinting of histidine (His)-exposed proteins by combining previous approaches such as surface imprinting over nanostructures, utilization of metal coordination interactions, and adoption of aqueous precipitation polymerization capable of forming reversible physical crosslinks. With lysozyme as a model template bearing His residues, imprinted polymer nanoshells were grafted over vinyl-modified nanoparticles by aqueous precipitation copolymerization of a Cu(2+) chelating monomer with a temperature-responsive monomer carried out at 37 °C, above the volume phase-transition temperature (VPTT) of the final copolymer. The imprinted nanoshells showed significant temperature sensitivity and the template removal could be facilitated by swelling of the imprinted layers at 4 °C, below the VPTT. The resultant core-shell imprinted nanoparticles exhibited strikingly high rebinding selectivity against a variety of nontemplate proteins. An imprinting factor up to 22.7 was achieved, which is among the best values reported for protein imprinting, and a rather high specific binding capacity of 67.3 mg/g was obtained. Moreover, this approach was successfully extended to preliminary imprinting of hemoglobin, another protein with accessible His. Therefore, it may be a versatile method for fabrication of high-performance surface-imprinted nanoparticles toward His-exposed proteins.

  6. A new lithography of functional plasma polymerized thin films

    International Nuclear Information System (INIS)

    Kim, Sung-O

    2001-01-01

    The preparation of the resist for the vacuum lithography was carried out by plasma polymerization. The resist manufactured by plasma polymerization is a monomer produced by MMA (Methyl methacrylate). The functional groups of MMA appeared in the PPMMA (Plasma Polymerized Methyl methacrylate) as well, and this was confirmed through an analysis using FT-IR. The polymerization rate increased as a function of the plasma power and decreased as a function of the system pressure. The sensitivity and contrast of the plasma polymerized thin films were 15 μC/cm2 and 4.3 respectively. The size of the pattern manufactured by Vacuum Lithography using the plasma polymerized thin films was 100 nm

  7. Soft X-ray microscopy and lithography with synchrotron radiation

    International Nuclear Information System (INIS)

    Gudat, W.

    1977-12-01

    Considerable progress in the technique microscopy with soft X-ray radiation has been achieved in particular through the application of synchrotron radiation. Various methods which are currently being studied theoretically or already being used practically will be described briefly. Attention is focussed on the method of contact microscopy. Various biological specimens have been investigated by this method with a resolution as good as 100 A. X-ray lithography which in the technical procedure is very similar to contact microscopy gives promise for the fabrication of high quality submicron structures in electronic device production. Important factors limiting the resolution and determining the performance of contact microscopy and X-ray lithography will be discussed. (orig.) [de

  8. Critical dimension and pattern size enhancement using pre-strained lithography

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Jian-Wei [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Yang, Chung-Yuan [Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Lo, Cheng-Yao, E-mail: chengyao@mx.nthu.edu.tw [Department of Power Mechanical Engineering, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China); Institute of NanoEngineering and MicroSystems, National Tsing Hua University, 101, Section 2, Kuang Fu Road, Hsin Chu 30013, Taiwan (China)

    2014-10-13

    This paper proposes a non-wavelength-shortening-related critical dimension and pattern size reduction solution for the integrated circuit industry that entails generating strain on the substrate prior to lithography. Pattern size reduction of up to 49% was achieved regardless of shape, location, and size on the xy plane, and complete theoretical calculations and process steps are described in this paper. This technique can be applied to enhance pattern resolution by employing materials and process parameters already in use and, thus, to enhance the capability of outdated lithography facilities, enabling them to particularly support the manufacturing of flexible electronic devices with polymer substrates.

  9. Investigation of the physics of diamond MEMS : diamond allotrope lithography

    International Nuclear Information System (INIS)

    Zalizniak, I.; Olivero, P.; Jamieson, D.N.; Prawer, S.; Reichart, P.; Rubanov, S.; Petriconi, S.

    2005-01-01

    We propose a novel lithography process in which ion induced phase transfomations of diamond form sacrificial layers allowing the fabrication of small structures including micro-electromechanical systems (MEMS). We have applied this novel lithography to the fabrication of diamond microcavities, cantilevers and optical waveguides. In this paper we present preliminary experiments directed at the fabrication of suspended diamond disks that have the potential for operation as optical resonators. Such structures would be very durable and resistant to chemical attack with potential applications as novel sensors for extreme environments or high temperature radiation detectors. (author). 3 refs., 3 figs

  10. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    Science.gov (United States)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (industries and opening opportunities in nanomanufacturing.

  11. Nano-LED array fabrication suitable for future single photon lithography

    International Nuclear Information System (INIS)

    Mikulics, M; Hardtdegen, H

    2015-01-01

    We report on an alternative illumination concept for a future lithography based on single-photon emitters and important technological steps towards its implementation. Nano light-emitting diodes (LEDs) are chosen as the photon emitters. First, the development of their fabrication and their integration technology is presented, then their optical characteristics assessed. Last, size-controlled nano-LEDs, well positioned in an array, are electrically driven and utilized for illumination. Nanostructures are lithographically formed, demonstrating the feasibility of the approach. The potential of single-photon lithography to reach the ultimate scale limits in mass production is discussed. (paper)

  12. Seamless-merging-oriented parallel inverse lithography technology

    International Nuclear Information System (INIS)

    Yang Yiwei; Shi Zheng; Shen Shanhu

    2009-01-01

    Inverse lithography technology (ILT), a promising resolution enhancement technology (RET) used in next generations of IC manufacture, has the capability to push lithography to its limit. However, the existing methods of ILT are either time-consuming due to the large layout in a single process, or not accurate enough due to simply block merging in the parallel process. The seamless-merging-oriented parallel ILT method proposed in this paper is fast because of the parallel process; and most importantly, convergence enhancement penalty terms (CEPT) introduced in the parallel ILT optimization process take the environment into consideration as well as environmental change through target updating. This method increases the similarity of the overlapped area between guard-bands and work units, makes the merging process approach seamless and hence reduces hot-spots. The experimental results show that seamless-merging-oriented parallel ILT not only accelerates the optimization process, but also significantly improves the quality of ILT.

  13. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  14. Preparation and Property Recognition of Nimodipine Molecularly Imprinted Polymer

    Directory of Open Access Journals (Sweden)

    Fei-fei CHEN

    2015-09-01

    Full Text Available Objective: To explore the application of molecular imprinting technique in the separation and detection of nimodipine. Methods: Methacrylic acid as functional monomer, pentaerythritol triacrylate as cross-linking agent were used to prepare molecularly imprinted polymer (MIP with the feature of specific recognition performance on imprinting molecule nimodipine under condition of template molecule nimodipine. The preparation conditions, recognition performance of MIP on nimodipine, different proportions of template molecule and functional monomer, the selectivity to other substrate, and the relationship between adsorption quantity (Q and time were observed. Results: MIP was prepared successfully bynimodipine as template and pentaerythritol triacrylate as cross-linking agent, with the feature of specific recognition performance on nimodipine. The static adsorption distribution coefficient (KD was 0.2264. The equation of Q and the concentration of substrate of template MIP was y = -0.21x+0.2204. Combining capacity of template molecule at the same concentration enhanced with the increasing proportion of functional monomer.Conclusion: Nimodipine MIP based on molecular imprinting technique may become a new approach to chiral separation for nimodipine.

  15. Recognition of lysozyme using surface imprinted bacterial cellulose nanofibers.

    Science.gov (United States)

    Saylan, Yeşeren; Tamahkar, Emel; Denizli, Adil

    2017-11-01

    Here, we developed the lysozyme imprinted bacterial cellulose (Lyz-MIP/BC) nanofibers via the surface imprinting strategy that was designed to recognize lysozyme. This study includes the molecular imprinting method onto the surface of bacterial cellulose nanofibers in the presence of lysozyme by metal ion coordination, as well as further characterizations methods FTIR, SEM and contact angle measurements. The maximum lysozyme adsorption capacity of Lyz-MIP/BC nanofibers was found to be 71 mg/g. The Lyz-MIP/BC nanofibers showed high selectivity for lysozyme towards bovine serum albumin and cytochrome c. Overall, the Lyz-MIP/BC nanofibers hold great potential for lysozyme recognition due to the high binding capacity, significant selectivity and excellent reusability.

  16. Predicting the performance of molecularly imprinted polymers: Selective extraction of caffeine by molecularly imprinted solid phase extraction

    Energy Technology Data Exchange (ETDEWEB)

    Farrington, Keith [School of Chemical Sciences, Dublin City University, Glasnevin, Dublin 9 (Ireland); Magner, Edmond [Materials and Surface Science Institute, Chemical and Environmental Sciences Department, University of Limerick, Limerick (Ireland); Regan, Fiona [School of Chemical Sciences, Dublin City University, Glasnevin, Dublin 9 (Ireland)]. E-mail: fiona.regan@dcu.ie

    2006-04-27

    A rational design approach was taken to the planning and synthesis of a molecularly imprinted polymer capable of extracting caffeine (the template molecule) from a standard solution of caffeine and further from a food sample containing caffeine. Data from NMR titration experiments in conjunction with a molecular modelling approach was used in predicting the relative ratios of template to functional monomer and furthermore determined both the choice of solvent (porogen) and the amount used for the study. In addition the molecular modelling program yielded information regarding the thermodynamic stability of the pre-polymerisation complex. Post-polymerisation analysis of the polymer itself by analysis of the pore size distribution by BET yielded significant information regarding the nature of the size and distribution of the pores within the polymer matrix. Here is proposed a stepwise procedure for the development and testing of a molecularly imprinted polymer using a well-studied compound-caffeine as a model system. It is shown that both the physical characteristics of a molecularly imprinted polymer (MIP) and the analysis of the pre-polymerisation complex can yield vital information, which can predict how well a given MIP will perform.

  17. Predicting the performance of molecularly imprinted polymers: Selective extraction of caffeine by molecularly imprinted solid phase extraction

    International Nuclear Information System (INIS)

    Farrington, Keith; Magner, Edmond; Regan, Fiona

    2006-01-01

    A rational design approach was taken to the planning and synthesis of a molecularly imprinted polymer capable of extracting caffeine (the template molecule) from a standard solution of caffeine and further from a food sample containing caffeine. Data from NMR titration experiments in conjunction with a molecular modelling approach was used in predicting the relative ratios of template to functional monomer and furthermore determined both the choice of solvent (porogen) and the amount used for the study. In addition the molecular modelling program yielded information regarding the thermodynamic stability of the pre-polymerisation complex. Post-polymerisation analysis of the polymer itself by analysis of the pore size distribution by BET yielded significant information regarding the nature of the size and distribution of the pores within the polymer matrix. Here is proposed a stepwise procedure for the development and testing of a molecularly imprinted polymer using a well-studied compound-caffeine as a model system. It is shown that both the physical characteristics of a molecularly imprinted polymer (MIP) and the analysis of the pre-polymerisation complex can yield vital information, which can predict how well a given MIP will perform

  18. Integration of multiple theories for the simulation of laser interference lithography processes.

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  19. Tunable atomic force microscopy bias lithography on electron beam induced carbonaceous platforms

    Directory of Open Access Journals (Sweden)

    Narendra Kurra

    2013-09-01

    Full Text Available Tunable local electrochemical and physical modifications on the carbonaceous platforms are achieved using Atomic force microscope (AFM bias lithography. These carbonaceous platforms are produced on Si substrate by the technique called electron beam induced carbonaceous deposition (EBICD. EBICD is composed of functionalized carbon species, confirmed through X-ray photoelectron spectroscopy (XPS analysis. AFM bias lithography in tapping mode with a positive tip bias resulted in the nucleation of attoliter water on the EBICD surface under moderate humidity conditions (45%. While the lithography in the contact mode with a negative tip bias caused the electrochemical modifications such as anodic oxidation and etching of the EBICD under moderate (45% and higher (60% humidity conditions respectively. Finally, reversible charge patterns are created on these EBICD surfaces under low (30% humidity conditions and investigated by means of electrostatic force microscopy (EFM.

  20. Integration of multiple theories for the simulation of laser interference lithography processes

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  1. Ordered arrays of nanoporous gold nanoparticles

    Directory of Open Access Journals (Sweden)

    Dong Wang

    2012-09-01

    Full Text Available A combination of a “top-down” approach (substrate-conformal imprint lithography and two “bottom-up” approaches (dewetting and dealloying enables fabrication of perfectly ordered 2-dimensional arrays of nanoporous gold nanoparticles. The dewetting of Au/Ag bilayers on the periodically prepatterned substrates leads to the interdiffusion of Au and Ag and the formation of an array of Au–Ag alloy nanoparticles. The array of alloy nanoparticles is transformed into an array of nanoporous gold nanoparticles by a following dealloying step. Large areas of this new type of material arrangement can be realized with this technique. In addition, this technique allows for the control of particle size, particle spacing, and ligament size (or pore size by varying the period of the structure, total metal layer thickness, and the thickness ratio of the as-deposited bilayers.

  2. Surface imprinting on nano-TiO{sub 2} as sacrificial material for the preparation of hollow chlorogenic acid imprinted polymer and its recognition behavior

    Energy Technology Data Exchange (ETDEWEB)

    Li Hui, E-mail: lihuijsdx@163.com [College of Chemistry and Chemical Engineering, Jishou University, Hunan 416000, Jishou (China); Key Laboratory of Plant Resource Conservation and Utilization, Jishou University, Hunan 416000, Jishou (China); Li Gui [Key Laboratory of Plant Resource Conservation and Utilization, Jishou University, Hunan 416000, Jishou (China); Li Zhiping; Lu Cuimei; Li Yanan [College of Chemistry and Chemical Engineering, Jishou University, Hunan 416000, Jishou (China); Tan Xianzhou [Key Laboratory of Plant Resource Conservation and Utilization, Jishou University, Hunan 416000, Jishou (China)

    2013-01-01

    Highlights: Black-Right-Pointing-Pointer Used surface imprinting technique with nano-TiO{sub 2} as sacrificial support material. Black-Right-Pointing-Pointer Improved adsorption capability of the H-MIP1 compared with the previous work. Black-Right-Pointing-Pointer Excellent mass transfer dynamics for the H-MIP1. Black-Right-Pointing-Pointer Investigated adsorption thermodynamic of the H-MIP1. - Abstract: Surface imprinting chlorogenic acid (CGA) on nano-TiO{sub 2} particles as sacrificial support material was successfully performed by using 4-vinylpyridine (4-VP) as functional monomer to obtain a hollow CGA-imprinted polymer (H-MIP1). Fourier transmission infrared spectrometry (FTIR) and scanning electron microscopy (SEM) were utilized for structurally characterizing the polymers obtained and adsorption dynamics and thermodynamic behavior investigated according to different models. Binding selectivity, adsorption capacity and the reusability for this H-MIP1 were also evaluated. This hollow CGA imprinted polymer shows rapid binding dynamics and higher binding capability toward the template molecules. The pseudo first-order kinetic model was shown best to describe the binding process of CGA on the H-MIP1 and Langmuir isotherm model best to fit the experimental adsorption isotherm data. Through adsorption isotherms at different temperatures, thermodynamic parameter values were obtained. Selectivity coefficients for the H-MIP1 toward the template were 2.209, 3.213, 1.746 and 2.353 relative to CA, VA, PCA and GA, respectively. This H-MIP1 was also indicated with a good imprint effect and a high capability to capture CGA from methanol extract of Eucommia ulmoides (E. ulmoides) leaves. Additionally, a good reusability for this imprinted polymer was exhibited during repeated adsorption-desorption use.

  3. Synthesis and characterization of oxytetracycline imprinted magnetic polymer for application in food

    Science.gov (United States)

    Aggarwal, Sneha; Rajput, Yudhishthir Singh; Singh, Gulab; Sharma, Rajan

    2016-02-01

    Magnetic imprinted polymer was prepared by polymerization of methacrylate and ethyleneglycoldimethacrylate in the presence of oxytetracycline on the surface of iron magnetite. Selectivity of prepared polymer was calculated from ratio of partition coefficient of oxytetracycline for imprinted and non- imprinted polymer in water, acetonitrile, methanol and at different pH in aqueous buffer. pH of solvent exhibited pronounced effect on selectivity. Selectivity at pH 7.0, 6.0 and 5.0 was 36.0, 2.25 and 1.61 fold higher than at pH 4.0. Imprinted polymer was not selective for oxytetracycline in methanol. However, selectivity in water and acetonitrile was 19.42 and 2.86, respectively. Oxytetracycline did bind to imprinted polymer in water or aqueous buffer (pH 7.0) and could be eluted with methanol. Prepared polymer extracted 75-80 % oxytetracycline from water, honey and egg white.

  4. Characterization of Conserved and Non-conserved Imprinted Genes in Swine

    Science.gov (United States)

    In order to increase our understanding of the role of imprinted genes in swine reproduction we used two complementary approaches, analysis of imprinting by pyrosequencing, and expression profiling of parthenogenetic fetuses, to carry out a comprehensive analysis of this gene family in swine. Using A...

  5. Determination of stamp deformation during imprinting on semi-spherical surfaces

    DEFF Research Database (Denmark)

    Kafka, Jan; Matschuk, Maria; Pranov, Henrik

    of sol-gel was applied onto spherical injection mold inserts and subsequently imprinted using a flexible stamp. A hard curing step transformed the sol-gel into a quartz-like and durable material. As an example, we present theory and results regarding the imprint of pillar nanostructures on semi......-spherical mold surfaces. Imprints were realized on three different radii of circumferenceof the spherical mold: R = 0.5 mm, R = 1.0 mm, and R = 2 mm. After hard-curing of theimprinted sol-gel, the inserts were used for cold-mold as well as vario-therm injection molding.The polymer replicas and the inserts were...

  6. Cultural relativism: maintenance of genomic imprints in pluripotent stem cell culture systems.

    Science.gov (United States)

    Greenberg, Maxim Vc; Bourc'his, Déborah

    2015-04-01

    Pluripotent stem cells (PSCs) in culture have become a widely used model for studying events occurring during mammalian development; they also present an exciting avenue for therapeutics. However, compared to their in vivo counterparts, cultured PSC derivatives have unique properties, and it is well established that their epigenome is sensitive to medium composition. Here we review the specific effects on genomic imprints in various PSC types and culture systems. Imprinted gene regulation is developmentally important, and imprinting defects have been associated with several human diseases. Therefore, imprint abnormalities in PSCs may have considerable consequences for downstream applications. Copyright © 2015 Elsevier Ltd. All rights reserved.

  7. Innovative Design and Performance Evaluation of Bionic Imprinting Toothed Wheel.

    Science.gov (United States)

    Zhang, Zhihong; Wang, Xiaoyang; Tong, Jin; Stephen, Carr

    2018-01-01

    A highly efficient soil-burrowing dung beetle possesses an intricate outer contour curve on its foreleg end-tooth. This study was carried out based on evidence that this special outer contour curve has the potential of reducing soil penetration resistance and could enhance soil-burrowing efficiency. A toothed wheel is a typical agricultural implement for soil imprinting, to increase its working efficiency; the approach of the bionic geometrical structure was utilized to optimize the innovative shape of imprinting toothed wheel. Characteristics in the dung beetle's foreleg end-tooth were extracted and studied by the edge detection technique. Then, this special outer contour curve was modeled by a nine-order polynomial function and used for the innovative design of imprinting the tooth's cutting edge. Both the conventional and bionic teeth were manufactured, and traction tests in a soil bin were conducted. Taking required draft force and volume of imprinted microbasin as the evaluating indexes, operating efficiency and quality of different toothed wheels were compared and investigated. Results indicate that compared with the conventional toothed wheel, a bionic toothed wheel possesses a better forward resistance reduction property against soil and, meanwhile, can enhance the quality of soil imprinting by increasing the volume of the created micro-basin.

  8. Molecular imprinting at walls of silica nanotubes for TNT recognition.

    Science.gov (United States)

    Xie, Chenggen; Liu, Bianhua; Wang, Zhenyang; Gao, Daming; Guan, Guijian; Zhang, Zhongping

    2008-01-15

    This paper reports the molecular imprinting at the walls of highly uniform silica nanotubes for the recognition of 2,4,6-trinitrotoluene (TNT). It has been demonstrated that TNT templates were efficiently imprinted into the matrix of silica through the strong acid-base pairing interaction between TNT and 3-aminopropyltriethoxysilane (APTS). TNT-imprinted silica nanotubes were synthesized by the gelation reaction between APTS and tetraethylorthosilicate (TEOS), selectively occurring at the porous walls of APTS-modified alumina membranes. The removal of the original TNT templates leaves the imprinted cavities with covalently anchored amine groups at the cavity walls. A high density of recognition sites with molecular selectivity to the TNT analyte was created at the wall of silica nanotubes. Furthermore, most of these recognition sites are situated at the inside and outside surfaces of tubular walls and in the proximity of the two surfaces due to the ultrathin wall thickness of only 15 nm, providing a better site accessibility and lower mass-transfer resistance. Therefore, greater capacity and faster kinetics of uptaking target species were achieved. The silica nanotube reported herein is an ideal form of material for imprinting various organic or biological molecules toward applications in chemical/biological sensors and bioassay.

  9. Conformal Nets II: Conformal Blocks

    Science.gov (United States)

    Bartels, Arthur; Douglas, Christopher L.; Henriques, André

    2017-08-01

    Conformal nets provide a mathematical formalism for conformal field theory. Associated to a conformal net with finite index, we give a construction of the `bundle of conformal blocks', a representation of the mapping class groupoid of closed topological surfaces into the category of finite-dimensional projective Hilbert spaces. We also construct infinite-dimensional spaces of conformal blocks for topological surfaces with smooth boundary. We prove that the conformal blocks satisfy a factorization formula for gluing surfaces along circles, and an analogous formula for gluing surfaces along intervals. We use this interval factorization property to give a new proof of the modularity of the category of representations of a conformal net.

  10. Laser interference lithography with highly accurate interferometric alignment

    NARCIS (Netherlands)

    van Soest, Frank J.; van Wolferen, Hendricus A.G.M.; Hoekstra, Hugo; de Ridder, R.M.; Worhoff, Kerstin; Lambeck, Paul

    It is shown experimentally that in laser interference lithography, by using a reference grating, respective grating layers can be positioned with high relative accuracy. A 0.001 degree angular and a few nanometers lateral resolution have been demonstrated.

  11. A Molecularly Imprinted Polymer (MIP)-Coated Microbeam MEMS Sensor for Chemical Detection

    Science.gov (United States)

    2015-09-01

    ARL-RP-0536 ● SEP 2015 US Army Research Laboratory A Molecularly Imprinted Polymer (MIP)- Coated Microbeam MEMS Sensor for...ARL-RP-0536 ● SEP 2015 US Army Research Laboratory A Molecularly Imprinted Polymer (MIP)- Coated Microbeam MEMS Sensor for Chemical...TITLE AND SUBTITLE A Molecularly Imprinted Polymer (MIP)-Coated Microbeam MEMS Sensor for Chemical Detection 5a. CONTRACT NUMBER 5b. GRANT NUMBER

  12. Magnetic-graphene based molecularly imprinted polymer nanocomposite for the recognition of bovine hemoglobin.

    Science.gov (United States)

    Guo, Junxia; Wang, Yuzhi; Liu, Yanjin; Zhang, Cenjin; Zhou, Yigang

    2015-11-01

    The protein imprinted technique combining surface imprinting and nanomaterials has been an attractive strategy for recognition and rapid separation of proteins. In this work, magnetic-graphene (MG) was chosen as the supporting substrate for the magnetic nanomaterials, which served to absorb the targeting imprinting molecules, bovine hemoglobin (BHb). Acryl amide (AAm) with a high affinity to BHb and N,N'- methylenebisacrylamide (MBA) were selected as the functional monomer and cross-linking agent, respectively. After in-situ polymerization, the proposed magnetic-graphene based molecularly imprinted polymer (MG-MIP) was obtained with a further extraction step of imprinted BHb. Fourier transform infrared (FT-IR), scanning electron microscopy (SEM), transmission electron microscopy (TEM), raman spectroscopy(RS), X-ray diffraction (XRD) and vibrating sample magnetometer (VSM) were employed to characterize the resulted MG-MIP. The maximum adsorption capability (Qmax) was determined by Langmuir Isotherm Plots and was 186.73 mg/g for imprinted nanomaterials (MIP) with an imprinting factor of 1.96. The selectivity of MG-MIP was investigated by using several proteins that are different in molecular mass and isoelectric points as the reference. The results showed that the shape memory effect of imprinted cavities, the size of proteins and the charge effect of proteins were the major factors for the selective recognition. The proposed method was also employed to specifically capture BHb from a binary protein mixture. Copyright © 2015 Elsevier B.V. All rights reserved.

  13. Biological imprinting: Some genetic considerations

    African Journals Online (AJOL)

    Mohammad Saad Zaghloul Salem

    2014-06-21

    Jun 21, 2014 ... Role of chromatin in imprinting . .... flict theory in placental mammals assumes that paternal alleles in pregnancy ... The theory also postulates that maternal alleles, on ..... postulating contributory roles of mitDNA in mediation of.

  14. Angular dependence of imprinting levels in laser-target interactions on planar CH foils

    International Nuclear Information System (INIS)

    Smalyuk, V.A.; Goncharov, V.N.; Boehly, T.R.; Delettrez, J.A.; Li, D.Y.; Marozas, J.A.; Meyerhofer, D.D.; Regan, S.P.; Sangster, T.C.

    2005-01-01

    Imprinting of laser-beam modulations at various angles of incidence is measured for the first time in planar CH foils. The imprinted target modulations were seeded by special probe beams at a spatial wavelength of 60 μm and subsequently amplified by five drive beams. The measured imprint efficiency decreases by a factor of 3 as the angle of incidence of the probe beam is increased from 20 deg. to 60 deg., as predicted by theoretical modeling. The imprinting is very sensitive to the relative arrival time of the probe and drive beams

  15. Optimal synthesis of a Ni(II)-dimethylglyoxime ion-imprinted polymer ...

    African Journals Online (AJOL)

    A Ni(II)-dimethylglyoxime ion-imprinted polymer {Ni(II)-DMG IIP} was optimised by the uniform design experimental ... The bonds formed between the template and the functional monomers in ion- imprinted polymerisation reactions are weaker, non-covalent. (Arshady and Mosbach, 1981; ..... where the polymer did not form.

  16. Wafer-shape metrics based foundry lithography

    Science.gov (United States)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  17. Thermometric sensing of nitrofurantoin by noncovalently imprinted polymers containing two complementary functional monomers.

    Science.gov (United States)

    Athikomrattanakul, Umporn; Gajovic-Eichelmann, Nenad; Scheller, Frieder W

    2011-10-15

    Molecularly imprinted polymers (MIPs) for nitrofurantoin (NFT) recognition addressing in parallel of two complementary functional groups were created using a noncovalent imprinting approach. Specific tailor-made functional monomers were synthesized: a diaminopyridine derivative as the receptor for the imide residue and three (thio)urea derivatives for the interaction with the nitro group of NFT. A significantly improved binding of NFT to the new MIPs was revealed from the imprinting factor, efficiency of binding, affinity constants and maximum binding number as compared to previously reported MIPs, which addressed either the imide or the nitro residue. Substances possessing only one functionality (either the imide group or nitro group) showed significantly weaker binding to the new imprinted polymers than NFT. However, the compounds lacking both functionalities binds extremely weak to all imprinted polymers. The new imprinted polymers were applied in a flow-through thermistor in organic solvent for the first time. The MIP-thermistor allows the detection of NFT down to a concentration of 5 μM in acetonitrile + 0.2% dimethyl sulfoxide (DMSO). The imprinting factor of 3.91 at 0.1 mM of NFT as obtained by thermistor measurements is well comparable to the value obtained by batch binding experiments. © 2011 American Chemical Society

  18. Changes in Parthenogenetic Imprinting Patterns during Reprogramming by Cell Fusion.

    Directory of Open Access Journals (Sweden)

    Hyun Sik Jang

    Full Text Available Differentiated somatic cells can be reprogrammed into the pluripotent state by cell-cell fusion. In the pluripotent state, reprogrammed cells may then self-renew and differentiate into all three germ layers. Fusion-induced reprogramming also epigenetically modifies the somatic cell genome through DNA demethylation, X chromosome reactivation, and histone modification. In this study, we investigated whether fusion with embryonic stem cells (ESCs also reprograms genomic imprinting patterns in somatic cells. In particular, we examined imprinting changes in parthenogenetic neural stem cells fused with biparental ESCs, as well as in biparental neural stem cells fused with parthenogenetic ESCs. The resulting hybrid cells expressed the pluripotency markers Oct4 and Nanog. In addition, methylation of several imprinted genes except Peg3 was comparable between hybrid cells and ESCs. This finding indicates that reprogramming by cell fusion does not necessarily reverse the status of all imprinted genes to the state of pluripotent fusion partner.

  19. The parental non-equivalence of imprinting control regions during mammalian development and evolution.

    Directory of Open Access Journals (Sweden)

    Reiner Schulz

    2010-11-01

    Full Text Available In mammals, imprinted gene expression results from the sex-specific methylation of imprinted control regions (ICRs in the parental germlines. Imprinting is linked to therian reproduction, that is, the placenta and imprinting emerged at roughly the same time and potentially co-evolved. We assessed the transcriptome-wide and ontology effect of maternally versus paternally methylated ICRs at the developmental stage of setting of the chorioallantoic placenta in the mouse (8.5dpc, using two models of imprinting deficiency including completely imprint-free embryos. Paternal and maternal imprints have a similar quantitative impact on the embryonic transcriptome. However, transcriptional effects of maternal ICRs are qualitatively focused on the fetal-maternal interface, while paternal ICRs weakly affect non-convergent biological processes, with little consequence for viability at 8.5dpc. Moreover, genes regulated by maternal ICRs indirectly influence genes regulated by paternal ICRs, while the reverse is not observed. The functional dominance of maternal imprints over early embryonic development is potentially linked to selection pressures favoring methylation-dependent control of maternal over paternal ICRs. We previously hypothesized that the different methylation histories of ICRs in the maternal versus the paternal germlines may have put paternal ICRs under higher mutational pressure to lose CpGs by deamination. Using comparative genomics of 17 extant mammalian species, we show here that, while ICRs in general have been constrained to maintain more CpGs than non-imprinted sequences, the rate of CpG loss at paternal ICRs has indeed been higher than at maternal ICRs during evolution. In fact, maternal ICRs, which have the characteristics of CpG-rich promoters, have gained CpGs compared to non-imprinted CpG-rich promoters. Thus, the numerical and, during early embryonic development, functional dominance of maternal ICRs can be explained as the

  20. The parental non-equivalence of imprinting control regions during mammalian development and evolution.

    Science.gov (United States)

    Schulz, Reiner; Proudhon, Charlotte; Bestor, Timothy H; Woodfine, Kathryn; Lin, Chyuan-Sheng; Lin, Shau-Ping; Prissette, Marine; Oakey, Rebecca J; Bourc'his, Déborah

    2010-11-18

    In mammals, imprinted gene expression results from the sex-specific methylation of imprinted control regions (ICRs) in the parental germlines. Imprinting is linked to therian reproduction, that is, the placenta and imprinting emerged at roughly the same time and potentially co-evolved. We assessed the transcriptome-wide and ontology effect of maternally versus paternally methylated ICRs at the developmental stage of setting of the chorioallantoic placenta in the mouse (8.5dpc), using two models of imprinting deficiency including completely imprint-free embryos. Paternal and maternal imprints have a similar quantitative impact on the embryonic transcriptome. However, transcriptional effects of maternal ICRs are qualitatively focused on the fetal-maternal interface, while paternal ICRs weakly affect non-convergent biological processes, with little consequence for viability at 8.5dpc. Moreover, genes regulated by maternal ICRs indirectly influence genes regulated by paternal ICRs, while the reverse is not observed. The functional dominance of maternal imprints over early embryonic development is potentially linked to selection pressures favoring methylation-dependent control of maternal over paternal ICRs. We previously hypothesized that the different methylation histories of ICRs in the maternal versus the paternal germlines may have put paternal ICRs under higher mutational pressure to lose CpGs by deamination. Using comparative genomics of 17 extant mammalian species, we show here that, while ICRs in general have been constrained to maintain more CpGs than non-imprinted sequences, the rate of CpG loss at paternal ICRs has indeed been higher than at maternal ICRs during evolution. In fact, maternal ICRs, which have the characteristics of CpG-rich promoters, have gained CpGs compared to non-imprinted CpG-rich promoters. Thus, the numerical and, during early embryonic development, functional dominance of maternal ICRs can be explained as the consequence of two

  1. Nano devices and sensors

    CERN Document Server

    Liaw, Shien-Kuei; Chung, Yung-Hui

    2016-01-01

    This volume on semiconductor devices focuses on such topics as nano-imprinting, lithography, nanowire charge-trapping, thermo-stability in nanowires, nano-electrodes, and voltage and materials used for fabricating and improving electrical characteristics of nano-materials.

  2. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  3. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    Science.gov (United States)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  4. Capacitive Biosensors and Molecularly Imprinted Electrodes.

    Science.gov (United States)

    Ertürk, Gizem; Mattiasson, Bo

    2017-02-17

    Capacitive biosensors belong to the group of affinity biosensors that operate by registering direct binding between the sensor surface and the target molecule. This type of biosensors measures the changes in dielectric properties and/or thickness of the dielectric layer at the electrolyte/electrode interface. Capacitive biosensors have so far been successfully used for detection of proteins, nucleotides, heavy metals, saccharides, small organic molecules and microbial cells. In recent years, the microcontact imprinting method has been used to create very sensitive and selective biorecognition cavities on surfaces of capacitive electrodes. This chapter summarizes the principle and different applications of capacitive biosensors with an emphasis on microcontact imprinting method with its recent capacitive biosensor applications.

  5. Stop grating for perfect replication of micro Fresnel lens by thermal imprinting

    International Nuclear Information System (INIS)

    Gao, Yulong; Lin, Jie; Jin, Peng; Tan, Jiubin; Davies, Graham; Prewett, Philip D

    2012-01-01

    A stop grating concept is proposed to improve polymer filling in the thermal imprinting of a micro Fresnel lens structure. The stop grating consists of line and space structures outside the Fresnel lens pattern zone area. The experimental results have proved that the stop grating can help to achieve the complete filling of a mold, at the same time acting as a stop to prevent possible damage to the mold surface relief structures during imprinting press. A computer simulation was carried out to identify the phenomena of micro-holes at the edge of imprinted pattern. By removing the cavity between the pattern area and stop grating, perfect imprinting results have been achieved. (paper)

  6. 3D Laser Imprint Using a Smoother Ray-Traced Power Deposition Method

    Science.gov (United States)

    Schmitt, Andrew J.

    2017-10-01

    Imprinting of laser nonuniformities in directly-driven icf targets is a challenging problem to accurately simulate with large radiation-hydro codes. One of the most challenging aspects is the proper construction of the complex and rapidly changing laser interference structure driving the imprint using the reduced laser propagation models (usually ray-tracing) found in these codes. We have upgraded the modelling capability in our massively-parallel fastrad3d code by adding a more realistic EM-wave interference structure. This interference model adds an axial laser speckle to the previous transverse-only laser structure, and can be impressed on our improved smoothed 3D raytrace package. This latter package, which connects rays to form bundles and performs power deposition calculations on the bundles, is intended to decrease ray-trace noise (which can mask or add to imprint) while using fewer rays. We apply this improved model to 3D simulations of recent imprint experiments performed on the Omega-EP laser and the Nike laser that examined the reduction of imprinting due to very thin high-Z target coatings. We report on the conditions in which this new model makes a significant impact on the development of laser imprint. Supported by US DoE/NNSA.

  7. Effect of template on chiral separation of phenylalanine using molecularly imprinted membrane in aqueous medium

    International Nuclear Information System (INIS)

    Haq, N.U.

    2014-01-01

    Wet phase inversion method was used to prepare L-Phenylalanine (L-Phe) and D-Phenylalanine (D-Phe) imprinted poly ((acrylonitrile)-co-(acrylic acid)) membranes for chiral separation. Ultrafiltration experiments were conducted to evaluate the chiral separation ability of the prepared membrane towards racemate aqueous solution of Phenylalanine. The continuous permselectivity was observed by novel membrane. The chiral resolution ability of L-Phe imprinted membrane was much better than that of D-Phe. It was observed that both membranes simultaneously, selectively reject, selectively adsorbed and selectively permeate solute. The achieved adsorption selectivities of L-Phe imprinted membrane (AlphaAds)L and D-Phe imprinted membrane (AlphaAds)D were 2.6 and 2.40 respectively. Permselectivity of L-Phe imprinted membrane (AlphaPerm)L was 2.56 while D-Phe imprinted membrane permselectivity (AlphaPerm)D was 2.03. The rejection selectivities of L-Phe and D-Phe imprinted membranes were (AlphaRej)L=0.32 and (AlphaRej)D =0.28 respectively. (author)

  8. Naproxen-imprinted xerogels in the micro- and nanospherical formsby emulsion technique.

    Science.gov (United States)

    Ornelas, Mariana; Azenha, Manuel; Pereira, Carlos; Silva, A Fernando

    2015-11-27

    Naproxen-imprinted xerogels in the microspherical and nanospherical forms were prepared by W/O emulsion and microemulsion, respectively. The work evolved from a sol–gel mixture previously reported for bulk synthesis. It was relatively simple to convert the original sol–gel mixture to one amenable to emulsion technique. The microspheres thus produced presented mean diameter of 3.7 μm, surface area ranging 220–340 m2/g, selectivity factor 4.3 (against ibuprofen) and imprinting factor 61. A superior capacity (9.4 μmol/g) was found, when comparing with imprints obtained from similar pre-gelification mixtures. However, slow mass transfer kinetics was deduced from column efficiency results. Concerning the nanospherical format, which constituted the first example of the production of molecularly imprinted xerogels in that format by microemulsion technique, adapting the sol–gel mixture was troublesome. In the end, nanoparticles with diameter in the order of 10 nm were finally obtained, exhibiting good indications of an efficient molecular imprinting process. Future refinements are necessary to solve serious aggregation issues, before moving to more accurate characterization of the binding characteristics or to real applications of the nanospheres.

  9. Polycarbonate as an Elasto-Plastic Material Model for Simulation of the Microstructure Hot Imprint Process

    Directory of Open Access Journals (Sweden)

    Rokas Šakalys

    2013-08-01

    Full Text Available The thermal imprint process of polymer micro-patterning is widely applied in areas such as manufacturing of optical parts, solar energy, bio-mechanical devices and chemical chips. Polycarbonate (PC, as an amorphous polymer, is often used in thermoforming processes because of its good replication characteristics. In order to obtain replicas of the best quality, the imprint parameters (e.g., pressure, temperature, time, etc. must be determined. Therefore finite element model of the hot imprint process of lamellar periodical microstructure into PC has been created using COMSOL Multiphysics. The mathematical model of the hot imprint process includes three steps: heating, imprinting and demolding. The material properties of amorphous PC strongly depend on the imprint temperature and loading pressure. Polycarbonate was modelled as an elasto-plastic material, since it was analyzed below the glass transition temperature. The hot imprint model was solved using the heat transfer and the solid stress-strain application modes with thermal contact problem between the mold and polycarbonate. It was used for the evaluation of temperature and stress distributions in the polycarbonate during the hot imprint process. The quality of the replica, by means of lands filling ratio, was determined as well.

  10. Ultrafast direct imprinting of nanostructures in metals by pulsed laser melting

    International Nuclear Information System (INIS)

    Cui Bo; Keimel, Chris; Chou, Stephen Y

    2010-01-01

    We report a method of one-step direct patterning of metallic nanostructures. In the method, termed laser assisted direct imprinting (LADI), the surface of a metal film on a substrate is melted by a single excimer laser pulse and subsequently imprinted within ∼100 ns using a transparent quartz mold, while the substrate is kept at a low temperature and in a solid phase. Using LADI, we imprinted gratings with ∼100 nm linewidth, 100 nm depth, and 200 nm pitch, as well as isolated mesas of ∼20 μm size, in Al, Au, Cu and Ni thin films. We found that the quartz mold was able to imprint metals even at temperatures higher than its melting point. The technique could be extended to other metals regardless of their ductility and hardness, and would find applications in photonic and plasmonic device production.

  11. XUV free-electron laser-based projection lithography systems

    Energy Technology Data Exchange (ETDEWEB)

    Newnam, B.E.

    1990-01-01

    Free-electron laser sources, driven by rf-linear accelerators, have the potential to operate in the extreme ultraviolet (XUV) spectral range with more than sufficient average power for high-volume projection lithography. For XUV wavelengths from 100 nm to 4 nm, such sources will enable the resolution limit of optical projection lithography to be extended from 0.25 {mu}m to 0.05{mu}m and with an adequate total depth of focus (1 to 2 {mu}m). Recent developments of a photoinjector of very bright electron beams, high-precision magnetic undulators, and ring-resonator cavities raise our confidence that FEL operation below 100 nm is ready for prototype demonstration. We address the motivation for an XUV FEL source for commercial microcircuit production and its integration into a lithographic system, include reflecting reduction masks, reflecting XUV projection optics and alignment systems, and surface-imaging photoresists. 52 refs., 7 figs.

  12. Highly selective BSA imprinted polyacrylamide hydrogels facilitated by a metal-coding MIP approach.

    Science.gov (United States)

    El-Sharif, H F; Yapati, H; Kalluru, S; Reddy, S M

    2015-12-01

    We report the fabrication of metal-coded molecularly imprinted polymers (MIPs) using hydrogel-based protein imprinting techniques. A Co(II) complex was prepared using (E)-2-((2 hydrazide-(4-vinylbenzyl)hydrazono)methyl)phenol; along with iron(III) chloroprotoporphyrin (Hemin), vinylferrocene (VFc), zinc(II) protoporphyrin (ZnPP) and protoporphyrin (PP), these complexes were introduced into the MIPs as co-monomers for metal-coding of non-metalloprotein imprints. Results indicate a 66% enhancement for bovine serum albumin (BSA) protein binding capacities (Q, mg/g) via metal-ion/ligand exchange properties within the metal-coded MIPs. Specifically, Co(II)-complex-based MIPs exhibited 92 ± 1% specific binding with Q values of 5.7 ± 0.45 mg BSA/g polymer and imprinting factors (IF) of 14.8 ± 1.9 (MIP/non-imprinted (NIP) control). The selectivity of our Co(II)-coded BSA MIPs were also tested using bovine haemoglobin (BHb), lysozyme (Lyz), and trypsin (Tryp). By evaluating imprinting factors (K), each of the latter proteins was found to have lower affinities in comparison to cognate BSA template. The hydrogels were further characterised by thermal analysis and differential scanning calorimetry (DSC) to assess optimum polymer composition. The development of hydrogel-based molecularly imprinted polymer (HydroMIPs) technology for the memory imprinting of proteins and for protein biosensor development presents many possibilities, including uses in bio-sample clean-up or selective extraction, replacement of biological antibodies in immunoassays and biosensors for medicine and the environment. Biosensors for proteins and viruses are currently expensive to develop because they require the use of expensive antibodies. Because of their biomimicry capabilities (and their potential to act as synthetic antibodies), HydroMIPs potentially offer a route to the development of new low-cost biosensors. Herein, a metal ion-mediated imprinting approach was employed to metal-code our

  13. Dense-plasma-driven ultrafast formation of FePt organization on ...

    Indian Academy of Sciences (India)

    1Kyushu Institute of Technology, Iizuka, Fukuoka 8208502, Japan. 2Department of Physics ... e-beam, and imprint lithography used for nano-patterning and array ... 2. Experimental. The plasma focus device (figure 1a) is a coaxial plasma gun.

  14. Short interspersed element (SINE) depletion and long interspersed element (LINE) abundance are not features universally required for imprinting.

    Science.gov (United States)

    Cowley, Michael; de Burca, Anna; McCole, Ruth B; Chahal, Mandeep; Saadat, Ghazal; Oakey, Rebecca J; Schulz, Reiner

    2011-04-20

    Genomic imprinting is a form of gene dosage regulation in which a gene is expressed from only one of the alleles, in a manner dependent on the parent of origin. The mechanisms governing imprinted gene expression have been investigated in detail and have greatly contributed to our understanding of genome regulation in general. Both DNA sequence features, such as CpG islands, and epigenetic features, such as DNA methylation and non-coding RNAs, play important roles in achieving imprinted expression. However, the relative importance of these factors varies depending on the locus in question. Defining the minimal features that are absolutely required for imprinting would help us to understand how imprinting has evolved mechanistically. Imprinted retrogenes are a subset of imprinted loci that are relatively simple in their genomic organisation, being distinct from large imprinting clusters, and have the potential to be used as tools to address this question. Here, we compare the repeat element content of imprinted retrogene loci with non-imprinted controls that have a similar locus organisation. We observe no significant differences that are conserved between mouse and human, suggesting that the paucity of SINEs and relative abundance of LINEs at imprinted loci reported by others is not a sequence feature universally required for imprinting.

  15. Short interspersed element (SINE depletion and long interspersed element (LINE abundance are not features universally required for imprinting.

    Directory of Open Access Journals (Sweden)

    Michael Cowley

    2011-04-01

    Full Text Available Genomic imprinting is a form of gene dosage regulation in which a gene is expressed from only one of the alleles, in a manner dependent on the parent of origin. The mechanisms governing imprinted gene expression have been investigated in detail and have greatly contributed to our understanding of genome regulation in general. Both DNA sequence features, such as CpG islands, and epigenetic features, such as DNA methylation and non-coding RNAs, play important roles in achieving imprinted expression. However, the relative importance of these factors varies depending on the locus in question. Defining the minimal features that are absolutely required for imprinting would help us to understand how imprinting has evolved mechanistically. Imprinted retrogenes are a subset of imprinted loci that are relatively simple in their genomic organisation, being distinct from large imprinting clusters, and have the potential to be used as tools to address this question. Here, we compare the repeat element content of imprinted retrogene loci with non-imprinted controls that have a similar locus organisation. We observe no significant differences that are conserved between mouse and human, suggesting that the paucity of SINEs and relative abundance of LINEs at imprinted loci reported by others is not a sequence feature universally required for imprinting.

  16. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    Science.gov (United States)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  17. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    Science.gov (United States)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  18. Preliminary evidence of a neurophysiological basis for individual discrimination in filial imprinting.

    Science.gov (United States)

    Town, Stephen Michael

    2011-12-01

    Filial imprinting involves a predisposition for biologically important stimuli and a learning process directing preferences towards a particular stimulus. Learning underlies discrimination between imprinted and unfamiliar individuals and depends upon the IMM (intermediate and medial mesopallium). Here, IMM neurons responded differentially to familiar and unfamiliar conspecifics following socialization and the neurophysiological effects of social experience differed between hemispheres. Such findings may provide a neurophysiological basis for individual discrimination in imprinting. Copyright © 2011 Elsevier B.V. All rights reserved.

  19. Silicon Nanowire Fabrication Using Edge and Corner Lithography

    NARCIS (Netherlands)

    Yagubizade, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt; Tas, Niels Roelof

    2010-01-01

    This paper presents a wafer scale fabrication method of single-crystalline silicon nanowires (SiNWs) bound by <111> planes using a combination of edge and corner lithography. These are methods of unconventional nanolithography for wafer scale nano-patterning which determine the size of nano-features

  20. Synthesis of L-lysine imprinted cryogels for immunoglobulin G adsorption

    Energy Technology Data Exchange (ETDEWEB)

    Çulha, Senem; Armutcu, Canan; Uzun, Lokman; Şenel, Serap, E-mail: senel@hacettepe.edu.tr; Denizli, Adil

    2015-07-01

    L-Lysine imprinted poly(2-hydroxyethyl methacrylate-co-N-methacryloyl-L-aspartic acid) [P(HEMA-co-MAAsp)] cryogels were synthesized and characterized with Fourier transform infrared spectroscopy, scanning electron microscopy, surface area measurements, swelling, and squeezing tests. Specific surface area for imprinted cryogel was 34.2 m{sup 2}/g while the value was 21.3 m{sup 2}/g for non-imprinted cryogel. IgG adsorption from aqueous solution was examined in continuous mode examining the factors effecting adsorption capacity such as pH, concentration, flow rate, temperature, ionic strength, and incubation time. 0.5 M NaCl was used as desorption agent. The IgG adsorption capacity was determined as 55.1 mg/g for 1.0 mg/mL IgG original concentration at 25.0 °C while pH and flow rate were 7.0 and 0.5 mL/min, respectively. When human serum was used as IgG source, the removal of 90.4% of crude IgG was attained for 1/20 diluted plasma sample. The imprinted cryogel was used in ten successive cycles without significant loss in adsorption capacity. The cryogel was determined to be 1.79 times more selective to IgG than albumin and 1.45 times more selective than hemoglobin. The adsorption behavior well suited to Langmuir isotherm and the kinetics followed pseudo-second-order model. Thermodynamic parameters ΔH°, ΔS° and ΔG° for this adsorption process were also calculated. - Highlights: • L-Lysine imprinted cryogels through epitope imprinting approach • Optimization of recognition conditions for template (L-lysine) and target (IgG) biomolecules • Efficient reusability (upto 10 cycles) without any significant change in capacity • A great potential for specific and selective IgG purification • Promising, cost-friendly, specific and selective adsorbent • IgG separation/purification from complex feeding solutions like human serum.

  1. Fabrication of Spin-Transfer Nano-Oscillator by Colloidal Lithography

    Directory of Open Access Journals (Sweden)

    Bin Fang

    2015-01-01

    Full Text Available We fabricate nanoscale spin-transfer oscillators (STOs by utilizing colloidal nanoparticles as a lithographic mask. By this approach, high quality STO devices can be fabricated, and as an example the fabricated STO devices using MgO magnetic tunnel junction as the basic cell exhibit current-induced microwave emission with a large frequency tunability of 0.22 GHz/mA. Compared to the conventional approaches that involve a step of defining nanoscale elements by means of electron beam lithography, which is not readily available for many groups, our strategy for STO fabrication does not require the sophisticated equipment (~ million dollars per unit and expensive lithography resist, while being cost-effective and easy to use in laboratory level. This will accelerate efforts to implement STO into on-chip integrated high-radio frequency applications.

  2. A low cost high resolution pattern generator for electron-beam lithography

    International Nuclear Information System (INIS)

    Pennelli, G.; D'Angelo, F.; Piotto, M.; Barillaro, G.; Pellegrini, B.

    2003-01-01

    A simple, very low cost pattern generator for electron-beam lithography is presented. When it is applied to a scanning electron microscope, the system allows a high precision positioning of the beam for lithography of very small structures. Patterns are generated by a suitable software implemented on a personal computer, by using very simple functions, allowing an easy development of new writing strategies for a great adaptability to different user necessities. Hardware solutions, as optocouplers and battery supply, have been implemented for reduction of noise and disturbs on the voltages controlling the positioning of the beam

  3. Feasibility of multi-walled carbon nanotube probes in AFM anodization lithography

    International Nuclear Information System (INIS)

    Choi, Ji Sun; Bae, Sukjong; Ahn, Sang Jung; Kim, Dal Hyun; Jung, Ki Young; Han, Cheolsu; Chung, Chung Choo; Lee, Haiwon

    2007-01-01

    Multi-walled carbon nanotube (CNT) tips were used in atomic force microscope (AFM) anodization lithography to investigate their advantages over conventional tips. The CNT tip required a larger threshold voltage than the mother silicon tip due to the Schottky barrier at the CNT-Si interface. Current-to-voltage curves distinguished the junction property between CNTs and mother tips. The CNT-platinum tip, which is more conductive than the CNT-silicon tip, showed promising results for AFM anodization lithography. Finally, the nanostructures with high aspect ratio were fabricated using a pulsed bias voltage technique as well as the CNT tip

  4. Molecular imprinting polymer with polyoxometalate/carbon nitride nanotubes for electrochemical recognition of bilirubin

    International Nuclear Information System (INIS)

    Yola, Mehmet Lütfi; Göde, Ceren; Atar, Necip

    2017-01-01

    Highlights: •Bilirubin-imprinted sensor is developed for the sensitive detection of bilirubin •The prepared based on nanocomposite were characterized by several methods. •Bilirubin-imprinted sensor offers the important advantages •Bilirubin-imprinted sensor is preferred to the other methods for analysis -- Abstract: In this work, a new molecular imprinted sensor based on polyoxometalate (H 3 PW 12 O 40 , POM) functionalized carbon nitride nanotubes (C 3 N 4 NTs) nanocomposite was prepared for bilirubin (BR) analysis. The structures of prepared surfaces based on the nanocomposite were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM), x-ray photoelectron spectroscopy (XPS) and energy dispersive x-ray analysis (EDX). After that, BR imprinted electrode on H 3 PW 12 O 40 /C 3 N 4 NTs nanocomposite was developed by cyclic voltammetry (CV) in 100 mM pyrrole containing 25 mM BR. The linearity range and the detection limit of the developed method were calculated as 1.0 × 10 −12 –1.0 × 10 −10 M and 3.0 × 10 −13 M, respectively. In addition, the imprinted sensor was applied to human plasma samples with high recovery and selectivity.

  5. Selective solid-phase extraction of Hg(II) using silica gel surface - imprinting technique

    International Nuclear Information System (INIS)

    Zheng, H.; Geng, T.; Hu, L.

    2008-01-01

    A new ion-imprinted amino-functionalized silica gel sorbent was synthesized by surface-imprinting technique for preconcentration and separation of Hg(II) prior to its determination by inductively coupled plasma optical emission spectrometry (ICP-OES). Compared to the traditional solid sorbents and non-imprinted polymer particles, the ion-imprinted polymers (IIPs) have higher adsorption capacity and selectivity for Hg(II). The maximum static adsorption capacity of the imprinted and non-imprinted sorbent for Hg(II) was 29.89 mg g -1 and 11.21 mg g -1 , respectively. The highest selectivity coefficient for Hg(II) in the presence of Zn(II) exceeded 230. The detection limit (3σ) of the method was 0.25 μg L -1 . The relative standard deviation of the method was 2.5% for eight replicate determinations of 10 μg of Hg 2+ in 200 mL-in-volume water sample. The procedure was validated by performing the analysis of the certified river sediment sample (GBW 08603, China) using the standard addition method. The developed method was also successfully applied to the determination of trace mercury in Chinese traditional medicine and water samples with satisfactory results. (authors)

  6. Chemical point detection using differential fluorescence from molecularly imprinted polymers

    Science.gov (United States)

    Pestov, Dmitry; Anderson, John E.; Nelson, Jean; Tepper, Gary C.

    2004-12-01

    Fluorescence represents one of the most attractive approaches for chemical sensing due to the abundant light produced by most fluorophores, resulting in excellent detection sensitivity. However, the broad and overlapping emission spectra of target and background species have made it difficult to perform species identification in a field instrument because of the need to perform spectral decomposition and analysis. This paper describes a new chemical sensing strategy based on differential fluorescence measurements from molecularly imprinted polymers, which eliminates the need to perform any spectral analysis. Species identification is accomplished by measuring the differential light output from a pair of polymers-one imprinted to a target species and the other identical, but not imprinted. The imprinted polymer selectively concentrates the target molecule and controls the energy (wavelength) of the emitted fluorescence signal and the differential output eliminates common mode signals associated with non-specific background interference. Because no spectral analysis is required, the sensors can be made extremely small and require very little power. Preliminary performance parameters from a prototype sensor are presented and discussed.

  7. Enhanced Absorption in Organic Thin-Films from Imprinted Concave Nanostructures

    Directory of Open Access Journals (Sweden)

    Arkadiusz Jarosław GOSZCZAK

    2017-02-01

    Full Text Available In this work, a rapid, replicable method for imprinting concave nanostructures to be used as functional light-trapping nanostructures in organic thin-films is presented. Porous anodic alumina templates were fabricated both by anodization of thick Al foils and by anodization of submicrometer thin Al films evaporated via e-beam evaporation on Si substrates. The template formation leads to natural patterning of the underlying Al layers that are used as rigid masters for stamp fabrication, after selective etching of the porous anodic alumina. PDMS stamps were made after replicating the Al concave patterns and used for imprinting of spin coated photoresist on glass substrates. We have investigated semi-periodic and aperiodic imprinted large concave patterns fabricated from rigid masters after anodization of Al in H3PO4. We show that metal covered imprinted concaves show enhancement in absorption that is attributed to field enhancement and diffuse scattering, leading to efficient light trapping for a selected active layer material (P3HT:PCBM.DOI: http://dx.doi.org/10.5755/j01.ms.23.1.14188

  8. Surface imprinted beads for the recognition of human serum albumin.

    Science.gov (United States)

    Bonini, Francesca; Piletsky, Sergey; Turner, Anthony P F; Speghini, Adolfo; Bossi, Alessandra

    2007-04-15

    The synthesis of poly-aminophenylboronic acid (ABPA) imprinted beads for the recognition of the protein human serum albumin (HSA) is reported. In order to create homogeneous recognition sites, covalent immobilisation of the template HSA was exploited. The resulting imprinted beads were selective for HSA. The indirect imprinting factor (IF) calculated from supernatant was 1.6 and the direct IF, evaluated from the protein recovered from the beads, was 1.9. The binding capacity was 1.4 mg/g, which is comparable to commercially available affinity materials. The specificity of the HSA recognition was evaluated with competitive experiments, indicating a molar ratio 4.5/1 of competitor was necessary to displace half of the bound HSA. The recognition and binding of the imprinted beads was also tested with a complex sample, human serum and targeted removal of HSA without a loss of the other protein components was demonstrated. The easy preparation protocol of derivatised beads and a good protein recognition properties make the approach an attractive solution to analytical and bio-analytical problems in the field of biotechnology.

  9. Using SNP markers to estimate additive, dominance and imprinting genetic variance

    DEFF Research Database (Denmark)

    Lopes, M S; Bastiaansen, J W M; Janss, Luc

    The contributions of additive, dominance and imprinting effects to the variance of number of teats (NT) were evaluated in two purebred pig populations using SNP markers. Three different random regression models were evaluated, accounting for the mean and: 1) additive effects (MA), 2) additive...... and dominance effects (MAD) and 3) additive, dominance and imprinting effects (MADI). Additive heritability estimates were 0.30, 0.28 and 0.27-0.28 in both lines using MA, MAD and MADI, respectively. Dominance heritability ranged from 0.06 to 0.08 using MAD and MADI. Imprinting heritability ranged from 0.......01 to 0.02. Dominance effects make an important contribution to the genetic variation of NT in the two lines evaluated. Imprinting effects appeared less important for NT than additive and dominance effects. The SNP random regression model presented and evaluated in this study is a feasible approach...

  10. Vitamin-caused faulty perinatal hormonal imprinting and its consequences in adult age.

    Science.gov (United States)

    Csaba, G

    2017-09-01

    Lipid-soluble vitamins (vitamins A, D, E, and K) are actually hormones (exohormones), as they can be directly bound by hormone receptors or are in connection with molecules, which influence hormone receptors. Vitamin D is a transition between endo- and exohormones and the possibility of similar situation in case of other lipid-soluble hormones is discussed. The perinatal exposition with these "vitamins" can cause faulty perinatal hormonal imprinting with similar consequences as the faulty imprinting by the synthetic endohormones, members of the same hormone family or industrial, communal, or medical endocrine disruptors. The faulty imprinting leads to late (lifelong) consequences with altered hormone binding by receptors, altered sexuality, brain function, immunity, bone development, and fractures, etc. In addition, as hormonal imprinting is an epigenetic process, the effect of a single exposure by fat-soluble vitamins is inherited to the progeny generations. As vitamins are handled differently from hormones; however, perinatal treatments take place frequently and sometimes it is forced, the negative late effect of faulty perinatal vitamin-caused hormonal imprinting must be considered.

  11. Elucidation of Type of Molecular Interactions for the Imprinting of Shikimic Acid

    International Nuclear Information System (INIS)

    Beng, Y.C.; Nor Azah Yusof

    2016-01-01

    During the preparation of shikimic acid imprinted polymer, the effect of rebinding solution water content on the rebinding performance of shikimic acid (SA) imprinted polymer was studied. Result showed that the highest affinity toward SA was in a 100 % aqueous rebinding solution, suggesting that the interactions occurred between SA and the polymer were ionic and/ or hydrophobic in nature. Further study on the effect of rebinding solution pH to the SA imprinted polymer rebinding performance showed that the performance of the SA imprinted polymer depended on the rebinding solution pH and the highest affinity occurred at pH 4.0; this confirmed that ionic interaction played an important role in the rebinding of SA. Taking into account the deductions made from the combined results of the rebinding solution water content and effect of pH, together with observation of the red shift in the SA spectral during ultraviolet-visible spectroscopic titration experiments, suggest that the specificity shown by SA imprinted polymer in the aqueous solution was due to both ionic and π-π hydrophobic interactions. (author)

  12. EUV lithography : historical perspective and road ahead

    NARCIS (Netherlands)

    Banine, V.Y.

    2014-01-01

    Lithography, in the form of carved type printing, can be dated as far back as the 3rd century AD. Starting from the 19th century it played a major role as the basis for dissemination and preservation of knowledge in the form of printed books, maps, newspapers, etc. In the mid 20th century, with the

  13. Report of the second workshop on synchrotron radiation sources for x-ray lithography

    International Nuclear Information System (INIS)

    Barton, M.Q.; Craft, B.; Williams, G.P.

    1986-01-01

    The reported workshop is part of an effort to implement a US-based x-ray lithography program. Presentations include designs for three storage rings (one superconducting and two conventional) and an overview of a complete lithography program. The background of the effort described, the need for synchrotron radiation, and the international competition in the area are discussed briefly. The technical feasibility of x-ray lithography is discussed, and synchrotron performance specifications and construction options are given, as well as a near-term plan. It is recommended that a prototype synchrotron source be built as soon as possible, and that a research and development plan on critical technologies which could improve cost effectiveness of the synchrotron source be established. It is further recommended that a small number of second generation prototype synchrotrons be distributed to IC manufacturing centers to expedite commercialization

  14. Fabrication of nano-electrode arrays of free-standing carbon nanotubes on nano-patterned substrate by imprint method

    Energy Technology Data Exchange (ETDEWEB)

    Chang, W.S., E-mail: paul@kimm.re.kr [Department of Nano Mechanics, Korea Institute of Machinery and Materials, 104 Sinseongno, Yuseong-gu Daejeon 305-343 (Korea, Republic of); Kim, J.W. [Gyeongbuk Hybrid Technology Institute, 36 Goeyeon-dong, Yeongcheon, Gyeongbuk 770-170 (Korea, Republic of); Choi, D.G. [Department of Nano Mechanics, Korea Institute of Machinery and Materials, 104 Sinseongno, Yuseong-gu Daejeon 305-343 (Korea, Republic of); Han, C.S. [Gyeongbuk Hybrid Technology Institute, 36 Goeyeon-dong, Yeongcheon, Gyeongbuk 770-170 (Korea, Republic of)

    2011-01-15

    The synthesis of isolated carbon nanotubes with uniform outer diameters and ordered spacing over wafer-scale areas was investigated for fabrication of nano-electrode arrays on silicon wafers for field emission and sensor devices. Multi-walled carbon nanotubes (MWCNTs) were grown on TiN electrode layer with iron catalyst patterned by nano-imprint lithography (NIL), which allows the precise placement of individual CNTs on a substrate. The proposed techniques, including plasma-enhanced chemical vapor deposition (PECVD) and NIL, are simple, inexpensive, and reproducible methods for fabrication of nano-scale devices in large areas. The catalyst patterns were defined by an array of circles with 200 nm in diameter, and variable lengths of pitch. The nano-patterned master and Fe catalyst were observed with good pattern fidelity over a large area by atomic force microscope (AFM) and scanning electron microscopy (SEM). Nano-electrodes of MWCNTs had diameters ranging from 50 nm to 100 nm and lengths of about 300 nm. Field emission tests showed the reducing ignition voltage as the geometry of nanotube arrays was controlled by catalyst patterning. These results showed a wafer-scale approach to the control of the size, pitch, and position of nano-electrodes of nanotubes for various applications including electron field-emission sources, electrochemical probes, functionalized sensor elements, and so on.

  15. Biopolymeric receptor for peptide recognition by molecular imprinting approach—Synthesis, characterization and application

    International Nuclear Information System (INIS)

    Singh, Lav Kumar; Singh, Monika; Singh, Meenakshi

    2014-01-01

    The present work is focused on the development of a biocompatible zwitterionic hydrogel for various applications in analytical chemistry. Biopolymer chitosan was derivatized to obtain a series of zwitterionic hydrogel samples. Free amino groups hanging on the biopolymeric chain were reacted with γ-butyrolactone to quaternize the N-centers of polymeric chain. N,N-methylene-bis-acrylamide acts as a crosslinker via Michael-type addition in the subsequent step and facilitated gelation of betainized chitosan. These biopolymeric hydrogel samples were fully characterized by FTIR, 1 H NMR, 13 C NMR spectra, SEM and XRD. Hydrogels were further characterized for their swelling behavior at varying parameters. The extent of swelling was perceived to be dictated by solvent composition such as pH, ionic strength and temperature. This valuable polymeric format is herein chosen to design an artificial receptor for dipeptide ‘carnosine’, which has adequate societal significance to be analytically determined, by molecular imprinting. Electrostatic interactions along with complementary H-bonding and other hydrophobic interactions inducing additional synergetic effect between the template (carnosine) and the imprinted polymer led to the formation of imprinted sites. The MIP was able to selectively and specifically take up carnosine from aqueous solution quantitatively. Thus prepared MIPs were characterized by FTIR spectroscopy, SEM providing evidence for the quality and quantity of imprinted gels. The binding studies showed that the MIP illustrated good recognition for carnosine as compared to non-imprinted polymers (NIPs). Detection limit was estimated as 3.3 μg mL −1 . Meanwhile, selectivity experiments demonstrated that imprinted gel had a high affinity to carnosine in the presence of close structural analogues (interferrants). - Highlights: • Development of a biocompatible zwitterionic hydrogel • A series of chitosan-derived zwitterionic hydrogel samples • Polymeric

  16. Optimal synthesis of a Ni(II)-dimethylglyoxime ion-imprinted polymer ...

    African Journals Online (AJOL)

    A Ni(II)-dimethylglyoxime ion-imprinted polymer {Ni(II)-DMG IIP} was optimised by the uniform design experimental method and used to adsorb Ni(II) ions from water, soil and mine tailing samples. This aimed to improve the performance of this ion-imprinted polymer in trapping Ni(II) ions from soil and mine tailing samples ...

  17. Dynamic Properties of Individual Carbon Nanotube Emitters for Maskless Lithography

    National Research Council Canada - National Science Library

    Ribaya, Bryan P; Niemann, Darrell L; Makarewicz, Joseph; Gunther, Norman G; Nguyen, Cattien V; Rahman, Mahmud

    2008-01-01

    .... The individual CNT's low electron beam energy spread and high brightness values make it particularly desirable for advanced applications such as electron microscopy and electron beam lithography...

  18. Charged hydrogels for post-loading, release, and molecular imprinting of proteins

    NARCIS (Netherlands)

    Schillemans, J.P.|info:eu-repo/dai/nl/304835137

    2010-01-01

    Molecular imprinting is a technique to create template-shaped cavities in polymer matrices with memory of the template molecules, to be used in molecular recognition. Molecular imprinting of low molecular weight compounds is a well established technique used to create high affinity materials. On the

  19. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    Science.gov (United States)

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  20. Cholesterol-imprinted macroporous monoliths: Preparation and characterization.

    Science.gov (United States)

    Stepanova, Mariia А; Kinziabulatova, Lilia R; Nikitina, Anna A; Korzhikova-Vlakh, Evgenia G; Tennikova, Tatiana B

    2017-11-01

    The development of sorbents for selective binding of cholesterol, which is a risk factor for cardiovascular disease, has a great importance for analytical science and medicine. In this work, two series of macroporous cholesterol-imprinted monolithic sorbents differing in the composition of functional monomers (methacrylic acid, butyl methacrylate, 2-hydroxyethyl methacrylate and ethylene dimethacrylate), amount of a template (4, 6 and 8 mol%) used for molecular imprinting, as well as mean pore size were synthesized by in situ free-radical process in stainless steel housing of 50 mm × 4.6 mm i.d. All prepared materials were characterized regarding to their hydrodynamic permeability and porous properties, as well as examined by BET and SEM methods. Imprinting factors, apparent dynamic dissociation constants, the maximum binding capacity, the number of theoretical plates and the height equivalent to a theoretical palate of MIP monoliths at different mobile phase flow rates were determined. The separation of a mixture of structural analogues, namely, cholesterol and prednisolone, was demonstrated. Additionally, the possibility of using the developed monoliths for cholesterol solid-phase extraction from simulated biological solution was shown. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Molecular imprinting of enzymes with water-insoluble ligands for nonaqueous biocatalysis.

    Science.gov (United States)

    Rich, Joseph O; Mozhaev, Vadim V; Dordick, Jonathan S; Clark, Douglas S; Khmelnitsky, Yuri L

    2002-05-15

    Attaining higher levels of catalytic activity of enzymes in organic solvents is one of the major challenges in nonaqueous enzymology. One of the most successful strategies for enhancing enzyme activity in organic solvents involves tuning the enzyme active site by molecular imprinting with substrates or their analogues. Unfortunately, numerous imprinters of potential importance are poorly soluble in water, which significantly limits the utility of this method. In the present study, we have developed strategies that overcome this limitation of the molecular-imprinting technique and that thus expand its applicability beyond water-soluble ligands. The solubility problem can be addressed either by converting the ligands into a water-soluble form or by adding relatively high concentrations of organic cosolvents, such as tert-butyl alcohol and 1,4-dioxane, to increase their solubility in the lyophilization medium. We have succeeded in applying both of these strategies to produce imprinted thermolysin, subtilisin, and lipase TL possessing up to 26-fold higher catalytic activity in the acylation of paclitaxel and 17beta-estradiol compared to nonimprinted enzymes. Furthermore, we have demonstrated for the first time that molecular imprinting and salt activation, applied in combination, produce a strong additive activation effect (up to 110-fold), suggesting different mechanisms of action involved in these enzyme activation techniques.

  2. From 2D Lithography to 3D Patterning

    NARCIS (Netherlands)

    Van Zeijl, H.W.; Wei, J.; Shen, C.; Verhaar, T.M.; Sarro, P.M.

    2010-01-01

    Lithography as developed for IC device fabrication is a high volume high accuracy patterning technology with strong 2 dimensional (2D) characteristics. This 2D nature makes it a challenge to integrate this technology in a 3 dimensional (3D) manufacturing environment. This article addresses the

  3. Phytosterol Recognition via Rationally Designed Molecularly Imprinted Polymers

    Directory of Open Access Journals (Sweden)

    Lachlan J. Schwarz

    2018-02-01

    Full Text Available Molecularly imprinted polymers (MIPs prepared via a semi-covalent imprinting strategy using stigmasteryl methacrylate as a polymerisable template have been evaluated by static binding methods for their ability to selectively capture other valuable phytosterol targets, including campesterol and brassicasterol. Design criteria based on molecular modelling procedures and interaction energy calculations were employed to aid the selection of the co-monomer type, as well as the choice of co-monomer:template ratios for the formation of the pre-polymerisation complex. These novel hybrid semi-covalently imprinted polymers employed N,N′-dimethylacryl-amide (N,N′-DMAAM as the functional co-monomer and displayed specific binding capacities in the range 5.2–5.9 mg sterol/g MIP resin. Their binding attributes and selectivities towards phytosterol compounds were significantly different to the corresponding MIPs prepared via non-covalent procedures or when compared to non-imprinted polymers. Cross-reactivity studies using stigmasterol, ergosterol, cholesterol, campesterol, and brassicasterol as single analytes revealed the importance of the A-ring C-3-β-hydroxyl group and the orientational preferences of the D-ring alkyl chain structures in their interaction in the templated cavity with the N,N′-dimethylamide functional groups of the MIP. Finally, to obtain useful quantities of both campersterol and brassicasterol for these investigations, improved synthetic routes have been developed to permit the conversion of the more abundant, lower cost stigmasterol via a reactive aldehyde intermediate to these other sterols.

  4. Pattern Definition with DUV-Lithography at DTU Danchip

    DEFF Research Database (Denmark)

    Keil, Matthias; Khomtchenko, Elena; Nyholt, Henrik

    2014-01-01

    Deep ultra violet (DUV) illumination generated with the help of a KrF laser can be utilized to produce components having sizes of some hundreds of nanometers. This light source with its 248nm wavelength is exploited in the DUV-lithography equipment at DTU Danchip in order to fill the resolution gap...... - as shown in fig. 2 - utilizing the possibility of beam shape variations that enables to adapt the resolution and the depth of focus of the stepper to the requirements of the fabricated device. However, generally the highest achievable resolution is dependent on the pattern type - as e.g. pillar, line...... or hole comprising patterns -, its symmetry and the separations between the different structures. The projection lithography tool FPA-3000EX4 from Canon (max. NA=0,6; 1:5 reduction) produces patterns on the wafer within a maximum chip area of 22x22mm2 that can be stitched together with an accuracy of 3σ...

  5. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    International Nuclear Information System (INIS)

    Li, Li; Zhang, Ziang; Yu, Miao; Song, Zhengxun; Weng, Zhankun; Wang, Zuobin; Li, Wenjun; Wang, Dapeng; Zhao, Le; Peng, Kuiqing

    2015-01-01

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arrays with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ 0  = 1064 nm. The minimal feature size is only several nanometers (sub λ 0 /100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser

  6. Selectivity enhancement of phosphate based functional polymeric gels towards Uranium(VI) using ion imprinting

    International Nuclear Information System (INIS)

    Chappa, Sankararao; Agarwal, Chhavi; Pandey, A.K.

    2015-01-01

    The imprinting of gel containing polymer chains of ethylene glycol methacrylate phosphate (EGMP) for U(VI) ions were carried out. The U(VI) imprinting has been carried out in pure EGMP and in EGMP: poly(ethylene glycol) methacrylate (PEGMA) = 1:9 composition. Complexation of the monomer with template (U(VI)) followed by polymerization have been used to imprint the U(VI) onto the polymer chains. The comparison of uptake studies of U(VI) and Pu(IV) at 3 M HNO 3 shows increased selectivity of U(VI) relative to Pu(IV) for both the gel compositions. The less uptake of U(VI) for imprinted EGMP:PEGMA gel relative to pure imprinted EGMP gel at tracer level can be possibly due to the requirement of two EGMP units in the vicinity for U(VI) complexation. (author)

  7. Highly sensitive and selective hyphenated technique (molecularly imprinted polymer solid-phase microextraction-molecularly imprinted polymer sensor) for ultra trace analysis of aspartic acid enantiomers.

    Science.gov (United States)

    Prasad, Bhim Bali; Srivastava, Amrita; Tiwari, Mahavir Prasad

    2013-03-29

    The present work is related to combination of molecularly imprinted solid-phase microextraction and complementary molecularly imprinted polymer-sensor. The molecularly imprinted polymer grafted on titanium dioxide modified silica fiber was used for microextraction, while the same polymer immobilized on multiwalled carbon nanotubes/titanium dioxide modified pencil graphite electrode served as a detection tool. In both cases, the surface initiated polymerization was found to be advantageous to obtain a nanometer thin imprinted film. The modified silica fiber exhibited high adsorption capacity and enantioselective diffusion of aspartic acid isomers into respective molecular cavities. This combination enabled double preconcentrations of d- and l-aspartic acid that helped sensing both isomers in real samples, without any cross-selectivity and matrix complications. Taking into account 6×10(4)-fold dilution of serum and 2×10(3)-fold dilution of cerebrospinal fluid required by the proposed method, the limit of detection for l-aspartic acid is 0.031ngmL(-1). Also, taking into account 50-fold dilution required by the proposed method, the limit of detection for d-aspartic acid is 0.031ngmL(-1) in cerebrospinal fluid. Copyright © 2013 Elsevier B.V. All rights reserved.

  8. Imprint cytology on microcalcifications excised by Vacuum-Assisted Breast Biopsy: A rapid preliminary diagnosis

    Directory of Open Access Journals (Sweden)

    Drouveli Theodora

    2007-04-01

    Full Text Available Abstract Background To evaluate imprint cytology in the context of specimens with microcalcifications derived from Vacuum-Assisted Breast Biopsy (VABB. Patients and methods A total of 93 women with microcalcifications BI-RADS 3 and 4 underwent VABB and imprint samples were examined. VABB was performed on Fischer's table using 11-gauge Mammotome vacuum probes. A mammogram of the cores after the procedure confirmed the excision of microcalcifications. For the application of imprint cytology, the cores with microcalcifications confirmed by mammogram were gently rolled against glass microscope slides and thus imprint smears were made. For rapid preliminary diagnosis Diff-Quick stain, modified Papanicolaou stain and May Grunwald Giemsa were used. Afterwards, the core was dipped into a CytoRich Red Collection fluid for a few seconds in order to obtain samples with the use of the specimen wash. After the completion of cytological procedures, the core was prepared for routine histological study. The pathologist was blind to the preliminary cytological results. The cytological and pathological diagnoses were comparatively evaluated. Results According to the pathological examination, 73 lesions were benign, 15 lesions were carcinomas (12 ductal carcinomas in situ, 3 invasive ductal carcinomas, and 5 lesions were precursor: 3 cases of atypical ductal hyperplasia (ADH and 2 cases of lobular neoplasia (LN. The observed sensitivity and specificity of the cytological imprints for cancer were 100% (one-sided, 97.5% CI: 78.2%–100%. Only one case of ADH could be detected by imprint cytology. Neither of the two LN cases was detected by the imprints. The imprints were uninformative in 11 out of 93 cases (11.8%. There was no uninformative case among women with malignancy. Conclusion Imprint cytology provides a rapid, accurate preliminary diagnosis in a few minutes. This method might contribute to the diagnosis of early breast cancer and possibly attenuates

  9. Fabrication and nano-imprintabilities of Zr-, Pd- and Cu-based glassy alloy thin films

    International Nuclear Information System (INIS)

    Takenaka, Kana; Saidoh, Noriko; Nishiyama, Nobuyuki; Inoue, Akihisa

    2011-01-01

    With the aim of investigating nano-imprintability of glassy alloys in a film form, Zr 49 Al 11 Ni 8 Cu 32 , Pd 39 Cu 29 Ni 13 P 19 and Cu 38 Zr 47 Al 9 Ag 6 glassy alloy thin films were fabricated on Si substrate by a magnetron sputtering method. These films exhibit a very smooth surface, a distinct glass transition phenomenon and a large supercooled liquid region of about 80 K, which are suitable for imprinting materials. Moreover, thermal nano-imprintability of these obtained films is demonstrated by using a dot array mold with a dot diameter of 90 nm. Surface observations revealed that periodic nano-hole arrays with a hole diameter of 90 nm were successfully imprinted on the surface of these films. Among them, Pd-based glassy alloy thin film indicated more precise pattern imprintability, namely, flatter residual surface plane and sharper hole edge. It is said that these glassy alloy thin films, especially Pd-based glassy alloy thin film, are one of the promising materials for fabricating micro-machines and nano-devices by thermal imprinting.

  10. Synthesis and application of magnetic molecularly imprinted polymers in sample preparation.

    Science.gov (United States)

    Huang, Shuyao; Xu, Jianqiao; Zheng, Jiating; Zhu, Fang; Xie, Lijun; Ouyang, Gangfeng

    2018-04-12

    Magnetic molecularly imprinted polymers (MMIPs) have superior advantages in sample pretreatment because of their high selectivity for target analytes and the fast and easy isolation from samples. To meet the demand of both good magnetic property and good extraction performance, MMIPs with various structures, from traditional core-shell structures to novel composite structures with a larger specific surface area and more accessible binding sites, are fabricated by different preparation technologies. Moreover, as the molecularly imprinted polymer (MIP) layers determine the affinity, selectivity, and saturated adsorption amount of MMIPs, the development and innovation of the MIP layer are attracting attention and are reviewed here. Many studies that used MMIPs as sorbents in dispersive solid-phase extraction of complex samples, including environmental, food, and biofluid samples, are summarized. Graphical abstract The application of magnetic molecularly imprinted polymers (MIPs) in the sample preparation procedure improves the analytical performances for complex samples. MITs molecular imprinting technologies.

  11. Characterization of the imprinting and expression patterns of ZAG2 in maize endosperm and embryo

    Directory of Open Access Journals (Sweden)

    Chaoxian Liu

    2015-02-01

    Full Text Available ZAG2 has been identified as a maternally expressed imprinted gene in maize endosperm. Our study revealed that paternally inherited ZAG2 alleles were imprinted in maize endosperm and embryo at 14 days after pollination (DAP, and consistently imprinted in endosperm at 10, 12, 16, 18, 20, 22, 24, 26, and 28 DAP in reciprocal crosses between B73 and Mo17. ZAG2 alleles were also imprinted in reciprocal crosses between Zheng 58 and Chang 7-2 and between Huang C and 178. ZAG2 alleles exhibited differential imprinting in hybrids of 178 × Huang C and B73 × Mo17, while in other hybrids ZAG2 alleles exhibited binary imprinting. The tissue-specific expression pattern of ZAG2 showed that ZAG2 was expressed at a high level in immature ears, suggesting that ZAG2 plays important roles in not only kernel but ear development.

  12. Soft-X-Ray Projection Lithography Using a High-Repetition-Rate Laser-Induced X-Ray Source for Sub-100 Nanometer Lithography Processes

    NARCIS (Netherlands)

    E. Louis,; F. Bijkerk,; Shmaenok, L.; Voorma, H. J.; van der Wiel, M. J.; Schlatmann, R.; Verhoeven, J.; van der Drift, E. W. J. M.; Romijn, J.; Rousseeuw, B. A. C.; Voss, F.; Desor, R.; Nikolaus, B.

    1993-01-01

    In this paper we present the status of a joint development programme on soft x-ray projection lithography (SXPL) integrating work on high brightness laser plasma sources. fabrication of multilayer x-ray mirrors. and patterning of reflection masks. We are in the process of optimization of a

  13. Preparation of bio-based keratin-derived magnetic molecularly imprinted polymer nanoparticles for the facile and selective separation of bisphenol A from water.

    Science.gov (United States)

    Hassanzadeh, Marjan; Ghaemy, Mousa

    2018-02-21

    In this study, new bio-based magnetic molecularly imprinted polymer nanoparticles (∼23 nm) were synthesized from keratin extracted from chicken feathers and methacrylate-functionalized Fe 3 O 4 nanoparticles for its potential application in separation and removal of bisphenol A from water. The prepared magnetic molecularly imprinted polymer was characterized by Fourier-transform infrared spectroscopy, field-emission scanning electron microscopy, thermogravimetric analysis, alternative gradient field magnetometry, and energy-dispersive X-ray spectroscopy. The sorption of bisphenol A was investigated by changing the influencing factors such as pH, immersion time, Fe 3 O 4 nanoparticles dosage, and the initial concentration of bisphenol A. Results illustrated that sorption was very fast and efficient (Q m  = 600 mg/g) having a removal efficiency of ∼98% in 40 min of immersion. The adsorption process showed better conformity with the Weber-Morris kinetics and the Freundlich isotherm model. The selectivity of bisphenol A by adsorbent was checked in the presence of hydroquinone, phenol, tetrabromobisphenol, and 4,4'-biphenol as interferences. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Effects of imprint training procedure at birth on the reactions of foals at age six months.

    Science.gov (United States)

    Williams, J L; Friend, T H; Collins, M N; Toscano, M J; Sisto-Burt, A; Nevill, C H

    2003-03-01

    While imprint training procedures have been promoted in popular magazines, they have received limited scientific investigation. To determine the effects of a neonatal imprint training procedure on 6-month-old foals and to determine if any one session had a greater effect than others. Foals (n = 131) were divided into the following treatments: no imprint training, imprint training at birth, 12, 24 and 48 h after birth or imprint training only at birth, 12, 24, 48, or 72 h after birth. Foals then received minimal human handling until they were tested at 6 months. During training, time to complete exposure to the stimulus was significant for only 2 of 6 stimuli. Percentage change in baseline heart rate was significant for only 2 of 10 stimuli. These 4 effects were randomly spread across treatments. Neither the number of imprint training sessions (0, 1, or 4) nor the timing of imprint training sessions (none, birth, 12, 24, 48, or 72 h after birth) influenced the foal's behaviour at 6 months of age. In this study, imprint training did not result in better behaved, less reactive foals.

  15. The effect of increased irradiation uniformity on imprinting by 351-nm laser light

    International Nuclear Information System (INIS)

    Boehly, T.R.; Smalyuk, V.A.; Meyerhofer, D.D.; Knauer, J.P.; Bradley, D.K.; Verdon, C.P.; Kalantar, D.

    1997-01-01

    The acceleration-driven growth of perturbations initially seeded (or imprinted) by irradiation nonuniformities is a major concern for direct-drive ICF. We report on experiments where unperturbed, CH 2 targets were irradiated with 3-ns square pulses at 2x10 14 W/cm 2 using five overlapped UV beams. The uniformity of these beams was varied and subsequent unstable growth of irradiation imprinting was observed using time-gated x-radiography. We demonstrate that increased irradiation uniformity reduces imprinting, and we show the beneficial effects of distributed polarization rotators a new beam-smoothing device. In addition, we discuss experiments using a ' perturbing beam' to study the time dependence of imprinting. copyright 1997 American Institute of Physics

  16. Verification of epigenetic inheritance in a unicellular model system: multigenerational effects of hormonal imprinting.

    Science.gov (United States)

    Kőhidai, László; Lajkó, Eszter; Pállinger, Eva; Csaba, György

    2012-10-01

    The unicellular Tetrahymena has receptors for hormones of higher vertebrates, produces these hormones, and their signal pathways are similar. The first encounter with a hormone in higher dose provokes the phenomenon of hormonal imprinting, by which the reaction of the cell is quantitatively modified. This modification is transmitted to the progeny generations. The duration of the single imprinter effect of two representative signal molecules, insulin and 5-HT (5-hydroxytryptamine), in two concentrations (10(-6) and 10(-15) M) were studied. The effects of imprinting were followed in 5 physiological indices: (i) insulin binding, (ii) 5-HT synthesis, (iii) swimming behaviour, (iv) cell growth and (v) chemotaxis in progeny generations 500 and 1000. The result of each index was different from the non-imprinted control functions, growth rate, swimming behaviour and chemotactic activity to insulin being enhanced, while others, e.g. synthesis and chemotactic responsiveness of 5-HT and the binding of insulin were reduced. This means that a function-specific heritable epigenetic change during imprinting occurs, and generally a single encounter with a femtomolar hormone concentration is enough for provoking durable and heritable imprinting in Tetrahymena. The experiments demonstrate the possibility of epigenetic effects at a unicellular level and call attention to the possibility that the character of unicellular organisms has changed through to the present day due to an enormous amount of non-physiological imprinter substances in their environment. The results - together with results obtained earlier in mammals - point to the validity of epigenetic imprinting effects throughout the animal world.

  17. Electropolymerized Molecularly Imprinted Polypyrrole Film for Sensing of Clofibric Acid

    OpenAIRE

    Schweiger, Bianca; Kim, Jungtae; Kim, Young; Ulbricht, Mathias

    2015-01-01

    Piezoelectric quartz crystals and analogous gold substrates were electrochemically coated with molecularly imprinted polypyrrole films for pulsed amperometric detection (PAD) of clofibric acid, a metabolite of clofibrate. Cyclic voltammetry data obtained during polymerization and deposited weight estimations revealed a decrease of the polymerization rate with increasing clofibric acid concentration. XPS measurements indicated that clofibric acid could be removed after imprinting with an aqueo...

  18. From 3D to 2D: a review of the molecular imprinting of proteins.

    Science.gov (United States)

    Turner, Nicholas W; Jeans, Christopher W; Brain, Keith R; Allender, Christopher J; Hlady, Vladimir; Britt, David W

    2006-01-01

    Molecular imprinting is a generic technology that allows for the introduction of sites of specific molecular affinity into otherwise homogeneous polymeric matrices. Commonly this technique has been shown to be effective when targeting small molecules of molecular weight proteins has proven difficult. A number of key inherent problems in protein imprinting have been identified, including permanent entrapment, poor mass transfer, denaturation, and heterogeneity in binding pocket affinity, which have been addressed using a variety of approaches. This review focuses on protein imprinting in its various forms, ranging from conventional bulk techniques to novel thin film and monolayer surface imprinting approaches.

  19. Molecularly Imprinted Polymers: Thermodynamic and Kinetic Considerations on the Specific Sorption and Molecular Recognition

    Directory of Open Access Journals (Sweden)

    Kejun Tong

    2008-04-01

    Full Text Available This article presents a work aiming at thermodynamically and kinetically interpreting the specific sorption and recognition by a molecularly imprinted polymer. Using Boc-L-Phe-OH as a template, the imprinted material was prepared. The result indicates that the prepared polymer can well discriminate the imprint species from its analogue (Boc-D-Phe-OH, so as to adsorb more for the former but less for the latter. Kinetic analysis indicates that this specific sorption, in nature, can be a result of a preferential promotion. The imprint within the polymer causes a larger adsorption rate for the template than for the analogue. Thermodynamic study also implies that the molecular induction from the specific imprint to the template is larger than to the analogue, which thus makes the polymer capable of preferentially alluring the template to bind.

  20. Familiarity interferes with filial imprinting

    NARCIS (Netherlands)

    vanKampen, HS; deVos, GJ

    1996-01-01

    The present study was performed to investigate whether and how pre-exposure to an object affects subsequent filial imprinting to that object. In Experiment 1 junglefowl chicks (Gallus gallus spadiceus) were first exposed to either a red object alone (control group), or a red and a yellow object

  1. Molecularly imprinted poly(4-amino-5-hydroxy-2,7-naphthalenedisulfonic acid) modified glassy carbon electrode as an electrochemical theophylline sensor.

    Science.gov (United States)

    Aswini, K K; Vinu Mohan, A M; Biju, V M

    2016-08-01

    Theophylline is an inexpensive drug employed in asthma and chronic obstructive pulmonary disorder medications and is toxic at higher concentration. The development of a molecularly imprinted polymer based theophylline electrochemical sensor on glassy carbon electrode by the electropolymerization of 4-amino-5-hydroxy-2,7-naphthalenedisulfonic acid is being discussed in this work. The MIP modification enhances the theophylline recognition ability and the electron transfer kinetics of the bare electrode. The parameters, controlling the performance of the imprinted polymer based sensor, like number of electropolymerization cycles, composition of the pre-polymerization mixture, pH and immersion time were investigated and optimized. The interaction energy and the most stable conformation of the template-monomer complex in the pre-polymerization mixture were determined computationally using ab initio calculations based on density functional theory. The amperometric measurements showed that the developed sensor has a method detection limit of 0.32μM for the dynamic range of 0.4 to 17μM, at optimized conditions. The transducer possesses appreciable selectivity in the presence of structurally similar interferents such as theobromine, caffeine and doxofylline. The developed sensor showed remarkable stability and reproducibility and was also successfully employed in theophylline detection from commercially available tablets. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. An electron undulating ring for VLSI lithography

    International Nuclear Information System (INIS)

    Tomimasu, T.; Mikado, T.; Noguchi, T.; Sugiyama, S.; Yamazaki, T.

    1985-01-01

    The development of the ETL storage ring ''TERAS'' as an undulating ring has been continued to achieve a wide area exposure of synchrotron radiation (SR) in VLSI lithography. Stable vertical and horizontal undulating motions of stored beams are demonstrated around a horizontal design orbit of TERAS, using two small steering magnets of which one is used for vertical undulating and another for horizontal one. Each steering magnet is inserted into one of the periodic configulation of guide field elements. As one of useful applications of undulaing electron beams, a vertically wide exposure of SR has been demonstrated in the SR lithography. The maximum vertical deviation from the design orbit nCcurs near the steering magnet. The maximum vertical tilt angle of the undulating beam near the nodes is about + or - 2mrad for a steering magnetic field of 50 gauss. Another proposal is for hith-intensity, uniform and wide exposure of SR from a wiggler installed in TERAS, using vertical and horizontal undulating motions of stored beams. A 1.4 m long permanent magnet wiggler has been installed for this purpose in this April

  3. Microfabrication of pre-aligned fiber bundle couplers using ultraviolet lithography of SU-8

    OpenAIRE

    Yang, Ren; Soper, Steven A.; Wang, Wanjun

    2006-01-01

    This paper describes the design, microfabrication and testing of a pre-aligned array of fiber couplers using direct UV-lithography of SU-8. The fiber coupler array includes an out-of-plane refractive microlens array and two fiberport collimator arrays. With the optical axis of the pixels parallel to the substrate, each pixel of the microlens array can be pre-aligned with the corresponding pixels of the fiberport collimator array as defined by the lithography mask design. This out-of-plane pol...

  4. Synthesis of thermo-responsive bovine hemoglobin imprinted nanoparticles by combining ionic liquid immobilization with aqueous precipitation polymerization.

    Science.gov (United States)

    Wang, Yongmei; Yang, Chongchong; Sun, Yan; Qiu, Fengtao; Xiang, Yang; Fu, Guoqi

    2018-02-01

    Surface molecular imprinting over functionalized nanoparticles has proved to be an effective approach for construction of artificial nanomaterials for protein recognition. Herein, we report a strategy for synthesis of core-shell protein-imprinted nanoparticles by the functionalization of nano-cores with ionic liquids followed by aqueous precipitation polymerization to build thermo-responsive imprinted polymer nano-shells. The immobilized ionic liquids can form multiple interactions with the protein template. The polymerization process can produce thermo-reversible physical crosslinks, which are advantageous to enhancing imprinting and facilitating template removal. With bovine hemoglobin as a model template, the imprinted nanoparticles showed temperature-sensitivity in both dispersion behaviors and rebinding capacities. Compared with the ionic-liquid-modified core nanoparticles, the imprinted particles exhibited greatly increased selectivity and two orders of magnitude higher binding affinity for the template protein. The imprinted nanoparticles achieved relatively high imprinting factor up to 5.0 and specific rebinding capacity of 67.7 mg/g, respectively. These nanoparticles also demonstrated rapid rebinding kinetics and good reproducibility after five cycles of adsorption-regeneration. Therefore, the presented approach may be viable for the fabrication of high-performance protein-imprinted nanoparticles with temperature sensitivity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. High-throughput analysis of candidate imprinted genes and allele-specific gene expression in the human term placenta

    Directory of Open Access Journals (Sweden)

    Clark Taane G

    2010-04-01

    Full Text Available Abstract Background Imprinted genes show expression from one parental allele only and are important for development and behaviour. This extreme mode of allelic imbalance has been described for approximately 56 human genes. Imprinting status is often disrupted in cancer and dysmorphic syndromes. More subtle variation of gene expression, that is not parent-of-origin specific, termed 'allele-specific gene expression' (ASE is more common and may give rise to milder phenotypic differences. Using two allele-specific high-throughput technologies alongside bioinformatics predictions, normal term human placenta was screened to find new imprinted genes and to ascertain the extent of ASE in this tissue. Results Twenty-three family trios of placental cDNA, placental genomic DNA (gDNA and gDNA from both parents were tested for 130 candidate genes with the Sequenom MassArray system. Six genes were found differentially expressed but none imprinted. The Illumina ASE BeadArray platform was then used to test 1536 SNPs in 932 genes. The array was enriched for the human orthologues of 124 mouse candidate genes from bioinformatics predictions and 10 human candidate imprinted genes from EST database mining. After quality control pruning, a total of 261 informative SNPs (214 genes remained for analysis. Imprinting with maternal expression was demonstrated for the lymphocyte imprinted gene ZNF331 in human placenta. Two potential differentially methylated regions (DMRs were found in the vicinity of ZNF331. None of the bioinformatically predicted candidates tested showed imprinting except for a skewed allelic expression in a parent-specific manner observed for PHACTR2, a neighbour of the imprinted PLAGL1 gene. ASE was detected for two or more individuals in 39 candidate genes (18%. Conclusions Both Sequenom and Illumina assays were sensitive enough to study imprinting and strong allelic bias. Previous bioinformatics approaches were not predictive of new imprinted genes

  6. Genomic imprinting, growth control and the allocation of nutritional resources: consequences for postnatal life.

    Science.gov (United States)

    Charalambous, Marika; da Rocha, Simão Teixeira; Ferguson-Smith, Anne C

    2007-02-01

    Genes subject to genomic imprinting are predominantly expressed from one of the two parental chromosomes, are often clustered in the genome, and their activity and repression are epigenetically regulated. The role of imprinted genes in growth control has been apparent since the discovery of imprinting in the early 1980s. Drawing from studies in the mouse, we propose three distinct classes of imprinted genes - those expressed, imprinted and acting predominantly within the placenta, those with no associated foetal growth effects that act postnatally to regulate metabolic processes, and those expressed in the embryo and placenta that programme the development of organs participating in metabolic processes. Members of this latter class may interact in functional networks regulating the interaction between the mother and the foetus, affecting generalized foetal well-being, growth and organ development; they may also coordinately regulate the development of particular organ systems. The mono-allelic behaviour and sensitivity to changes in regional epigenetic states renders imprinted genes adaptable and vulnerable; in all cases, their perturbed dosage can compromise prenatal and/or postnatal control of nutritional resources. This finding has implications for understanding the relationships between prenatal events and diseases later in life.

  7. Molecularly imprinted poly (methacrylamide-co-methacrylic acid) composite membranes for recognition of curcumin

    International Nuclear Information System (INIS)

    Wang Ping; Hu Wenming; Su Weike

    2008-01-01

    In this study, molecularly imprinted poly (methacrylamide-co-methacrylic acid) composite membranes with different ratio of methacrylamide (MAM) versus methacrylic acid (MAA) were prepared via UV initiated photo-copolymerization on the commercial filter paper. Curcumin was chosen as the template molecule. Infra-red (IR) spectroscopy was used to study the binding mechanism between the imprinted sites and the templates. The morphology of the resultant membranes was visualized by scanning electron microscopy (SEM). Static equilibrium binding and recognition properties of the imprinted composite membranes to curcumin (cur-I) and its analogues demethoxycurcumin (cur-II) or bisdemethoxycurcumin (cur-III) were tested. The results showed that curcumin-imprinted membranes had the best recognition ability to curcumin compared to its analogues. From the results, the biggest selectivity factor of α cur-I/cur-II and α cur-I/cur-III were 1.50 and 5.94, and they were obtained from the composite membranes in which MAM/MAA were 1:4 and 0:1, respectively. The results of this study implied that the molecularly imprinted composite membranes could be used as separation membranes for curcumin enrichment

  8. Structure formation in atom lithography using geometric collimation

    NARCIS (Netherlands)

    Meijer, T.; Beardmore, J.P.; Fabrie, C.G.C.H.M.; van Lieshout, J.P.; Notermans, R.P.M.J.W.; Sang, R.T.; Vredenbregt, E.J.D.; Leeuwen, van K.A.H.

    2011-01-01

    Atom lithography uses standing wave light fields as arrays of lenses to focus neutral atom beams into line patterns on a substrate. Laser cooled atom beams are commonly used, but an atom beam source with a small opening placed at a large distance from a substrate creates atom beams which are locally

  9. Dispersive solid-phase imprinting of proteins for the production of plastic antibodies

    DEFF Research Database (Denmark)

    Ashley, Jon; Feng, Xiaotong; Halder, Arnab

    2018-01-01

    We describe a novel dispersive solid-phase imprinting technique for the production of nano-sized molecularly imprinted polymers (nanoMIPs) as plastic antibodies. The template was immobilized on in-house synthesized magnetic microspheres instead of conventional glass beads. As a result, high...

  10. Combined electron beam and UV lithography in SU-8

    DEFF Research Database (Denmark)

    Gersborg-Hansen, Morten; Thamdrup, Lasse Højlund; Mironov, Andrej

    2007-01-01

    We present combined electron beam and UV lithography (CEUL) in SU-8 as a fast and flexible lithographic technique for prototyping of functional polymer devices and pattern transfer applications. CEUL is a lithographic technique suitable for defining both micrometer and nanometer scale features...

  11. Imprinted Genes and the Environment: Links to the Toxic Metals Arsenic, Cadmium and Lead

    Science.gov (United States)

    Smeester, Lisa; Yosim, Andrew E.; Nye, Monica D.; Hoyo, Cathrine; Murphy, Susan K.; Fry, Rebecca C.

    2014-01-01

    Imprinted genes defy rules of Mendelian genetics with their expression tied to the parent from whom each allele was inherited. They are known to play a role in various diseases/disorders including fetal growth disruption, lower birth weight, obesity, and cancer. There is increasing interest in understanding their influence on environmentally-induced disease. The environment can be thought of broadly as including chemicals present in air, water and soil, as well as food. According to the Agency for Toxic Substances and Disease Registry (ATSDR), some of the highest ranking environmental chemicals of concern include metals/metalloids such as arsenic, cadmium, and lead. The complex relationships between toxic metal exposure, imprinted gene regulation/expression and health outcomes are understudied. Herein we examine trends in imprinted gene biology, including an assessment of the imprinted genes and their known functional roles in the cell, particularly as they relate to toxic metals exposure and disease. The data highlight that many of the imprinted genes have known associations to developmental diseases and are enriched for their role in the TP53 and AhR pathways. Assessment of the promoter regions of the imprinted genes resulted in the identification of an enrichment of binding sites for two transcription factor families, namely the zinc finger family II and PLAG transcription factors. Taken together these data contribute insight into the complex relationships between toxic metals in the environment and imprinted gene biology. PMID:24921406

  12. Imprinted Genes and the Environment: Links to the Toxic Metals Arsenic, Cadmium and Lead

    Directory of Open Access Journals (Sweden)

    Lisa Smeester

    2014-06-01

    Full Text Available Imprinted genes defy rules of Mendelian genetics with their expression tied to the parent from whom each allele was inherited. They are known to play a role in various diseases/disorders including fetal growth disruption, lower birth weight, obesity, and cancer. There is increasing interest in understanding their influence on environmentally-induced disease. The environment can be thought of broadly as including chemicals present in air, water and soil, as well as food. According to the Agency for Toxic Substances and Disease Registry (ATSDR, some of the highest ranking environmental chemicals of concern include metals/metalloids such as arsenic, cadmium, lead and mercury. The complex relationships between toxic metal exposure, imprinted gene regulation/expression and health outcomes are understudied. Herein we examine trends in imprinted gene biology, including an assessment of the imprinted genes and their known functional roles in the cell, particularly as they relate to toxic metals exposure and disease. The data highlight that many of the imprinted genes have known associations to developmental diseases and are enriched for their role in the TP53 and AhR pathways. Assessment of the promoter regions of the imprinted genes resulted in the identification of an enrichment of binding sites for two transcription factor families, namely the zinc finger family II and PLAG transcription factors. Taken together these data contribute insight into the complex relationships between toxic metals in the environment and imprinted gene biology.

  13. A role for chromatin topology in imprinted domain regulation.

    Science.gov (United States)

    MacDonald, William A; Sachani, Saqib S; White, Carlee R; Mann, Mellissa R W

    2016-02-01

    Recently, many advancements in genome-wide chromatin topology and nuclear architecture have unveiled the complex and hidden world of the nucleus, where chromatin is organized into discrete neighbourhoods with coordinated gene expression. This includes the active and inactive X chromosomes. Using X chromosome inactivation as a working model, we utilized publicly available datasets together with a literature review to gain insight into topologically associated domains, lamin-associated domains, nucleolar-associating domains, scaffold/matrix attachment regions, and nucleoporin-associated chromatin and their role in regulating monoallelic expression. Furthermore, we comprehensively review for the first time the role of chromatin topology and nuclear architecture in the regulation of genomic imprinting. We propose that chromatin topology and nuclear architecture are important regulatory mechanisms for directing gene expression within imprinted domains. Furthermore, we predict that dynamic changes in chromatin topology and nuclear architecture play roles in tissue-specific imprint domain regulation during early development and differentiation.

  14. Preparation of Molecularly Imprinted Composite Membranes for Inducing Bergenin Crystallization in Supercritical CO2 and Adsorption Properties

    International Nuclear Information System (INIS)

    Zhang, Wencheng; Wang, Ruixia; Cui, Yanfang; Hong, Lile; Zhang, Qing; Zhang, Xingyuan

    2012-01-01

    The process of molecular imprinting is composed of three steps: covalent conjugate or noncovalent adduct between a functional monomer and a template molecule, which is the preorganization step; polymerization of this monomer-template conjugate (or adduct) and removal of the template from the polymer. In the above procedures, the molecular memory is strongly dependent on the formation and status of the template-monomer preorganization conjugate (or adduct). Therefore, to study these conjugates/adducts in detail is crucially important for understanding the imprinting mechanism and designing efficient molecular imprinting systems. On the other hand, one of the most important and facile ways to realize the molecular imprinting is using the molecularly imprinted membranes (MIMs), which were first introduced by Piletsky et al. In MIMs systems, the combination of the imprinting technique can provide membranes with specific selectivity for the separation of targeted organic compounds and thus make the MIMs possess the advantages of both molecular imprinting and membrane technology

  15. Preparation of mixed molecularly imprinted polymer magnetic nanoparticles and its application in separation of Chinese traditional medicine

    Science.gov (United States)

    Xie, Yihui; Ma, Yajuan; Bai, Wenting; Zhu, Xiaofang; Liu, Min; Huang, Liping

    2017-08-01

    A mixed imprinted polymer which can rapidly adsorb all flavonoids from raspberry extract was prepared and recycled. The hybrid molecular surface imprinted polymers were prepared by using quercetin as the template molecule and Fe3O4 magnetic nanospheres as the carrier. The molecular imprinting polymer was prepared by using the "initial template molecule, molecularly imprinted polymer, mixed template molecule, molecularly imprint ted polymers (MIPS)". The adsorption performance and durability of the hybrid molecularly imprinted polymers were investigated by using the fingerprints of the ethyl acetate fraction of raspberry as an index. The adsorption of flavonoids from raspberry extract, lindenoside, cis-lindenin, quercetin, kaempferol and other flavonoids was completely adsorbed by mixed molecular-imprinted polymer, and the other components were basically adsorbed. When Mix-IMPs were repeatedly used 10 times, the fingerprints showed that the content and content of flavonoids were basically the same. The experimental results show that Mix-IMPs has good adsorption performance, can be recycled and used for rapid enrichment of flavonoids in raspberry.

  16. Ni(II) ion-imprinted solid-phase extraction and preconcentration in aqueous solutions by packed-bed columns

    International Nuclear Information System (INIS)

    Ersoez, Arzu; Say, Ridvan; Denizli, Adil

    2004-01-01

    Solid-phase extraction (SPE) columns packed with materials based on molecularly imprinted polymers (MIPs) were used to develop selective separation and preconcentration for Ni(II) ion from aqueous solutions. SPE is more rapid, simple and economical method than the traditional liquid-liquid extraction. MIPs were used as column sorbent to increase the grade of selectivity in SPE columns. In this study, we have developed a polymer obtained by imprinting with Ni(II) ion as a ion-imprinted SPE sorbent. For this purpose, NI(II)-methacryloylhistidinedihydrate (MAH/Ni(II)) complex monomer was synthesized and polymerized with cross-linking ethyleneglycoldimethacrylate to obtain [poly(EGDMA-MAH/Ni(II))]. Then, Ni(II) ions were removed from the polymer getting Ni(II) ion-imprinted sorbent. The MIP-SPE preconcentration procedure showed a linear calibration curve within concentration range from 0.3 to 25 ng/ml and the detection limit was 0.3 ng/ml (3 s) for flame atomic absorption spectrometry (FAAS). Ni(II) ion-imprinted microbeads can be used several times without considerable loss of adsorption capacity. When the adsorption capacity of nickel imprinted microbeads were compared with non-imprinted microbeads, nickel imprinted microbeads have higher adsorption capacity. The K d (distribution coefficient) values for the Ni(II)-imprinted microbeads show increase in K d for Ni(II) with respect to both K d values of Zn(II), Cu(II) and Co(II) ions and non-imprinted polymer. During that time K d decreases for Zn(II), Cu(II) and Co(II) ions and the k' (relative selectivity coefficient) values which are greater than 1 for imprinted microbeads of Ni(II)/Cu(II), Ni(II)/Zn(II) and Ni(II)/Co(II) are 57.3, 53.9, and 17.3, respectively. Determination of Ni(II) ion in sea water showed that the interfering matrix had been almost removed during preconcentration. The column was good enough for Ni determination in matrixes containing similar ionic radii ions such as Cu(II), Zn(II) and Co(II)

  17. Imbalance aware lithography hotspot detection: a deep learning approach

    Science.gov (United States)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  18. Durable diamond-like carbon templates for UV nanoimprint lithography

    International Nuclear Information System (INIS)

    Tao, L; Ramachandran, S; Nelson, C T; Overzet, L J; Goeckner, M; Lee, G; Hu, W; Lin, M; Willson, C G; Wu, W

    2008-01-01

    The interaction between resist and template during the separation process after nanoimprint lithography (NIL) can cause the formation of defects and damage to the templates and resist patterns. To alleviate these problems, fluorinated self-assembled monolayers (F-SAMs, i.e. tridecafluoro-1,1,2,2,tetrahydrooctyl trichlorosilane or FDTS) have been employed as template release coatings. However, we find that the FDTS coating undergoes irreversible degradation after only 10 cycles of UV nanoimprint processes with SU-8 resist. The degradation includes a 28% reduction in surface F atoms and significant increases in the surface roughness. In this paper, diamond-like carbon (DLC) films were investigated as an alternative material not only for coating but also for direct fabrication of nanoimprint templates. DLC films deposited on quartz templates in a plasma enhanced chemical vapor deposition system are shown to have better chemical and physical stability than FDTS. After the same 10 cycles of UV nanoimprints, the surface composition as well as the roughness of DLC films were found to be unchanged. The adhesion energy between the DLC surface and SU-8 is found to be smaller than that of FDTS despite the slightly higher total surface energy of DLC. DLC templates with 40 nm features were fabricated using e-beam lithography followed by Cr lift-off and reactive ion etching. UV nanoimprinting using the directly patterned DLC templates in SU-8 resist demonstrates good pattern transfer fidelity and easy template-resist separation. These results indicate that DLC is a promising material for fabricating durable templates for UV nanoimprint lithography

  19. Effects of synchrotron radiation spectrum energy on polymethyl methacrylate photosensitivity to deep x-ray lithography

    International Nuclear Information System (INIS)

    Mekaru, Harutaka; Utsumi, Yuichi; Hattori, Tadashi

    2003-01-01

    Since X-ray lithography requires a high photon flux to achieve deep resist exposure, a synchrotron radiation beam, which is not monochromatized, is generally used as a light source. If the synchrotron radiation beam is monochromatized, photon flux will decrease rapidly. Because of this reason, the wavelength dependence of the resist sensitivity has not been investigated for deep X-ray lithography. Measuring the spectrum of a white beam with a Si solid-state detector (SSD) is difficult because a white beam has a high intensity and an SSD has a high sensitivity. We were able to measure the spectrum and the photocurrent of a white beam from a beam line used for deep X-ray lithography by keeping the ring current below 0.05 mA. We evaluated the characteristics of the output beam based on the measured spectrum and photocurrent, and used them to investigate the relationship between the total exposure energy and the dose-processing depth with polymethyl methacrylate (PMMA). We found that it is possible to guess the processing depth of PMMA from the total exposure energy in deep X-ray lithography. (author)

  20. ADSORPTION PROCESS OF MOLECULARLY IMPRINTED SILICA FOR EXTRACTION OF LACTOSE FROM MILK

    Directory of Open Access Journals (Sweden)

    A. L. Balieiro

    Full Text Available Abstract In Brazil, about 25-30% of the population has some degree of intolerance to lactose, a disorder associated with the inability of the body to digest lactose due to a disability or absence of the enzyme lactase. The goal of this study was to evaluate the performance of adsorption of lactose from fresh milk using a fixed bed column of molecularly imprinted polymer (MIP. The polymeric material was characterized using Scanning electron microscopy (SEM analysis, thermal analysis (e.g., differential scanning calorimetric (DSC and thermogravimetric analysis (TGA, Fourier Transform Infrared Spectroscopy (FTIR, and the method of Braunauer, Emmet and Teller (BET. The adsorption column dynamics and performance were studied by the breakthrough curves using a 24-1 fractional factorial design. The chemical and structural characterization of the pure matrix and imprinted polymers confirmed the molecularly imprinted polymer (MIP imprinted with lactose. The highest capacity was 62.21 mgg-1, obtained at 307.1 K and a flow rate of 12.5 mL.min-1, with central point conditions, 320.1 K and 9 mL.min-1, with an average value of 50.9 mg.g-1. The results indicate that the molecularly imprinted polymer is efficient.

  1. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Energy Technology Data Exchange (ETDEWEB)

    Pantenburg, F.J. E-mail: pantenburg@imt.fzk.de; Mohr, J

    2001-07-21

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 {mu}m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  2. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Science.gov (United States)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  3. Deep X-ray lithography for the fabrication of microstructures at ELSA

    International Nuclear Information System (INIS)

    Pantenburg, F.J.; Mohr, J.

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described

  4. Deep X-ray lithography for the fabrication of microstructures at ELSA

    CERN Document Server

    Pantenburg, F J

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 mu m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  5. Towards bilirubin imprinted poly(methacrylic acid-co-ethylene glycol dimethylacrylate) for the specific binding of α-bilirubin

    International Nuclear Information System (INIS)

    Syu, M.-J.; Deng, J.-H.; Nian, Y.-M.

    2004-01-01

    With α-bilirubin as a molecular template, polymerization of methacrylic acid (MAA) was carried out with the aid of the initiator 2,2-azobisisobutyronitrile (AIBN) and the cross-linking agent ethylene glycol dimethylacrylate (EGDMA). Bulk polymerization was successfully carried out so that poly(methacrylic acid-co-ethylene glycol dimethylacrylate) (poly(MAA-EGDMA)) imprinted with α-bilirubin was first developed. UV irradiation polymerization and heated polymerization methods were compared. Effect of different ratios of monomer to EGDMA during the polymerization was also discussed. Proper solvent for better desorption of α-bilirubin from the imprinted poly(MAA-EGDMA) was investigated. In addition, SEM photos were provided for observing the differences between the surfaces of the imprinted poly(MAA-EGDMA) before and after extraction. The corresponding binding results of α-bilirubin imprinted poly(MAA-EGDMA) and non-imprinted poly(MAA-EGDMA) both after extraction were compared. How the pH values during extraction stage affected the binding capacities of the imprinted polymer as well as non-imprinted polymer were also discussed. Similar study and comparison were made for different binding pH values. Different compounds of similar molecular weight were used to show the specific binding of the imprinted polymer for bilirubin. The results further confirmed the successful binding as well as specificity of the imprinted poly(MAA-EGDMA) for α-bilirubin

  6. Muzzle imprint mark: a patterned injury which may be constituted of intradermal blood extravasations.

    Science.gov (United States)

    Pircher, R; Bielefeld, L; Geisenberger, D; Große Perdekamp, M; Pollak, S; Thierauf-Emberger, A

    2014-11-01

    The muzzle imprint mark in contact shots is usually regarded as a patterned pressure abrasion depicting the barrel end as well as adjacent constructional components of the weapon. Due to parching after exposure to air, the affected skin assumes a brown color, especially along the contours of the impacting structures. Apart from this well-known type of epidermal damage, the imprint mark may also be formed by intradermal hemorrhages. In some cases, these intracutaneous bleedings manifest themselves as circular, curved or straight reddish lines mirroring the surface relief of the weapon's muzzle end. To estimate the frequency of skin hematomas in muzzle imprints, 35 consecutive contact shots to the head (temple, forehead, submental and occipital region) were evaluated. In 3 cases, the muzzle imprint mark exclusively consisted of intracutaneous bruises surrounding the bullet entrance hole. In 14 cases, the muzzle imprint was composed of both excoriations and intradermal hematomas. Copyright © 2014 Elsevier Ireland Ltd. All rights reserved.

  7. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    Science.gov (United States)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  8. Distinct Circuits for the Formation and Retrieval of an Imprinted Olfactory Memory.

    Science.gov (United States)

    Jin, Xin; Pokala, Navin; Bargmann, Cornelia I

    2016-02-11

    Memories formed early in life are particularly stable and influential, representing privileged experiences that shape enduring behaviors. We show that exposing newly hatched C. elegans to pathogenic bacteria results in persistent aversion to those bacterial odors, whereas adult exposure generates only transient aversive memory. Long-lasting imprinted aversion has a critical period in the first larval stage and is specific to the experienced pathogen. Distinct groups of neurons are required during formation (AIB, RIM) and retrieval (AIY, RIA) of the imprinted memory. RIM synthesizes the neuromodulator tyramine, which is required in the L1 stage for learning. AIY memory retrieval neurons sense tyramine via the SER-2 receptor, which is essential for imprinted, but not for adult-learned, aversion. Odor responses in several neurons, most notably RIA, are altered in imprinted animals. These findings provide insight into neuronal substrates of different forms of memory, and lay a foundation for further understanding of early learning. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. Software-based data path for raster-scanned multi-beam mask lithography

    Science.gov (United States)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  10. Fabrication and characterization of free-standing, high-line-density transmission gratings for the vacuum UV to soft X-ray range

    NARCIS (Netherlands)

    Goh, S.J.; Bastiaens, Hubertus M.J.; Vratzov, B.; Huang, Qiushi; Bijkerk, Frederik; Boller, Klaus J.

    2015-01-01

    We present state-of-the-art high resolution transmission gratings, applicable for spectroscopy in the vacuum ultraviolet (VUV) and the soft X-ray (SRX) wavelength range, fabricated with a novel process using ultraviolet based nano imprint lithography (UV-NIL). Free-standing, high-line-density

  11. Molecularly Imprinted Polymer Technology: A Powerful, Generic ...

    African Journals Online (AJOL)

    Molecularly Imprinted Polymer Technology: A Powerful, Generic, Facile and Cost Effective Alternative for Enantio-recognition and Separation: A Glance at Advances and Applications. ... Tanzania Journal of Science. Journal Home · ABOUT ...

  12. Conformal field theory in conformal space

    International Nuclear Information System (INIS)

    Preitschopf, C.R.; Vasiliev, M.A.

    1999-01-01

    We present a new framework for a Lagrangian description of conformal field theories in various dimensions based on a local version of d + 2-dimensional conformal space. The results include a true gauge theory of conformal gravity in d = (1, 3) and any standard matter coupled to it. An important feature is the automatic derivation of the conformal gravity constraints, which are necessary for the analysis of the matter systems

  13. Detection of nicotine based on molecularly imprinted TiO{sub 2}-modified electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Wu, C.-T.; Chen, P.-Y.; Chen, J.-G.; Suryanarayanan, Vembu [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Ho, K.-C. [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Institute of Polymer Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China)], E-mail: kcho@ntu.edu.tw

    2009-02-02

    Amperometric detection of nicotine (NIC) was carried out on a titanium dioxide (TiO{sub 2})/poly(3,4-ethylenedioxythiophene) (PEDOT)-modified electrode by a molecular imprinting technique. In order to improve the conductivity of the substrate, PEDOT was coated onto the sintered electrode by in situ electrochemical polymerization of the monomer. The sensing potential of the NIC-imprinted TiO{sub 2} electrode (ITO/TiO{sub 2}[NIC]/PEDOT) in a phosphate-buffered saline (PBS) solution (pH 7.4) containing 0.1 M KCl was determined to be 0.88 V (vs. Ag/AgCl/saturated KCl). The linear detection range for NIC oxidation on the so-called ITO/TiO{sub 2}[NIC]/PEDOT electrode was 0-5 mM, with a sensitivity and limit of detection of 31.35 {mu}A mM{sup -1} cm{sup -2} and 4.9 {mu}M, respectively. When comparing with the performance of the non-imprinted one, the sensitivity ratio was about 1.24. The sensitivity enhancement was attributed to the increase in the electroactive area of the imprinted electrode. The at-rest stability of the ITO/TiO{sub 2}[NIC]/PEDOT electrode was tested over a period of 3 days. The current response remained about 85% of its initial value at the end of 2 days. The ITO/TiO{sub 2}[NIC]/PEDOT electrode showed reasonably good selectivity in distinguishing NIC from its major interferent, (-)-cotinine (COT). Moreover, scanning electrochemical microscopy (SECM) was employed to elucidate the surface morphology of the imprinted and non-imprinted electrodes using Fe(CN){sub 6}{sup 3-}/Fe(CN){sub 6}{sup 4-} as a redox probe on a platinum tip. The imprinted electrode was further characterized by scanning electron microscopy (SEM) and Fourier transform infrared spectroscopy (FTIR)

  14. Fabrication of nanoparticle and protein nanostructures using nanoimprint lithography

    NARCIS (Netherlands)

    Maury, P.A.

    2007-01-01

    Nanoimprint lithography (NIL) was used as a tool to pattern self-assembled monolayers (SAMs) on silicon substrates because of its ability to pattern in the micrometer and nanometer ranges. The resulting polymer template behaved as a physical barrier preventing the formation of a SAM in the covered

  15. Translational control of auditory imprinting and structural plasticity by eIF2α

    Science.gov (United States)

    Batista, Gervasio; Johnson, Jennifer Leigh; Dominguez, Elena; Costa-Mattioli, Mauro; Pena, Jose L

    2016-01-01

    The formation of imprinted memories during a critical period is crucial for vital behaviors, including filial attachment. Yet, little is known about the underlying molecular mechanisms. Using a combination of behavior, pharmacology, in vivo surface sensing of translation (SUnSET) and DiOlistic labeling we found that, translational control by the eukaryotic translation initiation factor 2 alpha (eIF2α) bidirectionally regulates auditory but not visual imprinting and related changes in structural plasticity in chickens. Increasing phosphorylation of eIF2α (p-eIF2α) reduces translation rates and spine plasticity, and selectively impairs auditory imprinting. By contrast, inhibition of an eIF2α kinase or blocking the translational program controlled by p-eIF2α enhances auditory imprinting. Importantly, these manipulations are able to reopen the critical period. Thus, we have identified a translational control mechanism that selectively underlies auditory imprinting. Restoring translational control of eIF2α holds the promise to rejuvenate adult brain plasticity and restore learning and memory in a variety of cognitive disorders. DOI: http://dx.doi.org/10.7554/eLife.17197.001 PMID:28009255

  16. Loss of Gnas imprinting differentially affects REM/NREM sleep and cognition in mice.

    Directory of Open Access Journals (Sweden)

    Glenda Lassi

    Full Text Available It has been suggested that imprinted genes are important in the regulation of sleep. However, the fundamental question of whether genomic imprinting has a role in sleep has remained elusive up to now. In this work we show that REM and NREM sleep states are differentially modulated by the maternally expressed imprinted gene Gnas. In particular, in mice with loss of imprinting of Gnas, NREM and complex cognitive processes are enhanced while REM and REM-linked behaviors are inhibited. This is the first demonstration that a specific overexpression of an imprinted gene affects sleep states and related complex behavioral traits. Furthermore, in parallel to the Gnas overexpression, we have observed an overexpression of Ucp1 in interscapular brown adipose tissue (BAT and a significant increase in thermoregulation that may account for the REM/NREM sleep phenotypes. We conclude that there must be significant evolutionary advantages in the monoallelic expression of Gnas for REM sleep and for the consolidation of REM-dependent memories. Conversely, biallelic expression of Gnas reinforces slow wave activity in NREM sleep, and this results in a reduction of uncertainty in temporal decision-making processes.

  17. Patterns of hybrid loss of imprinting reveal tissue- and cluster-specific regulation.

    Directory of Open Access Journals (Sweden)

    Christopher D Wiley

    Full Text Available Crosses between natural populations of two species of deer mice, Peromyscus maniculatus (BW, and P. polionotus (PO, produce parent-of-origin effects on growth and development. BW females mated to PO males (bwxpo produce growth-retarded but otherwise healthy offspring. In contrast, PO females mated to BW males (POxBW produce overgrown and severely defective offspring. The hybrid phenotypes are pronounced in the placenta and include POxBW conceptuses which lack embryonic structures. Evidence to date links variation in control of genomic imprinting with the hybrid defects, particularly in the POxBW offspring. Establishment of genomic imprinting is typically mediated by gametic DNA methylation at sites known as gDMRs. However, imprinted gene clusters vary in their regulation by gDMR sequences.Here we further assess imprinted gene expression and DNA methylation at different cluster types in order to discern patterns. These data reveal POxBW misexpression at the Kcnq1ot1 and Peg3 clusters, both of which lose ICR methylation in placental tissues. In contrast, some embryonic transcripts (Peg10, Kcnq1ot1 reactivated the silenced allele with little or no loss of DNA methylation. Hybrid brains also display different patterns of imprinting perturbations. Several cluster pairs thought to use analogous regulatory mechanisms are differentially affected in the hybrids.These data reinforce the hypothesis that placental and somatic gene regulation differs significantly, as does that between imprinted gene clusters and between species. That such epigenetic regulatory variation exists in recently diverged species suggests a role in reproductive isolation, and that this variation is likely to be adaptive.

  18. [The peculiar morphological features of the imprints of straight and wavy head hair dirtied with blood].

    Science.gov (United States)

    Leonova, E N; Nagornov, M N; Prokhorenko, A S

    2018-01-01

    The objective of the present study was to elucidate the specific morphological features of the imprints of blood-soaked straight and wavy head hair. The contact imprints of straight and wavy head hair dirtied with blood were obtained experimentally. The imprints of straight hair were shown to exhibit the elements in the form of the rectilinear and bow-shaped slightly bent stripes. The imprints of wavy hair were shaped as the arches, waves, circles, and a large number of various small elements, such as dashes and commas.

  19. Laser imprint and implications for direct drive ignition with the National Ignition Facility

    International Nuclear Information System (INIS)

    Weber, S.V.; Glendinning, S.G.; Kalantar, D.H.; Remington, B.A.; Rothenberg, J.E.

    1996-01-01

    For direct drive ICF, nonuniformities in laser illumination can seed ripples at the ablation front in a process called imprint. Such nonuniformities will grow during the capsule implosion and can penetrate the capsule shell impede ignition, or degrade burn. We have simulated imprint for a number of experiments on tile Nova laser. Results are in generally good agreement with experimental data. We leave also simulated imprint upon National Ignition Facility (NIF) direct drive ignition capsules. Imprint modulation amplitude comparable to the intrinsic surface finish of ∼40 nm is predicted for a laser bandwidth of 0.5 THz. Ablation front modulations experience growth factors up to several thousand, carrying modulation well into the nonlinear regime. Saturation modeling predicts that the shell should remain intact at the time of peak velocity, but penetration at earlier times appears more marginal

  20. DDC and COBL, flanking the imprinted GRB10 gene on 7p12, are biallelically expressed.

    Science.gov (United States)

    Hitchins, Megan P; Bentley, Louise; Monk, David; Beechey, Colin; Peters, Jo; Kelsey, Gavin; Ishino, Fumitoshi; Preece, Michael A; Stanier, Philip; Moore, Gudrun E

    2002-12-01

    Maternal duplication of human 7p11.2-p13 has been associated with Silver-Russell syndrome (SRS) in two familial cases. GRB10 is the only imprinted gene identified within this region to date. GRB10 demonstrates an intricate tissue- and isoform-specific imprinting profile in humans, with paternal expression in fetal brain and maternal expression of one isoform in skeletal muscle. The mouse homolog is maternally transcribed. The GRB10 protein is a potent growth inhibitor and represents a candidate for SRS, which is characterized by pre- and postnatal growth retardation and a spectrum of additional dysmorphic features. Since imprinted genes tend to be grouped in clusters, we investigated the imprinting status of the dopa-decarboxylase gene (DDC) and the Cordon-bleu gene (COBL) which flank GRB10 within the 7p11.2-p13 SRS duplicated region. Although both genes were found to replicate asynchronously, suggestive of imprinting, SNP expression analyses showed that neither gene was imprinted in multiple human fetal tissues. The mouse homologues, Ddc and Cobl, which map to the homologous imprinted region on proximal Chr 11, were also biallelically expressed in mice with uniparental maternal or paternal inheritance of this region. With the intent of using mouse Grb10 as an imprinted control, biallelic expression was consistently observed in fetal, postnatal, and adult brain of these mice, in contrast to the maternal-specific transcription previously demonstrated in brain in inter-specific F1 progeny. This may be a further example of over-expression of maternally derived transcripts in inter-specific mouse crosses. GRB10 remains the only imprinted gene identified within 7p11.2-p13.

  1. Controlled Vectorial Electron Transfer and Photoelectrochemical Applications of Layered Relay/Photosensitizer-Imprinted Au Nanoparticle Architectures on Electrodes.

    Science.gov (United States)

    Metzger, Tzuriel S; Tel-Vered, Ran; Willner, Itamar

    2016-03-23

    Two configurations of molecularly imprinted bis-aniline-bridged Au nanoparticles (NPs) for the specific binding of the electron acceptor N,N'-dimethyl-4,4'-bipyridinium (MV(2+) ) and for the photosensitizer Zn(II)-protoporphyrin IX (Zn(II)-PP-IX) are assembled on electrodes, and the photoelectrochemical features of the two configurations are discussed. Configuration I includes the MV(2+) -imprinted Au NPs matrix as a base layer, on which the Zn(II)-PP-IX-imprinted Au NPs layer is deposited, while configuration II consists of a bilayer corresponding to the reversed imprinting order. Irradiation of the two electrodes in the presence of a benzoquinone/benzohydroquinone redox probe yields photocurrents of unique features: (i) Whereas configuration I yields an anodic photocurrent, the photocurrent generated by configuration II is cathodic. (ii) The photocurrents obtained upon irradiation of the imprinted electrodes are substantially higher as compared to the nonimprinted surfaces. The high photocurrents generated by the imprinted Au NPs-modified electrodes are attributed to the effective loading of the imprinted matrices with the MV(2+) and Zn(II)-PP-IX units and to the effective charge separation proceeding in the systems. The directional anodic/cathodic photocurrents are rationalized in terms of vectorial electron transfer processes dictated by the imprinting order and by the redox potentials of the photosensitizer/electron acceptor units associated with the imprinted sites in the two configurations. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Magnetic deep eutectic solvents molecularly imprinted polymers for the selective recognition and separation of protein

    International Nuclear Information System (INIS)

    Liu, Yanjin; Wang, Yuzhi; Dai, Qingzhou; Zhou, Yigang

    2016-01-01

    A novel and facile magnetic deep eutectic solvents (DES) molecularly imprinted polymers (MIPs) for the selective recognition and separation of Bovine hemoglobin (BHb) was prepared. The new-type DES was adopted as the functional monomer which would bring molecular imprinted technology to a new direction. The amounts of DES were optimized. The obtained magnetic DES-MIPs were characterized with fourier transform infrared spectrometry (FT-IR), thermogravimetric analysis (TGA), field emission scanning electron microscope (FESEM), dynamic light scattering (DLS), elemental analysis and vibrating sample magnetometer (VSM). The results suggested that the imprinted polymers were successfully formed and possessed a charming magnetism. The maximum adsorption capability (Q_m_a_x) and dissociation constant (K_L) were analyzed by Langmuir isotherms (R"2 = 0.9983) and the value were estimated to be 175.44 mg/g and 0.035 mg/mL for the imprinted particles. And the imprinted particles showed a high imprinting factor of 4.77. In addition, the magnetic DES-MIPs presented outstanding recognition specificity and selectivity so that it can be utilized to separate template protein from the mixture of proteins and real samples. Last but not least, the combination of deep eutectic solvents and molecular imprinted technology in this paper provides a new perspective for the recognition and separation of proteins. - Highlights: • Combined green deep eutectic solvents (DES) and molecular imprinted technology in recognition and separation of proteins. • DES was adopted as a new-type functional monomer. • The obtained magnetic DES-MIPs can separate proteins rapidly by an external magnetic field. • Adsorption and selectivity properties were discussed.

  3. Magnetic deep eutectic solvents molecularly imprinted polymers for the selective recognition and separation of protein

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yanjin [State Key Laboratory of Chemo/Biosensing and Chemometrics, College of Chemistry and Chemical Engineering, Hunan University, Changsha, 410082 (China); Wang, Yuzhi, E-mail: wyzss@hnu.edu.cn [State Key Laboratory of Chemo/Biosensing and Chemometrics, College of Chemistry and Chemical Engineering, Hunan University, Changsha, 410082 (China); Dai, Qingzhou [State Key Laboratory of Chemo/Biosensing and Chemometrics, College of Chemistry and Chemical Engineering, Hunan University, Changsha, 410082 (China); Zhou, Yigang [Department of Microbiology, College of Basic Medicine, Central South University, Changsha, 410083 (China)

    2016-09-14

    A novel and facile magnetic deep eutectic solvents (DES) molecularly imprinted polymers (MIPs) for the selective recognition and separation of Bovine hemoglobin (BHb) was prepared. The new-type DES was adopted as the functional monomer which would bring molecular imprinted technology to a new direction. The amounts of DES were optimized. The obtained magnetic DES-MIPs were characterized with fourier transform infrared spectrometry (FT-IR), thermogravimetric analysis (TGA), field emission scanning electron microscope (FESEM), dynamic light scattering (DLS), elemental analysis and vibrating sample magnetometer (VSM). The results suggested that the imprinted polymers were successfully formed and possessed a charming magnetism. The maximum adsorption capability (Q{sub max}) and dissociation constant (K{sub L}) were analyzed by Langmuir isotherms (R{sup 2} = 0.9983) and the value were estimated to be 175.44 mg/g and 0.035 mg/mL for the imprinted particles. And the imprinted particles showed a high imprinting factor of 4.77. In addition, the magnetic DES-MIPs presented outstanding recognition specificity and selectivity so that it can be utilized to separate template protein from the mixture of proteins and real samples. Last but not least, the combination of deep eutectic solvents and molecular imprinted technology in this paper provides a new perspective for the recognition and separation of proteins. - Highlights: • Combined green deep eutectic solvents (DES) and molecular imprinted technology in recognition and separation of proteins. • DES was adopted as a new-type functional monomer. • The obtained magnetic DES-MIPs can separate proteins rapidly by an external magnetic field. • Adsorption and selectivity properties were discussed.

  4. Synthesis of uranyl ion imprinted polymer and its application in analysis

    International Nuclear Information System (INIS)

    Xiao Jingshui; Liu Huijun; Xiao Xilin; Huang Shengli

    2011-01-01

    Uranyl ion imprinted polymer beads were prepared by the copolymerization of styrene monomer and divinyl benzene as crosslinking agent in methanol solution,with the UO 2 2+ -o-dihydroxybenzene-4-vinyl pyridine ternary complex as template, the 2, 2'-azo-bis-isobutyronitrile as initiator and UO 2 2+ as the imprinting ion. The uranyl ions were removed from the polymer beads by treating with 6 mol/L HCl, leaving behind cavities that match uranyl ion in size. The treated polymer beads can preconcentrate uranyl ions from dilute aqueous solutions. The adsorption efficiency can reach 99% or above with good selectivity when pH is in the range of 5-7 and the adsorption time is more than 20 min. The elution rate can reach above 99% under the conditions of concentration of HCl being above 1.0 mol/L, elution time more than 20 min and the elution Janume more than 5 times the Janume of ion imprinted polymer. The uranyl ion imprinted polymer beads have been successfully applied to determine micro-uranium in brine samples. The results are satisfactory compared with NBS method.(authors)

  5. Single-mode solid-state polymer dye laser fabricated with standard I-line UV lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Mironov, Andrej; Nilsson, Daniel

    2005-01-01

    We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G.......We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G....

  6. High Excitation Efficiency of Channel Plasmon Polaritons in Tailored, UV-Lithography-Defined V-Grooves

    DEFF Research Database (Denmark)

    Smith, Cameron; Thilsted, Anil Haraksingh; Garcia-Ortiz, Cesar E.

    2014-01-01

    We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs.......We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs....

  7. Improvement of imprinting effect of ionic liquid molecularly imprinted polymers by use of a molecular crowding agent.

    Science.gov (United States)

    Jia, Man; Yang, Jian; Sun, Ya Kun; Bai, Xi; Wu, Tao; Liu, Zhao Sheng; Aisa, Haji Akber

    2018-01-01

    We aimed to improve the imprinting effect of ionic liquid molecularly imprinted polymers (MIPs) by use of a molecular crowding agent. The ionic liquid 1-vinyl-3-ethylimidazolium tetrafluoroborate ([VEIm][BF 4 ]) was used as the functional monomer and aesculetin was used as the template molecule in a crowding environment, which was made up of a tetrahydrofuran solution of polystyrene. The ionic liquid MIPs that were prepared in the crowding environment displayed an enhanced imprinting effect. NMR peak shifts of active hydrogen of aesculetin suggested that interaction between the functional monomer and the template could be increased by the use of a crowding agent in the self-assembly process. The retention and selectivity of aesculetin were affected greatly by high molecular crowding, the amount of high molecular weight crowding agent, and the ratio of [VEIm][BF 4 ] to aesculetin. The optimal MIPs were used as solid-phase extraction sorbents to extract aesculetin from Cichorium glandulosum. A calibration curve was obtained with aesculetin concentrations from 0.0005 to 0.05 mg mL -1 (correlation coefficient R 2 of 0.9999, y = 1519x + 0.0923). The limit of quantification was 0.12 μg mL -1 , and the limit of detection was 0.05 μg mL -1 . The absolute recovery of aesculetin was (80 ± 2)% (n = 3), and the purity of aesculetin was (92 ± 0.5)% (n = 5). As a conclusion, molecular crowding is an effective approach to obtain ionic liquid MIPs with high selectivity even in a polar solvent environment.

  8. Preparation of Molecularly Imprinted Composite Membranes for Inducing Bergenin Crystallization in Supercritical CO{sub 2} and Adsorption Properties

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wencheng; Wang, Ruixia; Cui, Yanfang; Hong, Lile [Hefei University of Technology, Hefei (China); Zhang, Qing; Zhang, Xingyuan [University of Science and Technology of China, Hefei (China)

    2012-02-15

    The process of molecular imprinting is composed of three steps: covalent conjugate or noncovalent adduct between a functional monomer and a template molecule, which is the preorganization step; polymerization of this monomer-template conjugate (or adduct) and removal of the template from the polymer. In the above procedures, the molecular memory is strongly dependent on the formation and status of the template-monomer preorganization conjugate (or adduct). Therefore, to study these conjugates/adducts in detail is crucially important for understanding the imprinting mechanism and designing efficient molecular imprinting systems. On the other hand, one of the most important and facile ways to realize the molecular imprinting is using the molecularly imprinted membranes (MIMs), which were first introduced by Piletsky et al. In MIMs systems, the combination of the imprinting technique can provide membranes with specific selectivity for the separation of targeted organic compounds and thus make the MIMs possess the advantages of both molecular imprinting and membrane technology.

  9. The role of imprinted genes in humans

    OpenAIRE

    Ishida, Miho; Moore, Gudrun E.

    2013-01-01

    Detailed comprehensive molecular analysis using families and multiple matched tissues is essential to determine whether imprinted genes have a functional role in humans. See research article: http://genomebiology.com/2011/12/3/R25

  10. Combined e-beam lithography using different energies

    Czech Academy of Sciences Publication Activity Database

    Krátký, Stanislav; Kolařík, Vladimír; Horáček, Miroslav; Meluzín, Petr; Král, Stanislav

    2017-01-01

    Roč. 177, JUN (2017), s. 30-34 ISSN 0167-9317 R&D Projects: GA TA ČR TE01020233; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : grayscale e-beam lithography * mix and match process * absorbed energy density * resist sensitivity * micro-optical elements Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Nano-processes (applications on nano-scale) Impact factor: 1.806, year: 2016

  11. Spectral and Spatial Coherent Emission of Thermal Radiation from Metal-Semiconductor Nanostructures

    Science.gov (United States)

    2012-03-01

    in hand, the pattern would be imprinted into a slave medium such as a thermoplastic, like poly(methyl methacrylate) or PMMA for short. Thermoset ...Chong, "Fabrication of nanostructures with laser interference lithography," Journal of Alloys and Compounds, vol. 449, pp. 261-264, 2008. [68] D

  12. Imprinted genes and the environment: links to the toxic metals arsenic, cadmium, lead and mercury.

    Science.gov (United States)

    Smeester, Lisa; Yosim, Andrew E; Nye, Monica D; Hoyo, Cathrine; Murphy, Susan K; Fry, Rebecca C

    2014-06-11

    Imprinted genes defy rules of Mendelian genetics with their expression tied to the parent from whom each allele was inherited. They are known to play a role in various diseases/disorders including fetal growth disruption, lower birth weight, obesity, and cancer. There is increasing interest in understanding their influence on environmentally-induced disease. The environment can be thought of broadly as including chemicals present in air, water and soil, as well as food. According to the Agency for Toxic Substances and Disease Registry (ATSDR), some of the highest ranking environmental chemicals of concern include metals/metalloids such as arsenic, cadmium, lead and mercury. The complex relationships between toxic metal exposure, imprinted gene regulation/expression and health outcomes are understudied. Herein we examine trends in imprinted gene biology, including an assessment of the imprinted genes and their known functional roles in the cell, particularly as they relate to toxic metals exposure and disease. The data highlight that many of the imprinted genes have known associations to developmental diseases and are enriched for their role in the TP53 and AhR pathways. Assessment of the promoter regions of the imprinted genes resulted in the identification of an enrichment of binding sites for two transcription factor families, namely the zinc finger family II and PLAG transcription factors. Taken together these data contribute insight into the complex relationships between toxic metals in the environment and imprinted gene biology.

  13. Enhancement of light output power of GaN-based light-emitting diodes with photonic quasi-crystal patterned on p-GaN surface and n-side sidewall roughing

    Science.gov (United States)

    2013-01-01

    In this paper, GaN-based light-emitting diodes (LEDs) with photonic quasi-crystal (PQC) structure on p-GaN surface and n-side roughing by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with PQC structure on p-GaN surface and n-side roughing increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.42, and the wall-plug efficiency is 26% higher than the conventional GaN-based LED type. After 500-h life test (55°C/50 mA), it was found that the normalized output power of GaN-based LED with PQC structure on p-GaN surface and n-side roughing only decreased by 6%. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography. PMID:23683526

  14. Fabrication of periodically ordered diamond nanostructures by microsphere lithography

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Štolcová, L.; Proška, J.; Kromka, Alexander

    2014-01-01

    Roč. 251, č. 12 (2014), s. 2587-2592 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 Keywords : CVD growth * diamond * microsphere lithography * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  15. Preparation of diclofenac-imprinted polymer beads for selective molecular separation in water.

    Science.gov (United States)

    Zhou, Tongchang; Kamra, Tripta; Ye, Lei

    2018-03-01

    Molecular imprinting technique is an attractive strategy to prepare materials for target recognition and rapid separation. In this work, a new type of diclofenac (DFC)-imprinted polymer beads was synthesized by Pickering emulsion polymerization using 2-(dimethylamino)ethyl methacrylate as the functional monomer. The selectivity and capacity of the molecularly imprinted polymers (MIPs) were investigated in aqueous solution. Equilibrium binding results show that the MIPs have a high selectivity to bind DFC in a wide range of pH values. Moreover, in liquid chromatography experiment, the imprinted polymer beads were packed into column to investigate the binding selectivity under nonequilibrium conditions. The retention time of DFC on the MIP column is significantly longer than its structural analogues. Also, retention of DFC on the MIP column was significantly longer than on the nonimprinted polymer column under aqueous condition. As the new MIP beads can be used to achieve direct separation of DFC from water, the synthetic method and the affinity beads developed in this work opened new possibilities for removing toxic chemicals from environmental and drinking water. Copyright © 2017 John Wiley & Sons, Ltd.

  16. Microcontact imprinted surface plasmon resonance sensor for myoglobin detection

    International Nuclear Information System (INIS)

    Osman, Bilgen; Uzun, Lokman; Beşirli, Necati; Denizli, Adil

    2013-01-01

    In this study, we prepared surface plasmon resonance (SPR) sensor using the molecular imprinting technique for myoglobin detection in human serum. For this purpose, we synthesized myoglobin imprinted poly(hydroxyethyl methacrylate-N-methacryloyl-L-tryptophan methyl ester) [poly(HEMA-MATrp)] nanofilm on the surface of SPR sensor. We also synthesized non-imprinted poly(HEMA-MATrp) nanofilm without myoglobin for the control experiments. The SPR sensor was characterized with contact angle measurements, atomic force microscopy, X-ray photoelectron spectroscopy, and ellipsometry. We investigated the effectiveness of the sensor using the SPR system. We evaluated the ability of SPR sensor to sense myoglobin with myoglobin solutions (pH 7.4, phosphate buffer) in different concentration range and in the serum taken from a patient with acute myocardial infarction. We found that the Langmuir adsorption model was the most suitable for the sensor system. The detection limit was 87.6 ng/mL. In order to show the selectivity of the SPR sensor, we investigated the competitive detection of myoglobin, lysozyme, cytochrome c and bovine serum albumin. The results showed that the SPR sensor has high selectivity and sensitivity for myoglobin. - Highlights: • Micro-contact imprinted surface plasmon resonance sensor. • Real-time myoglobin detection in the serum taken from a patient with acute myocardial infarction • Reproducible results for consecutive myoglobin solution supplement • LOD and LOQ values of the SPR sensor were determined to be 26.3 and 87.6 ng/mL. • The SPR sensor has potential for myoglobin sensing during acute MI cases

  17. Glucose metabolism, islet architecture, and genetic homogeneity in imprinting of [Ca2+](i and insulin rhythms in mouse islets.

    Directory of Open Access Journals (Sweden)

    Craig S Nunemaker

    2009-12-01

    Full Text Available We reported previously that islets isolated from individual, outbred Swiss-Webster mice displayed oscillations in intracellular calcium ([Ca2+](i that varied little between islets of a single mouse but considerably between mice, a phenomenon we termed "islet imprinting." We have now confirmed and extended these findings in several respects. First, imprinting occurs in both inbred (C57BL/6J as well as outbred mouse strains (Swiss-Webster; CD1. Second, imprinting was observed in NAD(PH oscillations, indicating a metabolic component. Further, short-term exposure to a glucose-free solution, which transiently silenced [Ca2+](i oscillations, reset the oscillatory patterns to a higher frequency. This suggests a key role for glucose metabolism in maintaining imprinting, as transiently suppressing the oscillations with diazoxide, a K(ATP-channel opener that blocks [Ca2+](i influx downstream of glucose metabolism, did not change the imprinted patterns. Third, imprinting was not as readily observed at the level of single beta cells, as the [Ca2+](i oscillations of single cells isolated from imprinted islets exhibited highly variable, and typically slower [Ca2+](i oscillations. Lastly, to test whether the imprinted [Ca2+](i patterns were of functional significance, a novel microchip platform was used to monitor insulin release from multiple islets in real time. Insulin release patterns correlated closely with [Ca2+](i oscillations and showed significant mouse-to-mouse differences, indicating imprinting. These results indicate that islet imprinting is a general feature of islets and is likely to be of physiological significance. While islet imprinting did not depend on the genetic background of the mice, glucose metabolism and intact islet architecture may be important for the imprinting phenomenon.

  18. Nanosecond Characterization of Regional Domain Imprint from Fast Domain Switching Currents in Pb(Zr,Ti)O_3 Thin Films

    International Nuclear Information System (INIS)

    Jun Jiang; An-Quan Jiang

    2016-01-01

    The traditional imprint characterization of ferroelectric thin films estimates imprint time dependence of the mean coercive voltage of all domains from a polarization-voltage hysteresis loop, which shows a semilogarithmic time dependence above an initial imprint time of τ_0 > 1 μs at room temperature. Below τ_0, the imprint effect is believed to be weak. In consideration of region-by-region domain reversal under a rising pulsed voltage with ordered coercive voltages increasing from zero up to the maximum applied voltage during capacitor charging time, we can estimate the imprinted coercive voltage of each domain from domain switching current transient separately with imprint time as short as 20 ns. In disagreement with the previous observations, all imprinted coercive voltages for the domains in Pt/Pb(Zr_0_._4Ti_0_._6)O_3/Pt thin-film capacitors show step-like increases at two characteristic times of 300 ns and 0.27s. The imprint effect is surprisingly strong enough even at shortened time down to 20 ns without any evidence of weakening. (paper)

  19. Simulations of laser imprint for Nova experiments and for ignition capsules. Revision 1

    International Nuclear Information System (INIS)

    Weber, S.V.; Glendinning, S.G.; Kalantar, D.H.; Key, M.H.; Remington, B.A.; Rothenberg, J.L.; Wolfrum, E.; Verdon, C.P.; Knauer, J.P.

    1996-12-01

    In direct drive ICF, nonuniformities in laser illumination seed ripples at the ablation front in a process called ''imprint''. These nonuniformities grow during the capsule implosion and, if initially large enough, can penetrate the capsule shell, impede ignition, or degrade burn. Imprint has been simulated for recent experiments performed on the Nova laser at LLNL examining a variety of beam smoothing conditions. Most used laser intensities similar to the early part of an ignition capsule pulse shape, 1 ≅ 10 13 W/cm 2 . The simulations matched most of the measurements of imprint modulation. The effect of imprint upon National Ignition Facility (NIF) direct drive ignition capsules has also been simulated. Imprint is predicted to give modulation comparable to an intrinsic surface finish of ∼10 nm RMS. Modulation growth was examined using the Haan [Phys. Rev. A 39, 5812 (1989)] model, with linear growth factors as a function of spherical harmonic mode number obtained from an analytic dispersion relation. Ablation front amplitudes are predicted to become substantially nonlinear, so that saturation corrections are large. Direct numerical simulations of two-dimensional multimode growth were also performed. The capsule shell is predicted to remain intact, which gives a basis for believing that ignition can be achieved. 27 refs., 10 figs

  20. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    Science.gov (United States)

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.