WorldWideScience

Sample records for compound semiconductor processing

  1. Compound Semiconductor Radiation Detector

    International Nuclear Information System (INIS)

    Kim, Y. K.; Park, S. H.; Lee, W. G.; Ha, J. H.

    2005-01-01

    In 1945, Van Heerden measured α, β and γ radiations with the cooled AgCl crystal. It was the first radiation measurement using the compound semiconductor detector. Since then the compound semiconductor has been extensively studied as radiation detector. Generally the radiation detector can be divided into the gas detector, the scintillator and the semiconductor detector. The semiconductor detector has good points comparing to other radiation detectors. Since the density of the semiconductor detector is higher than that of the gas detector, the semiconductor detector can be made with the compact size to measure the high energy radiation. In the scintillator, the radiation is measured with the two-step process. That is, the radiation is converted into the photons, which are changed into electrons by a photo-detector, inside the scintillator. However in the semiconductor radiation detector, the radiation is measured only with the one-step process. The electron-hole pairs are generated from the radiation interaction inside the semiconductor detector, and these electrons and charged ions are directly collected to get the signal. The energy resolution of the semiconductor detector is generally better than that of the scintillator. At present, the commonly used semiconductors as the radiation detector are Si and Ge. However, these semiconductor detectors have weak points. That is, one needs thick material to measure the high energy radiation because of the relatively low atomic number of the composite material. In Ge case, the dark current of the detector is large at room temperature because of the small band-gap energy. Recently the compound semiconductor detectors have been extensively studied to overcome these problems. In this paper, we will briefly summarize the recent research topics about the compound semiconductor detector. We will introduce the research activities of our group, too

  2. Handbook of compound semiconductors growth, processing, characterization, and devices

    CERN Document Server

    Holloway, Paul H

    1996-01-01

    This book reviews the recent advances and current technologies used to produce microelectronic and optoelectronic devices from compound semiconductors. It provides a complete overview of the technologies necessary to grow bulk single-crystal substrates, grow hetero-or homoepitaxial films, and process advanced devices such as HBT's, QW diode lasers, etc.

  3. Surface passivation process of compound semiconductor material using UV photosulfidation

    Science.gov (United States)

    Ashby, Carol I. H.

    1995-01-01

    A method for passivating compound semiconductor surfaces by photolytically disrupting molecular sulfur vapor with ultraviolet radiation to form reactive sulfur which then reacts with and passivates the surface of compound semiconductors.

  4. Compound Semiconductor Radiation Detectors

    CERN Document Server

    Owens, Alan

    2012-01-01

    Although elemental semiconductors such as silicon and germanium are standard for energy dispersive spectroscopy in the laboratory, their use for an increasing range of applications is becoming marginalized by their physical limitations, namely the need for ancillary cooling, their modest stopping powers, and radiation intolerance. Compound semiconductors, on the other hand, encompass such a wide range of physical and electronic properties that they have become viable competitors in a number of applications. Compound Semiconductor Radiation Detectors is a consolidated source of information on all aspects of the use of compound semiconductors for radiation detection and measurement. Serious Competitors to Germanium and Silicon Radiation Detectors Wide-gap compound semiconductors offer the ability to operate in a range of hostile thermal and radiation environments while still maintaining sub-keV spectral resolution at X-ray wavelengths. Narrow-gap materials offer the potential of exceeding the spectral resolutio...

  5. II-VI semiconductor compounds

    CERN Document Server

    1993-01-01

    For condensed matter physicists and electronic engineers, this volume deals with aspects of II-VI semiconductor compounds. Areas covered include devices and applications of II-VI compounds; Co-based II-IV semi-magnetic semiconductors; and electronic structure of strained II-VI superlattices.

  6. Compound semiconductor device physics

    CERN Document Server

    Tiwari, Sandip

    2013-01-01

    This book provides one of the most rigorous treatments of compound semiconductor device physics yet published. A complete understanding of modern devices requires a working knowledge of low-dimensional physics, the use of statistical methods, and the use of one-, two-, and three-dimensional analytical and numerical analysis techniques. With its systematic and detailed**discussion of these topics, this book is ideal for both the researcher and the student. Although the emphasis of this text is on compound semiconductor devices, many of the principles discussed will also be useful to those inter

  7. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  8. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  9. Compound semiconductor device modelling

    CERN Document Server

    Miles, Robert

    1993-01-01

    Compound semiconductor devices form the foundation of solid-state microwave and optoelectronic technologies used in many modern communication systems. In common with their low frequency counterparts, these devices are often represented using equivalent circuit models, but it is often necessary to resort to physical models in order to gain insight into the detailed operation of compound semiconductor devices. Many of the earliest physical models were indeed developed to understand the 'unusual' phenomena which occur at high frequencies. Such was the case with the Gunn and IMPATI diodes, which led to an increased interest in using numerical simulation methods. Contemporary devices often have feature sizes so small that they no longer operate within the familiar traditional framework, and hot electron or even quantum­ mechanical models are required. The need for accurate and efficient models suitable for computer aided design has increased with the demand for a wider range of integrated devices for operation at...

  10. Reliability and radiation effects in compound semiconductors

    CERN Document Server

    Johnston, Allan

    2010-01-01

    This book discusses reliability and radiation effects in compound semiconductors, which have evolved rapidly during the last 15 years. Johnston's perspective in the book focuses on high-reliability applications in space, but his discussion of reliability is applicable to high reliability terrestrial applications as well. The book is important because there are new reliability mechanisms present in compound semiconductors that have produced a great deal of confusion. They are complex, and appear to be major stumbling blocks in the application of these types of devices. Many of the reliability problems that were prominent research topics five to ten years ago have been solved, and the reliability of many of these devices has been improved to the level where they can be used for ten years or more with low failure rates. There is also considerable confusion about the way that space radiation affects compound semiconductors. Some optoelectronic devices are so sensitive to damage in space that they are very difficu...

  11. Room Temperature Hard Radiation Detectors Based on Solid State Compound Semiconductors: An Overview

    Science.gov (United States)

    Mirzaei, Ali; Huh, Jeung-Soo; Kim, Sang Sub; Kim, Hyoun Woo

    2018-05-01

    Si and Ge single crystals are the most common semiconductor radiation detectors. However, they need to work at cryogenic temperatures to decrease their noise levels. In contrast, compound semiconductors can be operated at room temperature due to their ability to grow compound materials with tunable densities, band gaps and atomic numbers. Highly efficient room temperature hard radiation detectors can be utilized in biomedical diagnostics, nuclear safety and homeland security applications. In this review, we discuss room temperature compound semiconductors. Since the field of radiation detection is broad and a discussion of all compound materials for radiation sensing is impossible, we discuss the most important materials for the detection of hard radiation with a focus on binary heavy metal semiconductors and ternary and quaternary chalcogenide compounds.

  12. Room Temperature Hard Radiation Detectors Based on Solid State Compound Semiconductors: An Overview

    Science.gov (United States)

    Mirzaei, Ali; Huh, Jeung-Soo; Kim, Sang Sub; Kim, Hyoun Woo

    2018-03-01

    Si and Ge single crystals are the most common semiconductor radiation detectors. However, they need to work at cryogenic temperatures to decrease their noise levels. In contrast, compound semiconductors can be operated at room temperature due to their ability to grow compound materials with tunable densities, band gaps and atomic numbers. Highly efficient room temperature hard radiation detectors can be utilized in biomedical diagnostics, nuclear safety and homeland security applications. In this review, we discuss room temperature compound semiconductors. Since the field of radiation detection is broad and a discussion of all compound materials for radiation sensing is impossible, we discuss the most important materials for the detection of hard radiation with a focus on binary heavy metal semiconductors and ternary and quaternary chalcogenide compounds.

  13. Spin-filter and spin-gapless semiconductors: The case of Heusler compounds

    International Nuclear Information System (INIS)

    Galanakis, I.; Özdoğan, K.; Şaşıoğlu, E.

    2016-01-01

    We review our recent first-principles results on the inverse Heusler compounds and the ordered quaternary (also known as LiMgPdSn-type) Heusler compounds. Among these two subfamilies of the full-Heusler compounds, several have been shown to be magnetic semiconductors. Such material can find versatile applications, e.g. as spin-filter materials in magnetic tunnel junctions. Finally, a special case are the spin-gapless semiconductors, where the energy gap at the Fermi level for the one spin-direction is almost vanishing, offering novel functionalities in spintronic/magnetoelectronic devices.

  14. Attachment to a mass spectrometer for studying the processes of semiconductor compound deposition from a gaseous phase

    International Nuclear Information System (INIS)

    Belousov, V.I.; Zhuravlev, G.I.; Popenko, N.I.; Novozhilov, A.F.; Matveev, I.V.; Murav'ev, V.V.

    1984-01-01

    An attachment to the mass spectrometer for studying the processes of semiconductor compounds deposition from a gaseous phase at the pressure of 1x10 5 Pa and the temperature of 400-1300 K is described. The attachment consists of the Neer ion source with ionization section cooled upto the temperature of liquid nitrogen, a two-zone vacuum furnace, and a quartz epitaxy reactor of the horzontal type.The attachment is equipped with the systems of process gas distribution in 5 flows and temperature stabilization. The rate of mass spectrum recording constitutes 2 mass/s at the resolution being equal to 1000 at the 10% level. The sensitivity at the steam-gas mixture components partial pressure determination constitutes 1x10 -4 Pa

  15. Compound semiconductor optical waveguide switch

    Science.gov (United States)

    Spahn, Olga B.; Sullivan, Charles T.; Garcia, Ernest J.

    2003-06-10

    An optical waveguide switch is disclosed which is formed from III-V compound semiconductors and which has a moveable optical waveguide with a cantilevered portion that can be bent laterally by an integral electrostatic actuator to route an optical signal (i.e. light) between the moveable optical waveguide and one of a plurality of fixed optical waveguides. A plurality of optical waveguide switches can be formed on a common substrate and interconnected to form an optical switching network.

  16. III-V group compound semiconductor light-emitting element having a doped tantalum barrier layer

    International Nuclear Information System (INIS)

    Oanna, Y.; Ozawa, N.; Yamashita, M.; Yasuda, N.

    1984-01-01

    Disclosed is a III-V Group compound semiconductor light-emitting element having a III-V Group compound semiconductor body with a p-n junction and including a p-type layer involved in forming the p-n junction; and a multi-layer electrode mounted on the p-type layer of the semiconductor body. The electrode comprises a first layer of gold alloy containing a small amount of beryllium or zinc and formed in direct contact with the p-type layer of the semiconductor body and an uppermost layer formed of gold or aluminum. A tantalum layer doped with carbon, nitrogen and/or oxygen is formed between the first layer and the uppermost layer by means of vacuum vapor deposition

  17. Ion channeling study of defects in multicomponent semiconductor compounds

    International Nuclear Information System (INIS)

    Turos, A.; Nowicki, L.; Stonert, A.

    2002-01-01

    Compound semiconductor crystals are of great technological importance as basic materials for production of modern opto- and microelectronic devices. Ion implantation is one of the principal techniques for heterostructures processing. This paper reports the results of the study of defect formation and transformation in binary and ternary semiconductor compounds subjected to ion implantation with ions of different mass and energy. The principal analytical technique was He-ion channeling. The following materials were studied: GaN and InGaN epitaxial layers. First the semi empirical method of channeling spectra analysis for ion implanted multicomponent single crystal was developed. This method was later complemented by the more sophisticated method based on the Monte Carlo simulation of channeling spectra. Next, the damage buildup in different crystals and epitaxial layers as a function of the implantation dose was studied for N, Mg, Te, and Kr ions. The influence of the substrate temperature on the defect transformations was studied for GaN epitaxial layers implanted with Mg ions. Special attention was devoted to the study of growth conditions of InGaN/GaN/sapphire heterostructures, which are important component of the future blue laser diodes. In-atom segregation and tetragonal distortion of the epitaxial layer were observed and characterized. Next problem studied was the incorporation of hydrogen atoms in GaAs and GaN. Elastic recoil detection (ERDA) and nuclear reaction analysis (NRA) were applied for the purpose. (author)

  18. Rethinking the theoretical description of photoluminescence in compound semiconductors

    Science.gov (United States)

    Valkovskii, V.; Jandieri, K.; Gebhard, F.; Baranovskii, S. D.

    2018-02-01

    Semiconductor compounds, such as Ga(NAsP)/GaP or GaAsBi/GaAs, are in the focus of intensive research due to their unique features for optoelectronic devices. The optical spectra of compound semiconductors are strongly influenced by the random scattering potentials caused by compositional and structural disorder. The disorder potential is responsible for the red-shift (Stokes shift) of the photoluminescence (PL) peak and for the inhomogeneous broadening of the PL spectra. So far, the anomalous broadening of the PL spectra in Ga(NAsP)/GaP has been explained assuming two coexisting length scales of disorder. However, this interpretation appears in contradiction to the recently observed dependence of the PL linewidth on the excitation intensity. We suggest an alternative approach that describes the PL characteristics in the framework of a model with a single length scale of disorder. The price is the assumption of two types of localized states with different, temperature-dependent non-radiative recombination rates.

  19. Structure of metal-rich (001) surfaces of III-V compound semiconductors

    DEFF Research Database (Denmark)

    Kumpf, C.; Smilgies, D.; Landemark, E.

    2001-01-01

    The atomic structure of the group-III-rich surface of III-V semiconductor compounds has been under intense debate for many years, yet none of the models agrees with the experimental data available. Here we present a model for the three-dimensional structure of the (001)-c(8x2) reconstruction on In......(8 x 2) reconstructions of III-V semiconductor surfaces contain the same essential building blocks....

  20. Contributions of electron microscopy to the understanding of reactions on compound semiconductor surfaces

    International Nuclear Information System (INIS)

    Sands, T.

    1986-01-01

    Reacted films on compound semiconductor substrates present challenging materials characterization problems which often require the application of transmission electron microscopy (TEM) techniques. In this paper, both the problem - solving potential of the TEM techniques and the limits imposed by preparation of thin film/compound semiconductor TEM specimens are discussed. Studies of the Ni/GaAs, CuCl/aq)/CdS and Pd/GaAs reactions exemplify the role of TEM in identifying and determining the spatial distribution of interface - stabilized polymorphs and new ternary phases (e.g. tetragonal Cu/sub 2/S, Ni/sub 3/GaAs and Pd/sub x/GaAs). These examples also serve to clarify the relationship between TEM and complementary analysis techniques such as Rutherford backscattering spectrometry, Auger electron spectroscopy and glancing-angle x-ray diffraction. In particular, it is argued that a combination of (1) high-spatial-resolution information obtained by TEM and (2) an indication of the ''average'' behavior provided by data from a complementary characterization technique provide the minimum quality and quantity of data necessary to understand most reactions on compound semiconductor substrates

  1. Modulation doping and delta doping of III-V compound semiconductors

    NARCIS (Netherlands)

    Hendriks, P.; Zwaal, E.A.E.; Haverkort, J.E.M.; Wolter, J.H.; Razeghi, M.

    1991-01-01

    The transport properties of the 2D electron gas produced by modulation doping of compound semiconductors are reviewed with attention given to the properties at high electric fields. Experimental studies are discussed in which the transport properties lead to insights into current instabilities and

  2. N-doping of organic semiconductors by bis-metallosandwich compounds

    Science.gov (United States)

    Barlow, Stephen; Qi, Yabing; Kahn, Antoine; Marder, Seth; Kim, Sang Bok; Mohapatra, Swagat K.; Guo, Song

    2016-01-05

    The various inventions disclosed, described, and/or claimed herein relate to the field of methods for n-doping organic semiconductors with certain bis-metallosandwich compounds, the doped compositions produced, and the uses of the doped compositions in organic electronic devices. Metals can be manganese, rhenium, iron, ruthenium, osmium, rhodium, or iridium. Stable and efficient doping can be achieved.

  3. Crystallization of II-VI semiconductor compounds forming long microcrystalline linear assemblies

    Directory of Open Access Journals (Sweden)

    Marcelino Becerril

    2013-04-01

    Full Text Available In this work we report the formation of long microcrystalline linear self-assemblies observed during the thin film growth of several II-VI compounds. Polycrystalline CdTe, CdS, CdCO3, and nanocrystalline CdTe:Al thin films were prepared on glass substrates by different deposition techniques. In order to observe these crystalline formations in the polycrystalline materials, the thin film growth was suspended before the grains reached to form a continuous layer. The chains of semiconductor crystals were observed among many isolated and randomly distributed grains. Since CdTe, CdTe:Al, CdS and CdCO3 are not ferroelectric and/or ferromagnetic materials, the relevant problem would be to explain what is the mechanism through which the grains are held together to form linear chains. It is well known that some nanocrystalline materials form rods and wires by means of electrostatic forces. This occurs in polar semiconductors, where it is assumed that the attraction forces between surface polar faces of the small crystals are the responsible for the chains formation. Since there are not too many mechanisms responsible for the attraction we assume that a dipolar interaction is the force that originates the formation of chain-like grain clusters. The study of this property can be useful for the understanding of nucleation processes in the growth of semiconductor thin films.

  4. Producing p-type conductivity in self-compensating semiconductor material

    International Nuclear Information System (INIS)

    Vechten, J.A. van; Woodall, J.M.

    1981-01-01

    This relates to compound type semiconductor materials that exhibit self-compensated n-type conductivity. The process described imparts p-type conductivity to a body of normally n-conductivity self-compensated compound semiconductor material by bombarding it with charged particles, either electrons, protons or ions. Other possible steps include introducing an acceptor impurity and applying a coating onto the crystal body. This technique will allow new semiconductor structures to be made. For example, there are some compound semiconductor materials that exhibit n-conductivity only that have energy gap widths that would permit electrical to light conversion at frequency and colours not readily achieved in semiconductor devices. (U.K.)

  5. Fast Etching of Molding Compound by an Ar/O2/CF4 Plasma and Process Improvements for Semiconductor Package Decapsulation

    NARCIS (Netherlands)

    Tang, J.; Gruber, D.; Schelen, J.B.J.; Funke, H.J.; Beenakker, C.I.M.

    2012-01-01

    Decapsulation of a SOT23 semiconductor package with 23 um copper wire bonds is conducted with an especially designed microwave induced plasma system. It is found that a 30%-60% CF4 addition in the O2/CF4 etchant gas results in high molding compound etching rate. Si3N4 overetching which is

  6. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  7. Growth of Wide Band Gap II-VI Compound Semiconductors by Physical Vapor Transport

    Science.gov (United States)

    Su, Ching-Hua; Sha, Yi-Gao

    1995-01-01

    The studies on the crystal growth and characterization of II-VI wide band gap compound semiconductors, such as ZnTe, CdS, ZnSe and ZnS, have been conducted over the past three decades. The research was not quite as extensive as that on Si, III-V, or even narrow band gap II-VI semiconductors because of the high melting temperatures as well as the specialized applications associated with these wide band gap semiconductors. In the past several years, major advances in the thin film technology such as Molecular Beam Epitaxy (MBE) and Metal Organic Chemical Vapor Deposition (MOCVD) have demonstrated the applications of these materials for the important devices such as light-emitting diode, laser and ultraviolet detectors and the tunability of energy band gap by employing ternary or even quaternary systems of these compounds. At the same time, the development in the crystal growth of bulk materials has not advanced far enough to provide low price, high quality substrates needed for the thin film growth technology.

  8. Dual passivation of intrinsic defects at the compound semiconductor/oxide interface using an oxidant and a reductant.

    Science.gov (United States)

    Kent, Tyler; Chagarov, Evgeniy; Edmonds, Mary; Droopad, Ravi; Kummel, Andrew C

    2015-05-26

    Studies have shown that metal oxide semiconductor field-effect transistors fabricated utilizing compound semiconductors as the channel are limited in their electrical performance. This is attributed to imperfections at the semiconductor/oxide interface which cause electronic trap states, resulting in inefficient modulation of the Fermi level. The physical origin of these states is still debated mainly because of the difficulty in assigning a particular electronic state to a specific physical defect. To gain insight into the exact source of the electronic trap states, density functional theory was employed to model the intrinsic physical defects on the InGaAs (2 × 4) surface and to model the effective passivation of these defects by utilizing both an oxidant and a reductant to eliminate metallic bonds and dangling-bond-induced strain at the interface. Scanning tunneling microscopy and spectroscopy were employed to experimentally determine the physical and electronic defects and to verify the effectiveness of dual passivation with an oxidant and a reductant. While subsurface chemisorption of oxidants on compound semiconductor substrates can be detrimental, it has been shown theoretically and experimentally that oxidants are critical to removing metallic defects at oxide/compound semiconductor interfaces present in nanoscale channels, oxides, and other nanostructures.

  9. Additional compound semiconductor nanowires for photonics

    Science.gov (United States)

    Ishikawa, F.

    2016-02-01

    GaAs related compound semiconductor heterostructures are one of the most developed materials for photonics. Those have realized various photonic devices with high efficiency, e. g., lasers, electro-optical modulators, and solar cells. To extend the functions of the materials system, diluted nitride and bismide has been paid attention over the past decade. They can largely decrease the band gap of the alloys, providing the greater tunability of band gap and strain status, eventually suppressing the non-radiative Auger recombinations. On the other hand, selective oxidation for AlGaAs is a vital technique for vertical surface emitting lasers. That enables precisely controlled oxides in the system, enabling the optical and electrical confinement, heat transfer, and mechanical robustness. We introduce the above functions into GaAs nanowires. GaAs/GaAsN core-shell nanowires showed clear redshift of the emitting wavelength toward infrared regime. Further, the introduction of N elongated the carrier lifetime at room temperature indicating the passivation of non-radiative surface recombinations. GaAs/GaAsBi nanowire shows the redshift with metamorphic surface morphology. Selective and whole oxidations of GaAs/AlGaAs core-shell nanowires produce semiconductor/oxide composite GaAs/AlGaOx and oxide GaOx/AlGaOx core-shell nanowires, respectively. Possibly sourced from nano-particle species, the oxide shell shows white luminescence. Those property should extend the functions of the nanowires for their application to photonics.

  10. Semiconductor processing apparatus with compact free radical source

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Aarnink, Antonius A.I.

    2013-01-01

    A semiconductor processing apparatus (1), comprising: a substrate processing chamber (158), defining a substrate support location (156) at which a generally planar semiconductor substrate (300) is supportable; and at least one free radical source (200), including: a precursor gas source (250); an

  11. Thermodynamics and phase equilibria of ternary systems relevant to contact materials for compound semiconductors

    International Nuclear Information System (INIS)

    Ipser, H.; Richter, K.; Micke, K.

    1997-01-01

    In order to investigate the stability of ohmic contacts to compound semiconductors, it is necessary to know the phase equilibria in the corresponding multi-component systems. We are currently studying the phase equilibria and thermophysical properties of several ternary systems which are of interest in view of the use of nickel, palladium and platinum as contact materials for GaSb and InSb compound semiconductors: Ga-Ni-Sb, In-Ni-Sb, Ga-Pd-Sb and Ga-Pt-Sb. Phase equilibria are investigated by thermal analyses, X-ray powder diffraction methods as well as electron microprobe analysis. Thermodynamic properties are derived from vapour pressure measurements using an isopiestic method. It is planned to combine all information on phase equilibria and thermochemistry for the ternary and the limiting binary systems to perform an optimization of the ternary systems by computer calculations using standard software. (author)

  12. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    International Nuclear Information System (INIS)

    Chang, J-S.; Urashima, K.

    2009-01-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C 2 F 6 (2000ppm)/ CF 4 (1000ppm)/ N 2 O(1000ppm)/ N 2 / Air mixture, 54% of C 2 F 6 and 32% of CF 4 were decomposed by the plasma reactor and 100% of C 2 F 6 and 98% of CF 4 were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF 3 (2000ppm)/ SiF 4 (1000ppm)/ N 2 O(200ppm)/ N 2 / Air mixture, 92% of NF 3 and 32% of SiF 4 were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  13. Abatement of global warming gas emissions from semiconductor manufacturing processes by non-thermal plasma-catalyst systems

    Energy Technology Data Exchange (ETDEWEB)

    Chang, J-S.; Urashima, K. [McMaster Univ., McIARS and Dept. Eng. Phys., Hamilton, Ontario (Canada)

    2009-07-01

    Emission of various hazardous air pollutants (HAPs) and greenhouse gases including perfluoro-compounds (PFCs) from semiconductor industries may cause significant impact on human health and the global environment, has attracted much public attention. In this paper, an application of nonthermal plasma-adsorbent system for a removal of PFCs emission from semiconductor process flue gases is experimentally investigated. The non-thermal plasma reactor used is the ferro-electric packed-bed type barrier discharge plasma and adsorbent reactor used is Zeolite bed reactor. The results show that for a simulated semiconductor process flue gas with C{sub 2}F{sub 6} (2000ppm)/ CF{sub 4}(1000ppm)/ N{sub 2}O(1000ppm)/ N{sub 2}/ Air mixture, 54% of C{sub 2}F{sub 6} and 32% of CF{sub 4} were decomposed by the plasma reactor and 100% of C{sub 2}F{sub 6} and 98% of CF{sub 4} were removed by plasma reactor/Zeolite adsorbent hybrid system. For a simulated semiconductor process flue gas with NF{sub 3} (2000ppm)/ SiF{sub 4}(1000ppm)/ N{sub 2}O(200ppm)/ N{sub 2}/ Air mixture, 92% of NF{sub 3} and 32% of SiF{sub 4} were decomposed by the plasma reactor and total (100%) removal of the pollutant gases was achieved by plasma reactor/Zeolite adsorbent hybrid system. (author)

  14. Ternary chalcopyrite semiconductors

    CERN Document Server

    Shay, J L; Pamplin, B R

    2013-01-01

    Ternary Chalcopyrite Semiconductors: Growth, Electronic Properties, and Applications covers the developments of work in the I-III-VI2 and II-IV-V2 ternary chalcopyrite compounds. This book is composed of eight chapters that focus on the crystal growth, characterization, and applications of these compounds to optical communications systems. After briefly dealing with the status of ternary chalcopyrite compounds, this book goes on describing the crystal growth of II-IV-V2 and I-III-VI2 single crystals. Chapters 3 and 4 examine the energy band structure of these semiconductor compounds, illustrat

  15. Fundamentals of semiconductor manufacturing and process control

    CERN Document Server

    May, Gary S

    2006-01-01

    A practical guide to semiconductor manufacturing from process control to yield modeling and experimental design Fundamentals of Semiconductor Manufacturing and Process Control covers all issues involved in manufacturing microelectronic devices and circuits, including fabrication sequences, process control, experimental design, process modeling, yield modeling, and CIM/CAM systems. Readers are introduced to both the theory and practice of all basic manufacturing concepts. Following an overview of manufacturing and technology, the text explores process monitoring methods, including those that focus on product wafers and those that focus on the equipment used to produce wafers. Next, the text sets forth some fundamentals of statistics and yield modeling, which set the foundation for a detailed discussion of how statistical process control is used to analyze quality and improve yields. The discussion of statistical experimental design offers readers a powerful approach for systematically varying controllable p...

  16. INFLUENCE OF INORGANIC COMPOUNDS ON THE PROCESS OF PHOTOCATALYSIS OF BIOLOGICALLY ACTIVE COMPOUNDS

    Directory of Open Access Journals (Sweden)

    Edyta Kudlek

    2017-07-01

    Full Text Available Constant increase in concentration of organic micropollutants in the water environment influences the development of methods for their effective elimination from various matrices released into aquatic ecosystems. One of widely described in literature processes for the decomposition of hardly-biodegradable pollutants is the process of heterogeneous photocatalysis. The paper presents the influence of inorganic substances on the decomposition of polycyclic aromatic hydrocarbons (anthracene and benzo[a]pyrene, industrial admixtures - octylphenol and pharmaceutical compounds - diclofenac in the photocatalysis process conducted in the presence of TiO2. It has been shown that the presence of Cl- ions did not affect the photochemical reaction of the micropollutant decomposition. Whereas, the presence of CO3(2-, SO4(2- and HPO4(2- ions inhibited the decolonization of octylphenol and diclofenac, while the degradation efficiency of anthracene and benzo[a]pyrene was reduced only by the presence of CO3(2- and HCO3- anions. The photooxidation of micropollutants in solutions containing Al(3+ oraz Fe(3+ cations proceeded with a much lower efficiency than that for solution without inorganic compounds. The analysis of the kinetics of the photocatalytic decomposition of selected micropollutants show a decrease in the reaction rate constant and an increase in their half-life due to the blocking of theactive semiconductor centers by inorganic compounds. In addition,the toxicological analysis inducated the generation of micropollutant oxidation by-products, which aggravate the quality of treated aqueous solutions.

  17. Distribution of volatile organic compounds over a semiconductor Industrial Park in Taiwan.

    Science.gov (United States)

    Chiu, Kong-Hwa; Wu, Ben-Zen; Chang, Chih-Chung; Sree, Usha; Lo, Jiunn-Guang

    2005-02-15

    This study examined volatile organic compounds (VOC) concentration in ambient air collected during the years 2000--2003 at several different locations of Hsinchu Science-based Industrial Park (HSIP) in Taiwan. A canister automated GC-MS system analyzed the volatile organics in ambient air grasp samples according to T0-15 method. Oxygenated volatiles were the most abundant VOC detected in HSIP followed by aromatics that are commonly used as solvents in the semiconductor industries. The major components measured in the ambient air are 2-propanol (29-135 ppbv), acetone (12-164 ppbv), benzene (0.7-1.7 ppbv), and toluene (13-20 ppbv). At some of the sampling locations, odorous compounds such as carbon disulfide and dimethyl sulfide levels exceed threshold values. The estimated toluene/benzene ratio is very high at most of the sites. However, the total amount of VOC is reduced over the years from 2000 to 2003 due to strict implementation on use and discharge of solvents in industries. There exists no definite seasonal pattern for sporadic occurrence of high levels of some of the volatile organics. Stagnant weather conditions with low wind speeds aid accumulation of toxic species at ground level. The results entail that hi-tech semiconductor industries are still a potential source for harmful organic substances to surrounding microenvironment.

  18. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Gas-Solid Reaction Properties of Fluorine Compounds and Solid Adsorbents for Off-Gas Treatment from Semiconductor Facility

    Directory of Open Access Journals (Sweden)

    Shinji Yasui

    2012-01-01

    Full Text Available We have been developing a new dry-type off-gas treatment system for recycling fluorine from perfluoro compounds present in off-gases from the semiconductor industry. The feature of this system is to adsorb the fluorine compounds in the exhaust gases from the decomposition furnace by using two types of solid adsorbents: the calcium carbonate in the upper layer adsorbs HF and converts it to CaF2, and the sodium bicarbonate in the lower layer adsorbs HF and SiF4 and converts them to Na2SiF6. This paper describes the fluorine compound adsorption properties of both the solid adsorbents—calcium carbonate and the sodium compound—for the optimal design of the fixation furnace. An analysis of the gas-solid reaction rate was performed from the experimental results of the breakthrough curve by using a fixed-bed reaction model, and the reaction rate constants and adsorption capacity were obtained for achieving an optimal process design.

  20. Novel engineered compound semiconductor heterostructures for advanced electronics applications

    Science.gov (United States)

    Stillman, Gregory E.; Holonyak, Nick, Jr.; Coleman, James J.

    1992-06-01

    To provide the technology base that will enable SDIO capitalization on the performance advantages offered through novel engineered multiple-lavered compound semiconductor structures, this project has focussed on three specific areas: (1) carbon doping of AlGaAs/GaAs and InP/InGaAs materials for reliable high frequency heterojunction bipolar transistors; (2) impurity induced layer disordering and the environmental degradation of AlxGal-xAs-GaAs quantum-well heterostructures and the native oxide stabilization of AlxGal-xAs-GaAs quantum well heterostructure lasers; and (3) non-planar and strained-layer quantum well heterostructure lasers and laser arrays. The accomplishments in this three year research are reported in fifty-six publications and the abstracts included in this report.

  1. Substrate effects on the formation of flat Ag films on (110) surfaces of III-V compound semiconductors

    International Nuclear Information System (INIS)

    Chao, K.; Zhang, Z.; Ebert, P.; Shih, C.K.

    1999-01-01

    Ag films grown at 135 K on (110) surfaces of III-V compound semiconductors and annealed at room temperature are investigated by scanning tunneling microscopy and low-energy electron diffraction. Ag films on Ga-V semiconductors are well ordered, atomically flat, and exhibit a specific critical thickness, which is a function of the substrate material. Films grown on In-V semiconductors are still rather flat, but significantly more disordered. The (111) oriented Ag films on III-arsenides and III-phosphides exhibit a clear twofold superstructure. Films on III-antimonides exhibit threefold low-energy electron diffraction images. The morphology of the Ag films can be explained on the basis of the electronic growth mechanism. copyright 1999 The American Physical Society

  2. Semiconductor

    International Nuclear Information System (INIS)

    2000-01-01

    This book deals with process and measurement of semiconductor. It contains 20 chapters, which goes as follows; semiconductor industry, introduction of semiconductor manufacturing, yield of semiconductor process, materials, crystal growth and a wafer forming, PN, control pollution, oxidation, photomasking photoresist chemistry, photomasking technologies, diffusion and ion injection, chemical vapor deposition, metallization, wafer test and way of evaluation, semiconductor elements, integrated circuit and semiconductor circuit technology.

  3. Pulse-height loss in the signal readout circuit of compound semiconductor detectors

    Science.gov (United States)

    Nakhostin, M.; Hitomi, K.

    2018-06-01

    Compound semiconductor detectors such as CdTe, CdZnTe, HgI2 and TlBr are known to exhibit large variations in their charge collection times. This paper considers the effect of such variations on the measurement of induced charge pulses by using resistive feedback charge-sensitive preamplifiers. It is shown that, due to the finite decay-time constant of the preamplifiers, the capacitive decay during the signal readout leads to a variable deficit in the measurement of ballistic signals and a digital pulse processing method is employed to correct for it. The method is experimentally examined by using sampled pulses from a TlBr detector coupled to a charge-sensitive preamplifier with 150 μs of decay-time constant and 20 % improvement in the energy resolution of the detector at 662 keV is achieved. The implications of the capacitive decay on the correction of charge-trapping effect by using depth-sensing technique are also considered.

  4. On the impact of isoelectric impurities on band bowing and disorder of compound semiconductors; Ueber den Einfluss von isoelektronischen Stoerstellen auf Bandbiegung und Unordnung in Verbindungshalbleitern

    Energy Technology Data Exchange (ETDEWEB)

    Karcher, Christian

    2012-03-16

    Isolectronic impurities and their impact on the properties of compound semiconductors is discussed in two systems: Nitrogen in Ga(As,P) quantum wells on the one hand and Sulfur and Selenium in bulk ZnTe. The properties are reduced to two experimentally observable aspects: Band Bowing, i.e. the non-linearity of the band gap of the compound semiconductor and disorder, i.e. in particular the formation of a strongly localized density of states beneath the fundamental band gap. Apart of the pure experimental studies an insight into the theoretical model of disorder-induced temperature dependent luminescence properties of the compound semiconductors by means of Monte Carlo Simulations is given.

  5. Semiconductor industry wafer fab exhaust management

    CERN Document Server

    Sherer, Michael J

    2005-01-01

    Given the myriad exhaust compounds and the corresponding problems that they can pose in an exhaust management system, the proper choice of such systems is a complex task. Presenting the fundamentals, technical details, and general solutions to real-world problems, Semiconductor Industry: Wafer Fab Exhaust Management offers practical guidance on selecting an appropriate system for a given application. Using examples that provide a clear understanding of the concepts discussed, Sherer covers facility layout, support facilities operations, and semiconductor process equipment, followed by exhaust types and challenges. He reviews exhaust point-of-use devices and exhaust line requirements needed between process equipment and the centralized exhaust system. The book includes information on wet scrubbers for a centralized acid exhaust system and a centralized ammonia exhaust system and on centralized equipment to control volatile organic compounds. It concludes with a chapter devoted to emergency releases and a separ...

  6. Mechanical properties of some binary, ternary and quaternary III-V compound semiconductor alloys

    International Nuclear Information System (INIS)

    Navamathavan, R.; Arivuoli, D.; Attolini, G.; Pelosi, C.; Choi, Chi Kyu

    2007-01-01

    Vicker's microindentation tests have been carried out on InP/InP, GaAs/InP, InGaAs/InP and InGaAsP/InP III-V compound semiconductor alloys. The detailed mechanical properties of these binary, ternary and quaternary epilayers were determined from the indentation experiments. Microindentation studies of (1 1 1) GaAs/InP both A and B faces show that the hardness value increases with load and attains a constant for further increase in load and the microhardness values were found to lie between 3.5 and 4.0 GPa. The microhardness values of InGaAs/InP epilayers with different thickness were found to lie between 3.93 and 4.312 GPa. The microhardness values of InGaAsP/InP with different elemental composition were found to lie between 5.08 and 5.73 GPa. The results show that the hardness of the quaternary alloy drastically increases, the reason may be that the increase in As concentration hardens the lattice when phosphorous concentration is less and hardness decreases when phosphorous is increased. It was interestingly observed that the hardness value increases as we proceed from binary to quaternary III-V compound semiconductor alloys

  7. Thermoreflectance spectroscopy—Analysis of thermal processes in semiconductor lasers

    Science.gov (United States)

    Pierścińska, D.

    2018-01-01

    This review focuses on theoretical foundations, experimental implementation and an overview of experimental results of the thermoreflectance spectroscopy as a powerful technique for temperature monitoring and analysis of thermal processes in semiconductor lasers. This is an optical, non-contact, high spatial resolution technique providing high temperature resolution and mapping capabilities. Thermoreflectance is a thermometric technique based on measuring of relative change of reflectivity of the surface of laser facet, which provides thermal images useful in hot spot detection and reliability studies. In this paper, principles and experimental implementation of the technique as a thermography tool is discussed. Some exemplary applications of TR to various types of lasers are presented, proving that thermoreflectance technique provides new insight into heat management problems in semiconductor lasers and in particular, that it allows studying thermal degradation processes occurring at laser facets. Additionally, thermal processes and basic mechanisms of degradation of the semiconductor laser are discussed.

  8. Rapid thermal processing and beyond applications in semiconductor processing

    CERN Document Server

    Lerch, W

    2008-01-01

    Heat-treatment and thermal annealing are very common processing steps which have been employed during semiconductor manufacturing right from the beginning of integrated circuit technology. In order to minimize undesired diffusion, and other thermal budget-dependent effects, the trend has been to reduce the annealing time sharply by switching from standard furnace batch-processing (involving several hours or even days), to rapid thermal processing involving soaking times of just a few seconds. This transition from thermal equilibrium, to highly non-equilibrium, processing was very challenging a

  9. Structural trends in off stoichiometric chalcopyrite type compound semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Stephan, Christiane

    2011-03-15

    Energy supply is one of the most controversial topics that are currently discussed in our global community. Most of the energy delivered to the customer today has its origin in fossil and nuclear power plants. Indefinable risks and the radioactive waste repository problem of the latter as well as the global scarcity of fossil resources cause the renewable energies to grow more and more important for achieving sustainability. The main renewable energy sources are wind power, hydroelectric power and solar energy. On the photovoltaic (PV) market different materials are competing as part of different kinds of technologies, with the largest contribution still coming from wafer based crystalline silicon solar cells (95 %). Until now thin film solar cells only contribute a small portion to the whole PV market, but large capacities are under construction. Thin film photovoltaic shows a number of advantages in comparison to wafer based crystalline silicon PV. Among these material usage and production cost reduction are two prominent examples. The type of PV materials, which are analyzed in this work, are high potential compounds that are widely used as absorber layer in thin film solar cells. These are compound semiconductors of the type CuB{sup III}C{sup VI}{sub 2} (B{sup III} = In, Ga and C{sup VI} = Se, S). Several years of research have already gone into understanding the efficiency limiting factors for solar cell devices fabricated from this compound. Most of the studies concerning electronic defects are done by spectroscopic methods mostly performed using thin films from different kinds of synthesis, without any real knowledge regarding the structural origin of these defects. This work shows a systematic fundamental structural study of intrinsic point defects that are present within the material at various compositions in CuB{sup III}C{sup VI}{sub 2} compound semiconductors. The study is done on reference powder samples with well determined chemical composition and

  10. Structural trends in off stoichiometric chalcopyrite type compound semiconductors

    International Nuclear Information System (INIS)

    Stephan, Christiane

    2011-01-01

    Energy supply is one of the most controversial topics that are currently discussed in our global community. Most of the energy delivered to the customer today has its origin in fossil and nuclear power plants. Indefinable risks and the radioactive waste repository problem of the latter as well as the global scarcity of fossil resources cause the renewable energies to grow more and more important for achieving sustainability. The main renewable energy sources are wind power, hydroelectric power and solar energy. On the photovoltaic (PV) market different materials are competing as part of different kinds of technologies, with the largest contribution still coming from wafer based crystalline silicon solar cells (95 %). Until now thin film solar cells only contribute a small portion to the whole PV market, but large capacities are under construction. Thin film photovoltaic shows a number of advantages in comparison to wafer based crystalline silicon PV. Among these material usage and production cost reduction are two prominent examples. The type of PV materials, which are analyzed in this work, are high potential compounds that are widely used as absorber layer in thin film solar cells. These are compound semiconductors of the type CuB III C VI 2 (B III = In, Ga and C VI = Se, S). Several years of research have already gone into understanding the efficiency limiting factors for solar cell devices fabricated from this compound. Most of the studies concerning electronic defects are done by spectroscopic methods mostly performed using thin films from different kinds of synthesis, without any real knowledge regarding the structural origin of these defects. This work shows a systematic fundamental structural study of intrinsic point defects that are present within the material at various compositions in CuB III C VI 2 compound semiconductors. The study is done on reference powder samples with well determined chemical composition and using advanced diffraction techniques

  11. NICE3 SO3 Cleaning Process in Semiconductor Manufacturing

    International Nuclear Information System (INIS)

    Blazek, Steve

    1999-01-01

    This fact sheet explains how Anon, Inc., has developed a novel method of removing photoresist--a light-sensitive material used to produce semiconductor wafers for computers--from the computer manufacturing process at reduced cost and greater efficiency. The new technology is technically superior to existing semiconductor cleaning methods and results in reduced use of hazardous chemicals

  12. Origin of poor doping efficiency in solution processed organic semiconductors.

    Science.gov (United States)

    Jha, Ajay; Duan, Hong-Guang; Tiwari, Vandana; Thorwart, Michael; Miller, R J Dwayne

    2018-05-21

    Doping is an extremely important process where intentional insertion of impurities in semiconductors controls their electronic properties. In organic semiconductors, one of the convenient, but inefficient, ways of doping is the spin casting of a precursor mixture of components in solution, followed by solvent evaporation. Active control over this process holds the key to significant improvements over current poor doping efficiencies. Yet, an optimized control can only come from a detailed understanding of electronic interactions responsible for the low doping efficiencies. Here, we use two-dimensional nonlinear optical spectroscopy to examine these interactions in the course of the doping process by probing the solution mixture of doped organic semiconductors. A dopant accepts an electron from the semiconductor and the two ions form a duplex of interacting charges known as ion-pair complexes. Well-resolved off-diagonal peaks in the two-dimensional spectra clearly demonstrate the electronic connectivity among the ions in solution. This electronic interaction represents a well resolved electrostatically bound state, as opposed to a random distribution of ions. We developed a theoretical model to recover the experimental data, which reveals an unexpectedly strong electronic coupling of ∼250 cm -1 with an intermolecular distance of ∼4.5 Å between ions in solution, which is approximately the expected distance in processed films. The fact that this relationship persists from solution to the processed film gives direct evidence that Coulomb interactions are retained from the precursor solution to the processed films. This memory effect renders the charge carriers equally bound also in the film and, hence, results in poor doping efficiencies. This new insight will help pave the way towards rational tailoring of the electronic interactions to improve doping efficiencies in processed organic semiconductor thin films.

  13. The electrochemical reduction processes of solid compounds in high temperature molten salts.

    Science.gov (United States)

    Xiao, Wei; Wang, Dihua

    2014-05-21

    Solid electrode processes fall in the central focus of electrochemistry due to their broad-based applications in electrochemical energy storage/conversion devices, sensors and electrochemical preparation. The electrolytic production of metals, alloys, semiconductors and oxides via the electrochemical reduction of solid compounds (especially solid oxides) in high temperature molten salts has been well demonstrated to be an effective and environmentally friendly process for refractory metal extraction, functional materials preparation as well as spent fuel reprocessing. The (electro)chemical reduction of solid compounds under cathodic polarizations generally accompanies a variety of changes at the cathode/melt electrochemical interface which result in diverse electrolytic products with different compositions, morphologies and microstructures. This report summarizes various (electro)chemical reactions taking place at the compound cathode/melt interface during the electrochemical reduction of solid compounds in molten salts, which mainly include: (1) the direct electro-deoxidation of solid oxides; (2) the deposition of the active metal together with the electrochemical reduction of solid oxides; (3) the electro-inclusion of cations from molten salts; (4) the dissolution-electrodeposition process, and (5) the electron hopping process and carbon deposition with the utilization of carbon-based anodes. The implications of the forenamed cathodic reactions on the energy efficiency, chemical compositions and microstructures of the electrolytic products are also discussed. We hope that a comprehensive understanding of the cathodic processes during the electrochemical reduction of solid compounds in molten salts could form a basis for developing a clean, energy efficient and affordable production process for advanced/engineering materials.

  14. Observed damage during Argon gas cluster depth profiles of compound semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Barlow, Anders J., E-mail: anders.barlow@ncl.ac.uk; Portoles, Jose F.; Cumpson, Peter J. [National EPSRC XPS Users' Service (NEXUS), School of Mechanical and Systems Engineering, Newcastle University, Newcastle upon Tyne NE1 7RU (United Kingdom)

    2014-08-07

    Argon Gas Cluster Ion Beam (GCIB) sources have become very popular in XPS and SIMS in recent years, due to the minimal chemical damage they introduce in the depth-profiling of polymer and other organic materials. These GCIB sources are therefore particularly useful for depth-profiling polymer and organic materials, but also (though more slowly) the surfaces of inorganic materials such as semiconductors, due to the lower roughness expected in cluster ion sputtering compared to that introduced by monatomic ions. We have examined experimentally a set of five compound semiconductors, cadmium telluride (CdTe), gallium arsenide (GaAs), gallium phosphide (GaP), indium arsenide (InAs), and zinc selenide (ZnSe) and a high-κ dielectric material, hafnium oxide (HfO), in their response to argon cluster profiling. An experimentally determined HfO etch rate of 0.025 nm/min (3.95 × 10{sup −2} amu/atom in ion) for 6 keV Ar gas clusters is used in the depth scale conversion for the profiles of the semiconductor materials. The assumption has been that, since the damage introduced into polymer materials is low, even though sputter yields are high, then there is little likelihood of damaging inorganic materials at all with cluster ions. This seems true in most cases; however, in this work, we report for the first time that this damage can in fact be very significant in the case of InAs, causing the formation of metallic indium that is readily visible even to the naked eye.

  15. Contacts to semiconductors

    International Nuclear Information System (INIS)

    Tove, P.A.

    1975-08-01

    Contacts to semiconductors play an important role in most semiconductor devices. These devices range from microelectronics to power components, from high-sensitivity light or radiation detectors to light-emitting of microwave-generating components. Silicon is the dominating material but compound semiconductors are increasing in importance. The following survey is an attempt to classify contact properties and the physical mechanisms involved, as well as fabrication methods and methods of investigation. The main interest is in metal-semiconductor type contacts where a few basic concepts are dealt with in some detail. (Auth.)

  16. Selection of efficient etchants for nondestructive treatment of semiconductors

    International Nuclear Information System (INIS)

    Tomashik, V.N.; Fomin, A.V.; Tomashik, Z.F.

    1996-01-01

    The scheme for studying etching processes of semiconductor materials and developing new etchants for different semiconductors is proposed. The scheme includes the experiment mathematical planning, computerized physicochemical modeling, kinetic studies, investigation of surface layers, formed by etching. Such on approach makes it possible to optimize the etchant composition in every concrete cage. The scheme is tested in the course of developing optimal methodologies of preepitaxial treatment and selection of etchants composition for semiconductor compounds of the A 1 B 6 and A 3 B 5 type. 13 refs., 4 figs

  17. Density functional study of the group II phosphide semiconductor compounds under hydrostatic pressure

    Energy Technology Data Exchange (ETDEWEB)

    Mokhtari, Ali [Simulation Laboratory, Department of Physics, Faculty of Science, Shahrekord University, PB 115, Shahrekord (Iran, Islamic Republic of)], E-mail: mokhtari@sci.sku.ac.ir

    2008-04-02

    The full-potential all-electron linearized augmented plane wave plus local orbital (FP-LAPW+lo) method, as implemented in the suite of software WIEN2k, has been used to systematically investigate the structural and electronic properties of the group II phosphide semiconductor compounds M{sub 3}P{sub 2} (M = Be, Mg and Ca). The exchange-correlation functional was approximated as a generalized gradient functional introduced by Perdew-Burke-Ernzerhof (GGA96) and Engel-Vosko (EV-GGA). Internal parameters were optimized by relaxing the atomic positions in the force directions using the Hellman-Feynman approach. The structural parameters, bulk modules, cohesive energy, band structures and density of states have been calculated and compared to the available experimental and theoretical results. These compounds are predicted to be semiconductors with the direct band gap of about 1.60, 2.55 and 2.62 eV for Be{sub 3}P{sub 2}, Mg{sub 3}P{sub 2} and Ca{sub 3}P{sub 2}, respectively. The effects of hydrostatic pressure on the behavior of band parameters such as band gap, valence bandwidths and anti-symmetric gap (the energy gap between two parts of the valence bands) are investigated using both GGA96 and EV-GGA. The contribution of s, p and d orbitals of different atoms to the density of states is discussed in detail.

  18. Density functional study of the group II phosphide semiconductor compounds under hydrostatic pressure

    International Nuclear Information System (INIS)

    Mokhtari, Ali

    2008-01-01

    The full-potential all-electron linearized augmented plane wave plus local orbital (FP-LAPW+lo) method, as implemented in the suite of software WIEN2k, has been used to systematically investigate the structural and electronic properties of the group II phosphide semiconductor compounds M 3 P 2 (M = Be, Mg and Ca). The exchange-correlation functional was approximated as a generalized gradient functional introduced by Perdew-Burke-Ernzerhof (GGA96) and Engel-Vosko (EV-GGA). Internal parameters were optimized by relaxing the atomic positions in the force directions using the Hellman-Feynman approach. The structural parameters, bulk modules, cohesive energy, band structures and density of states have been calculated and compared to the available experimental and theoretical results. These compounds are predicted to be semiconductors with the direct band gap of about 1.60, 2.55 and 2.62 eV for Be 3 P 2 , Mg 3 P 2 and Ca 3 P 2 , respectively. The effects of hydrostatic pressure on the behavior of band parameters such as band gap, valence bandwidths and anti-symmetric gap (the energy gap between two parts of the valence bands) are investigated using both GGA96 and EV-GGA. The contribution of s, p and d orbitals of different atoms to the density of states is discussed in detail

  19. Evaluation of semiconductor gas sensor system for ethanol determination during fermentation processes

    Energy Technology Data Exchange (ETDEWEB)

    Picque, D; Corrieu, G

    1988-10-01

    Using commercial gas sensitive semi-conductors, an ethanol sensor has been constructed which operates by direct immersion in fermentation media. The calibration range of 0.1 to 10 or 13 % depending on the component. However, they are very often subjected to considerable drift (in the same case up to 10 %/h of the measured value). The electrical resistance of component may vary by a factor of 1 to 5 for a well-defined ethanol concentration. The effects of temperature changes in fermentation media are easily compensated. Other volatile compounds (methanol, ammonia,...) substantially affect component responses. Thus, all work on sensors requires careful calibration. Wine fermentation processes can be monitored satisfactorily, providing the sensor is recalibrated about every six hours.

  20. Photoexcitation-induced processes in amorphous semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Jai [School of Engineering and Logistics, Charles Darwin University, Darwin, NT 0909 (Australia)]. E-mail: jai.singh@cdu.edu.au

    2005-07-30

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories.

  1. Photoexcitation-induced processes in amorphous semiconductors

    International Nuclear Information System (INIS)

    Singh, Jai

    2005-01-01

    Theories for the mechanism of photo-induced processes of photodarkening (PD), volume expansion (VE) in amorphous chalcogenides are presented. Rates of spontaneous emission of photons by radiative recombination of excitons in amorphous semiconductors are also calculated and applied to study the excitonic photoluminescence in a-Si:H. Results are compared with previous theories

  2. Mixing of III-V compound semiconductor superlattices

    International Nuclear Information System (INIS)

    Mei, Ping.

    1989-01-01

    In this work, the methods as well as mechanisms of III-V compound superlattice mixing are discussed, with particular attention on the AlGaAs based superlattice system. Comparative studies of ion-induced mixing showed two distinct effects resulting from ion implantation followed by a thermal anneal; i.e. collisional mixing and impurity induced mixing. It was found that Ga and As ion induced mixing are mainly due to the collisional effect, where the extent of the mixing can be estimated theoretically, with the parameters of ion mass, incident energy and the implant dose. The impurity effect was dominant for Si, Ge, Be, Zn and Te. Quantitative studies of impurity induced mixing have been conducted on samples doped with Si or Te during the growth process. It was discovered that Si induced AlGaAs superlattice mixing yielded an activation energy of approximately 4 eV for the Al diffusion coefficient with a high power law dependence of the prefactor on the Si concentration. In the Te doped AlGaAs superlattice the Al diffusion coefficient exhibited an activation energy of ∼3.0 eV, with a prefactor approximately proportional to the Te concentration. These results are of importance in examining the current diffusion models. Zn and Si induced InP/InGaAs superlattice mixing are examined. It was found that Zn predominantly induces cation interdiffusion, while Si induces comparable cation and anion interdiffusion. In addition, widely dispersed Zn rich islands form with Zn residing in the InP layers in the form of Zn 3 P 2 . With unstrained starting material, the layer bandgap disparity increases due to mixing induced strain, while in the Si diffused sample the mixed region would be expected to exhibit bandgaps intermediate between those of the original layers. Semiconductor superlattice mixing shows technological potential for optoelectronic device fabrication

  3. Secondary electron emission from metals and semi-conductor compounds

    International Nuclear Information System (INIS)

    Ono, Susumu; Kanaya, Koichi

    1979-01-01

    Attempt was made to present the sufficient solution of the secondary electron yield of metals and semiconductor compounds except insulators, applying the free electron scattering theory to the absorption of secondary electrons generated within a solid target. The paper is divided into the sections describing absorption coefficient and escape depth, quantitative characteristics of secondary yield, angular distribution of secondary electron emission, effect of incident angle to secondary yield, secondary electron yield transmitted, and lateral distribution of secondary electron emission, besides introduction and conclusion. The conclusions are as follows. Based on the exponential power law for screened atomic potential, secondary electron emission due to both primary and backscattered electrons penetrating into metallic elements and semi-conductive compounds is expressed in terms of the ionization loss in the first collision for escaping secondary electrons. The maximum yield and the corresponding primary energy can both consistently be derived as the functions of three parameters: atomic number, first ionization energy and backscattering coefficient. The yield-energy curve as a function of the incident energy and the backscattering coefficient is in good agreement with the experimental results. The energy dependence of the yield in thin films and the lateral distribution of secondary yield are derived as the functions of the backscattering coefficient and the primary energy. (Wakatsuki, Y.)

  4. A Review of Ultrahigh Efficiency III-V Semiconductor Compound Solar Cells: Multijunction Tandem, Lower Dimensional, Photonic Up/Down Conversion and Plasmonic Nanometallic Structures

    Directory of Open Access Journals (Sweden)

    Katsuaki Tanabe

    2009-07-01

    Full Text Available Solar cells are a promising renewable, carbon-free electric energy resource to address the fossil fuel shortage and global warming. Energy conversion efficiencies around 40% have been recently achieved in laboratories using III-V semiconductor compounds as photovoltaic materials. This article reviews the efforts and accomplishments made for higher efficiency III-V semiconductor compound solar cells, specifically with multijunction tandem, lower-dimensional, photonic up/down conversion, and plasmonic metallic structures. Technological strategies for further performance improvement from the most efficient (AlInGaP/(InGaAs/Ge triple-junction cells including the search for 1.0 eV bandgap semiconductors are discussed. Lower-dimensional systems such as quantum well and dot structures are being intensively studied to realize multiple exciton generation and multiple photon absorption to break the conventional efficiency limit. Implementation of plasmonic metallic nanostructures manipulating photonic energy flow directions to enhance sunlight absorption in thin photovoltaic semiconductor materials is also emerging.

  5. Semiconducting III-V compounds

    CERN Document Server

    Hilsum, C; Henisch, Heinz R

    1961-01-01

    Semiconducting III-V Compounds deals with the properties of III-V compounds as a family of semiconducting crystals and relates these compounds to the monatomic semiconductors silicon and germanium. Emphasis is placed on physical processes that are peculiar to III-V compounds, particularly those that combine boron, aluminum, gallium, and indium with phosphorus, arsenic, and antimony (for example, indium antimonide, indium arsenide, gallium antimonide, and gallium arsenide).Comprised of eight chapters, this book begins with an assessment of the crystal structure and binding of III-V compounds, f

  6. Characterization and processing of bipolar semiconductor electrodes in a dual electrolyte cell

    Energy Technology Data Exchange (ETDEWEB)

    Cattarin, S.; Musiani, M.M. [Istituto di Polarografia ed Elettrochimica Preparativa del C.N.R., Padova (Italy)

    1995-11-01

    Photoelectrochemical (PEC) processes may be induced at both faces of a bipolar semiconductor electrode without application of metal contacts by using the dual electrolyte arrangement -- metal/electrolyte 1/semiconductor/electrolyte 2/metal -- and by applying a voltage to the end metal electrodes. The possibilities of semiconductor characterization (determination of action spectra and doping level) and processing (photoetching and metal electrodeposition) are discussed on the basis of model experiments, performed with n-InP wafers. The advantages of this approach over traditional PEC and electroless techniques are discussed with particular emphasis on etching.

  7. Method of doping a semiconductor

    International Nuclear Information System (INIS)

    Yang, C.Y.; Rapp, R.A.

    1983-01-01

    A method is disclosed for doping semiconductor material. An interface is established between a solid electrolyte and a semiconductor to be doped. The electrolyte is chosen to be an ionic conductor of the selected impurity and the semiconductor material and electrolyte are jointly chosen so that any compound formed from the impurity and the semiconductor will have a free energy no lower than the electrolyte. A potential is then established across the interface so as to allow the impurity ions to diffuse into the semiconductor. In one embodiment the semiconductor and electrolyte may be heated so as to increase the diffusion coefficient

  8. Designing solution-processable air-stable liquid crystalline crosslinkable semiconductors

    DEFF Research Database (Denmark)

    McCulloch, I.; Bailey, C.; Genevicius, K.

    2006-01-01

    organic light emitting diode displays, low frequency radio frequency identification tag and other low performance electronics. Organic semiconductors that offer both electrical performance and stability with respect to storage and operation under ambient conditions are required. This work describes...... the development of reactive mesogen semiconductors, which form large crosslinked LC domains on polymerization within mesophases. These crosslinked domains offer mechanical stability and are inert to solvent exposure in further processing steps. Reactive mesogens containing conjugated aromatic cores, designed...

  9. A process for doping an amorphous semiconductor material by ion implantation

    International Nuclear Information System (INIS)

    Kalbitzer, S.; Muller, G.; Spear, W.E.; Le Comber, P.G.

    1979-01-01

    In a process for doping a body of amorphous semiconductor material, the body is held at a predetermined temperature above 20 deg. C which is below the recrystallization temperature of the amorphous semiconductor material during bombardment by accelerated ions of a predetermined doping material. (U.K.)

  10. Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.; Chisholm, Matthew F.

    2000-01-01

    A process for growing a crystalline oxide epitaxially upon the surface of a Group IV semiconductor, as well as a structure constructed by the process, is described. The semiconductor can be germanium or silicon, and the crystalline oxide can generally be represented by the formula (AO).sub.n (A'BO.sub.3).sub.m in which "n" and "m" are non-negative integer repeats of planes of the alkaline earth oxides or the alkaline earth-containing perovskite oxides. With atomic level control of interfacial thermodynamics in a multicomponent semiconductor/oxide system, a highly perfect interface between a semiconductor and a crystalline oxide can be obtained.

  11. Fundamentals of semiconductor processing technology

    CERN Document Server

    El-Kareh, Badih

    1995-01-01

    The drive toward new semiconductor technologies is intricately related to market demands for cheaper, smaller, faster, and more reliable circuits with lower power consumption. The development of new processing tools and technologies is aimed at optimizing one or more of these requirements. This goal can, however, only be achieved by a concerted effort between scientists, engineers, technicians, and operators in research, development, and manufac­ turing. It is therefore important that experts in specific disciplines, such as device and circuit design, understand the principle, capabil­ ities, and limitations of tools and processing technologies. It is also important that those working on specific unit processes, such as lithography or hot processes, be familiar with other unit processes used to manufacture the product. Several excellent books have been published on the subject of process technologies. These texts, however, cover subjects in too much detail, or do not cover topics important to modem tech­ n...

  12. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  13. Molding compound development with semiconductor PKGs; Handotai PKG doko to fushi jushi zairyo

    Energy Technology Data Exchange (ETDEWEB)

    Katayama, I. [NEC Corp., Tokyo (Japan)

    1998-11-05

    This paper describes the semiconductor packaging and molding compound materials. Major constituents of the molding resins are epoxy resin and inorganic silica, to which various additives are added. In order to make thin packages, biphenyl-based resins with low viscosity are often used in response to high fluidity. To fill the clearance less than 100 {mu}m, size adjusting techniques of the inorganic silica are also significant apart from resins. Since it is heated under the water absorption condition for the packaging in substrates, low water absorption, high adhesion, high strength and low stress are required to avoid peeling and cracking due to the vapor pressure of moisture. Generation of voids is also a problem. Improvement of productivity by reducing the processing period is also significant. In response to the strict environmental regulation, disuse of brominated epoxy and antimony oxide which are flame retardants in the molding resins is an urgent problem to be solved. For the epoxy resins, bisphenol A is to be regulated as a mutation substance. The cost reduction is required with keeping current quality kept. 1 fig.

  14. Induced Charge Fluctuations in Semiconductor Detectors with a Cylindrical Geometry

    Science.gov (United States)

    Samedov, Victor V.

    2018-01-01

    Now, compound semiconductors are very appealing for hard X-ray room-temperature detectors for medical and astrophysical applications. Despite the attractive properties of compound semiconductors, such as high atomic number, high density, wide band gap, low chemical reactivity and long-term stability, poor hole and electron mobility-lifetime products degrade the energy resolution of these detectors. The main objective of the present study is in development of a mathematical model of the process of the charge induction in a cylindrical geometry with accounting for the charge carrier trapping. The formulae for the moments of the distribution function of the induced charge and the formulae for the mean amplitude and the variance of the signal at the output of the semiconductor detector with a cylindrical geometry were derived. It was shown that the power series expansions of the detector amplitude and the variance in terms of the inverse bias voltage allow determining the Fano factor, electron mobility lifetime product, and the nonuniformity level of the trap density of the semiconductor material.

  15. Advances in semiconductor photodetectors for scintillators

    International Nuclear Information System (INIS)

    Farrell, R.; Olschner, F.; Shah, K.; Squillante, M.R.

    1997-01-01

    Semiconductors photodetectors have long seemed an attractive alternative for scintillation detection, but only recently have semiconductor photodiodes been proven suitable for some room temperature applications. There are many applications, however for which the performance of standard silicon p-i-n photodiodes is not satisfactory. This article reviews recent progress in two different families of novel semiconductor photodetectors: (1) wide bandgap compound semiconductors and (2) silicon photodetectors with enhanced signal-to-noise ratio. The compounds discussed and compared in this paper are HgI 2 , PbI 2 , InI, TlBr, TlBr 1-x I x and HgBr 1-x I x . The paper will also examine unity gain silicon drift diodes and avalanche photodiodes with maximum room temperature gain greater than 10000. (orig.)

  16. Processing of insulators and semiconductors

    Science.gov (United States)

    Quick, Nathaniel R.; Joshi, Pooran C.; Duty, Chad Edward; Jellison, Jr., Gerald Earle; Angelini, Joseph Attilio

    2015-06-16

    A method is disclosed for processing an insulator material or a semiconductor material. The method includes pulsing a plasma lamp onto the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a large area region of the material. The method may further include pulsing a laser onto a selected region of the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a selected region of the material.

  17. Catalyzed reactions at illuminated semiconductor interfaces

    International Nuclear Information System (INIS)

    Wrighton, M.S.

    1984-01-01

    Many desirable minority carrier chemical redox processes are too slow to compete with e - -h + recombination at illuminated semiconductor/liquid electrolyte junction interfaces. Reductions of H 2 O to H 2 or CO 2 to compounds having C--H bonds are too slow to compete with e - -h + recombination at illuminated p-type semiconductors, for example. Approaches to improve the rate of the desired processes involving surface modification techniques are described. Photoanodes are plagued by the additional problem of oxidative decomposition under illumination with > or =E/sub g/ illumination. The photo-oxidation of Cl - , Br - , and H 2 O is considered to illustrate the concepts involved. Proof of concept experiments establish that catalysis can be effective in dramatically improving direct solar fuel production; efficiencies of >10% have been demonstrated

  18. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  19. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  20. CCST [Center for Compound Semiconductor Technology] research briefs

    International Nuclear Information System (INIS)

    Zipperian, T.E.; Voelker, E.R.

    1989-12-01

    This paper discusses the following topics: theoretical predictions of valence and conduction band offsets in III-V semiconductors; reflectance modulation of a semiconductor superlattice optical mirror; magnetoquantum oscillations of the phonon-drag thermoelectric power in quantum wells; correlation between photoluminescence line shape and device performance of p-channel strained-layer materials; control of threading dislocations in heteroepitaxial structures; improved growth of CdTe on GaAs by patterning; role of structure threading dislocations in relaxation of highly strained single-quantum-well structures; InAlAs growth optimization using reflection mass spectrometry; nonvolatile charge storage in III-V heterostructures; optically triggered thyristor switches; InAsSb strained-layer superlattice infrared detectors with high detectivities; resonant periodic gain surface-emitting semiconductor lasers; performance advantages of strained-quantum-well lasers in AlGaAs/InGaAs; optical integrated circuit for phased-array radar antenna control; and deposition and novel device fabrication from Tl 2 Ca 2 Ba 2 Cu 3 O y thin films

  1. Reactivity of group IV (100) semiconductor surfaces towards organic compounds

    Science.gov (United States)

    Wang, George T.

    The reactions of simple and multifunctional organic compounds with the clean silicon, germanium, and diamond (100)-2 x 1 semiconductor surfaces have been investigated using a combination of multiple internal reflection infrared spectroscopy and quantum chemistry density functional theory calculations. From these studies, an improved understanding of the atomic level reactivity of these semiconductor surfaces has been obtained, along with insights into how to achieve their selective coupling with organics of desired and varied functionality. In addition to the Si(100) and Ge(100) surfaces, our results show that cycloaddition chemistry can also be extended to the diamond (100) surface. At room temperature, 1,3-butadiene was found to form a Diels-Alder product with the diamond (100) surface, as evidenced by isotopic substitution experiments and comparison of the surface adduct with its direct molecular analogue, cyclohexene. The reactions of other classes of molecules in addition to alkenes on the Si(100) and Ge(100) surfaces, including a series of five-membered cyclic amines, were also examined. For tertiary aliphatic amines on Si(100) and both secondary and tertiary aliphatic amines on Ge(100), a majority of the molecules were observed to become stably trapped in dative-bonded precursor states rather than form energetically favorable dissociation products. For pyrrole, aromaticity was found to play a defining role in its reactivity, and a comparison of its molecular and surface reactivity reveals interesting similarities. To probe the factors controlling the selectivity of organic reactions on clean semiconductor surfaces, the adsorption of acetone and a series of unsaturated ketones was also investigated. The reaction of acetone on Ge(100) was found to be under thermodynamic control at room temperature, resulting in the formation of an "ene" product rather than the kinetically favored [2+2] C=O cycloaddition product previously observed on the Si(100) surface. In

  2. A new standardless quantitative electron probe microanalysis technique applied to III-V compound semiconductors

    International Nuclear Information System (INIS)

    Zangalis, K.P.; Christou, A.

    1982-01-01

    The present paper introduces a new standardless quantitative scheme for off-line electron microprobe analysis applications. The analysis is based on standard equations of the type Isub(i)=Csub(i)fsub(ZAF)βsub(i) and is specifically suitable for compound semiconductors. The roots to the resultant nth-degree polynomial are the unknown concentrations. Methods for computing Csub(i) when coefficients βsub(i) are unknown are also outlined. Applications of standardless analysis to GaAs and InP specimens are compared with results obtained by Auger electron spectroscopy and quantitative electron probe analysis with standards. (Auth.)

  3. Rubber compounding and processing

    CSIR Research Space (South Africa)

    John, MJ

    2014-06-01

    Full Text Available This chapter presents an overview on the compounding and processing techniques of natural rubber compounds. The introductory portion deals with different types of rubbers and principles of rubber compounding. The primary and secondary fillers used...

  4. Initiation of explosive conversions in energy-saturated nanoporous silicon-based compounds with fast semiconductor switches and energy-releasing elements

    Science.gov (United States)

    Savenkov, G. G.; Kardo-Sysoev, A. F.; Zegrya, A. G.; Os'kin, I. A.; Bragin, V. A.; Zegrya, G. G.

    2017-10-01

    The first findings concerning the initiation of explosive conversions in energy-saturated nanoporous silicon-based compounds via the electrical explosion of a semiconductor bridge are presented. The obtained results indicate that the energy parameters of an explosive conversion depend on the mass of a combustible agent—namely, nanoporous silicon—and the silicon-doping type.

  5. Solution-Processed Donor-Acceptor Polymer Nanowire Network Semiconductors For High-Performance Field-Effect Transistors

    Science.gov (United States)

    Lei, Yanlian; Deng, Ping; Li, Jun; Lin, Ming; Zhu, Furong; Ng, Tsz-Wai; Lee, Chun-Sing; Ong, Beng S.

    2016-01-01

    Organic field-effect transistors (OFETs) represent a low-cost transistor technology for creating next-generation large-area, flexible and ultra-low-cost electronics. Conjugated electron donor-acceptor (D-A) polymers have surfaced as ideal channel semiconductor candidates for OFETs. However, high-molecular weight (MW) D-A polymer semiconductors, which offer high field-effect mobility, generally suffer from processing complications due to limited solubility. Conversely, the readily soluble, low-MW D-A polymers give low mobility. We report herein a facile solution process which transformed a lower-MW, low-mobility diketopyrrolopyrrole-dithienylthieno[3,2-b]thiophene (I) into a high crystalline order and high-mobility semiconductor for OFETs applications. The process involved solution fabrication of a channel semiconductor film from a lower-MW (I) and polystyrene blends. With the help of cooperative shifting motion of polystyrene chain segments, (I) readily self-assembled and crystallized out in the polystyrene matrix as an interpenetrating, nanowire semiconductor network, providing significantly enhanced mobility (over 8 cm2V−1s−1), on/off ratio (107), and other desirable field-effect properties that meet impactful OFET application requirements. PMID:27091315

  6. Transmutation doping of semiconductors by charged particles (review)

    International Nuclear Information System (INIS)

    Kozlovskii, V.V.; Zakharenkov, L.F.; Shustrov, B.A.

    1992-01-01

    A review is given of the state of the art in one of the current topics in radiation doping of semiconductors, which is process of nuclear transmutation doping (NTD) charged particles. In contrast to the neutron and photonuclear transmutation doping, which have been dealt with in monographs and reviews, NTD caused by the action of charged particles is a subject growing very rapidly in the last 10-15 years, but still lacking systematic accounts. The review consists of three sections. The first section deals with the characteristics of nuclear reactions in semiconductors caused by the action of charged particles: the main stress is on the modeling of NTD processes in semiconductors under the action of charged particles. An analysis is made of the modeling intended to give the total numbers of donors and acceptor impurities introduced by the NTD process, to optimize the compensation coefficients, and to estimate the distributions of the dopants with depth in a semiconductor crystal. In the second section the state of the art of experimental investigations of NTD under the influence of charged particles is considered. In view of the specific objects that have been investigated experimntally, the second section is divided into three subsections: silicon, III-V compounds, other semiconductors and related materials (such as high-temperature superconductors, ferroelectric films, etc.). An analysis is made of the communications reporting experimental data on the total numbers of dopants which are introduced, concentration of the electrically active fraction of the impurity, profiles of the dopant distributions, and conditions for efficient annealing of radiation defects. The third section deals with the suitability of NTD by charged particles for the fabrication of semiconductor devices. 45 refs

  7. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    International Nuclear Information System (INIS)

    Oliveira, Icimone B.

    2011-01-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  8. Recent advances in Tl Br, Cd Te and CdZnTe semiconductor radiation detectors: a review

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, Icimone B. [Universidade Bandeirante (UNIBAN), Sao Paulo, SP (Brazil)

    2011-07-01

    The success in the development of radiation spectrometers operating at room temperature is based on many years of effort on the part of large numbers of workers around the world. These individuals have contributed to the understanding of the fundamental materials issues associated with the growth of semiconductors for this application, the development of device fabrication and processing technology, and advances in low noise electronics and pulse processing. Progress in this field continues at an accelerated pace, as in evidenced by the improvements in detector performance and by the growing number of commercial products. Thus, the last years have been seen continued effort in the development of room temperature compound semiconductors devices. High-Z compound semiconductor detectors has been explored for high energy resolution, high detection efficiency and are of low cost. Compound semiconductors detectors are well suited for addressing needs of demanding applications such as bore hole logging where high operating temperature are encountered. In this work recent developments in semiconductors detectors were reviewed. This review concentrated on thallium bromide (TlBr), cadmium zinc telluride (CdZnTe) and cadmium telluride (CdTe) crystals detectors. TlBr has higher stopping power compared to common semiconductor materials because it has the higher photoelectric and total attenuation coefficients over wide energy range from 100 keV to 1 MeV. CdTe and CdZnTe detectors have several attractive features for detecting X-ray and low energy gamma ray. Their relatively large band gaps lead to a relatively low leakage current and offer an excellent energy resolution at room temperature. A literature survey and bibliography was also included. (author)

  9. Semiconductors: Still a Wide Open Frontier for Scientists/Engineers

    Science.gov (United States)

    Seiler, David G.

    1997-10-01

    A 1995 Business Week article described several features of the explosive use of semiconductor chips today: ``Booming'' personal computer markets are driving high demand for microprocessors and memory chips; (2) New information superhighway markets will `ignite' sales of multimedia and communication chips; and (3) Demand for digital-signal-processing and data-compression chips, which speed up video and graphics, is `red hot.' A Washington Post article by Stan Hinden said that technology is creating an unstoppable demand for electronic elements. This ``digital pervasiveness'' means that a semiconductor chip is going into almost every high-tech product that people buy - cars, televisions, video recorders, telephones, radios, alarm clocks, coffee pots, etc. ``Semiconductors are everywhere.'' Silicon and compound semiconductors are absolutely essential and are pervasive enablers for DoD operations and systems. DoD's Critical Technologies Plan of 1991 says that ``Semiconductor materials and microelectronics are critically important and appropriately lead the list of critical defense technologies.'' These trends continue unabated. This talk describes some of the frontiers of semiconductors today and shows how scientists and engineers can effectively contribute to its advancement. Cooperative, multidisciplinary efforts are increasing. Specific examples will be given for scanning capacitance microscopy and thin-film metrology.

  10. Semiconductor Manufacturing equipment introduction

    International Nuclear Information System (INIS)

    Im, Jong Sun

    2001-02-01

    This book deals with semiconductor manufacturing equipment. It is comprised of nine chapters, which are manufacturing process of semiconductor device, history of semiconductor manufacturing equipment, kinds and role of semiconductor manufacturing equipment, construction and method of semiconductor manufacturing equipment, introduction of various semiconductor manufacturing equipment, spots of semiconductor manufacturing, technical elements of semiconductor manufacturing equipment, road map of technology of semiconductor manufacturing equipment and semiconductor manufacturing equipment in the 21st century.

  11. Ambipolar Small-Molecule:Polymer Blend Semiconductors for Solution-Processable Organic Field-Effect Transistors.

    Science.gov (United States)

    Kang, Minji; Hwang, Hansu; Park, Won-Tae; Khim, Dongyoon; Yeo, Jun-Seok; Kim, Yunseul; Kim, Yeon-Ju; Noh, Yong-Young; Kim, Dong-Yu

    2017-01-25

    We report on the fabrication of an organic thin-film semiconductor formed using a blend solution of soluble ambipolar small molecules and an insulating polymer binder that exhibits vertical phase separation and uniform film formation. The semiconductor thin films are produced in a single step from a mixture containing a small molecular semiconductor, namely, quinoidal biselenophene (QBS), and a binder polymer, namely, poly(2-vinylnaphthalene) (PVN). Organic field-effect transistors (OFETs) based on QBS/PVN blend semiconductor are then assembled using top-gate/bottom-contact device configuration, which achieve almost four times higher mobility than the neat QBS semiconductor. Depth profile via secondary ion mass spectrometry and atomic force microscopy images indicate that the QBS domains in the films made from the blend are evenly distributed with a smooth morphology at the bottom of the PVN layer. Bias stress test and variable-temperature measurements on QBS-based OFETs reveal that the QBS/PVN blend semiconductor remarkably reduces the number of trap sites at the gate dielectric/semiconductor interface and the activation energy in the transistor channel. This work provides a one-step solution processing technique, which makes use of soluble ambipolar small molecules to form a thin-film semiconductor for application in high-performance OFETs.

  12. Process waste assessment: Petroleum jelly removal from semiconductor die using trichloroethylene

    International Nuclear Information System (INIS)

    Curtin, D.P.

    1993-05-01

    The process analyzed involves non-production, laboratory environment use of trichloroethylene for the cleaning of semiconductor devices. The option selection centered on the replacement of the trichloroethylene with a non-hazardous material. This process waste assessment was performed as part of a pilot project

  13. Fabrication and application of amorphous semiconductor devices

    International Nuclear Information System (INIS)

    Kumurdjian, Pierre.

    1976-01-01

    This invention concerns the design and manufacture of elecric switching or memorisation components with amorphous semiconductors. As is known some compounds, particularly the chalcogenides, have a resistivity of the semiconductor type in the amorphous solid state. These materials are obtained by the high temperature homogeneisation of several single elements such as tellurium, arsenic, germanium and sulphur, followed by water or air quenching. In particular these compounds have useful switching and memorisation properties. In particular they have the characteristic of not suffering deterioration when placed in an environment subjected to nuclear radiations. In order to know more about the nature and properties of these amorphous semiconductors the French patent No. 71 28048 of 30 June 1971 may be consulted with advantage [fr

  14. Photocatalytic oxidation of organic compounds in a hybrid system composed of a molecular catalyst and visible light-absorbing semiconductor.

    Science.gov (United States)

    Zhou, Xu; Li, Fei; Li, Xiaona; Li, Hua; Wang, Yong; Sun, Licheng

    2015-01-14

    Photocatalytic oxidation of organic compounds proceeded efficiently in a hybrid system with ruthenium aqua complexes as catalysts, BiVO4 as a light absorber, [Co(NH3)5Cl](2+) as a sacrificial electron acceptor and water as an oxygen source. The photogenerated holes in the semiconductor are used to oxidize molecular catalysts into the high-valent Ru(IV)=O intermediates for 2e(-) oxidation.

  15. Hybrid anode for semiconductor radiation detectors

    Science.gov (United States)

    Yang, Ge; Bolotnikov, Aleksey E; Camarda, Guiseppe; Cui, Yonggang; Hossain, Anwar; Kim, Ki Hyun; James, Ralph B

    2013-11-19

    The present invention relates to a novel hybrid anode configuration for a radiation detector that effectively reduces the edge effect of surface defects on the internal electric field in compound semiconductor detectors by focusing the internal electric field of the detector and redirecting drifting carriers away from the side surfaces of the semiconductor toward the collection electrode(s).

  16. Fundamental atomic plasma chemistry for semiconductor manufacturing process analysis

    International Nuclear Information System (INIS)

    Ventzek, P.L.G.; Zhang, D.; Stout, P.J.; Rauf, S.; Orlowski, M.; Kudrya, V.; Astapenko, V.; Eletskii, A.

    2002-01-01

    An absence of fundamental atomic plasma chemistry data (e.g. electron impact cross-sections) hinders the application of plasma process models in semiconductor manufacturing. Of particular importance is excited state plasma chemistry data for metallization applications. This paper describes important plasma chemistry processes in the context of high density plasmas for metallization application and methods for the calculation of data for the study of these processes. Also discussed is the development of model data sets that address computational tractability issues. Examples of model electron impact cross-sections for Ni reduced from multiple collision processes are presented

  17. Engineering charge transport by heterostructuring solution-processed semiconductors

    Science.gov (United States)

    Voznyy, Oleksandr; Sutherland, Brandon R.; Ip, Alexander H.; Zhitomirsky, David; Sargent, Edward H.

    2017-06-01

    Solution-processed semiconductor devices are increasingly exploiting heterostructuring — an approach in which two or more materials with different energy landscapes are integrated into a composite system. Heterostructured materials offer an additional degree of freedom to control charge transport and recombination for more efficient optoelectronic devices. By exploiting energetic asymmetry, rationally engineered heterostructured materials can overcome weaknesses, augment strengths and introduce emergent physical phenomena that are otherwise inaccessible to single-material systems. These systems see benefit and application in two distinct branches of charge-carrier manipulation. First, they influence the balance between excitons and free charges to enhance electron extraction in solar cells and photodetectors. Second, they promote radiative recombination by spatially confining electrons and holes, which increases the quantum efficiency of light-emitting diodes. In this Review, we discuss advances in the design and composition of heterostructured materials, consider their implementation in semiconductor devices and examine unexplored paths for future advancement in the field.

  18. Fabrication and Characterization of Copper System Compound Semiconductor Solar Cells

    Directory of Open Access Journals (Sweden)

    Ryosuke Motoyoshi

    2010-01-01

    Full Text Available Copper system compound semiconductor solar cells were produced by a spin-coating method, and their cell performance and structures were investigated. Copper indium disulfide- (CIS- based solar cells with titanium dioxide (TiO2 were produced on F-doped SnO2 (FTO. A device based on an FTO/CIS/TiO2 structure provided better cell performance compared to that based on FTO/TiO2/CIS structure. Cupric oxide- (CuO- and cuprous oxide- (Cu2O- based solar cells with fullerene (C60 were also fabricated on FTO and indium tin oxide (ITO. The microstructure and cell performance of the CuO/C60 heterojunction and the Cu2O:C60 bulk heterojunction structure were investigated. The photovoltaic devices based on FTO/CuO/C60 and ITO/Cu2O:C60 structures provided short-circuit current density of 0.015 mAcm−2 and 0.11 mAcm−2, and open-circuit voltage of 0.045 V and 0.17 V under an Air Mass 1.5 illumination, respectively. The microstructures of the active layers were examined by X-ray diffraction and transmission electron microscopy.

  19. Method to induce a conductivity type in a semiconductor

    International Nuclear Information System (INIS)

    Aboaf, J.A.; Sedgwick, T.O.

    1977-01-01

    The invention deals with a method in which one can produce a region of a desired type of conductivity in a semiconductor as is required for, e.g., field effect transistors. A metal oxide layer combination consisting of several metal oxides is thus deposited on the semiconductor. This is carried out according to the invention in a non-oxidizing atmosphere at temperatures at which the metal oxides do not diffuse into the semiconductor. The sign and degree of the induced conductivity type is adjusted by dosed depositing of the individual metal oxides related to one another. The gaseous metal oxides due to heating, mixed with a non-oxidizing gas are added in compounds to the semiconductor heated to depositing temperature. These compounds decompose at the depositing temperature into the metal oxide and a gaseous residual component. The semiconductor consists of silicon, and nitrogen is used as carrier gas; when depositing aluminium oxide, gaseous aluminium isopropoxide is added; when depositing silicon dioxide, gaseous tetra-ethyl orthosilicate. (ORU) [de

  20. Tuning and synthesis of semiconductor nanostructures by mechanical compression

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Hongyou; Li, Binsong

    2015-11-17

    A mechanical compression method can be used to tune semiconductor nanoparticle lattice structure and synthesize new semiconductor nanostructures including nanorods, nanowires, nanosheets, and other three-dimensional interconnected structures. II-VI or IV-VI compound semiconductor nanoparticle assemblies can be used as starting materials, including CdSe, CdTe, ZnSe, ZnS, PbSe, and PbS.

  1. Theoretical prediction and experimental confirmation of unusual ternary ordered semiconductor compounds in Sr-Pb-S system.

    Science.gov (United States)

    Hao, Shiqiang; Zhao, Li-Dong; Chen, Chang-Qiang; Dravid, Vinayak P; Kanatzidis, Mercouri G; Wolverton, Christopher M

    2014-01-29

    We examine the thermodynamics of phase separation and ordering in the ternary Ca(x)Pb(1-x)S and Sr(x)Pb(1-x)S systems by density-functional theory combined with a cluster expansion and Monte Carlo simulations. Similar to most other ternary III-V or IV-VI semiconductor alloys, we find that bulk phase separation is thermodynamically preferred for PbS-CaS. However, we predict the surprising existence of stable, ordered ternary compounds in the PbS-SrS system. These phases are previously unreported ordered rocksalt-based compounds: SrPb3S4, SrPbS2, and Sr3PbS4. The stability of these predicted ordered phases is confirmed by transmission electron microscopy observations and band gap measurements. We believe this work paves the way for a combined theory-experiment approach to decipher complex phase relations in multicomponent chalcogenide systems.

  2. New Icosahedral Boron Carbide Semiconductors

    Science.gov (United States)

    Echeverria Mora, Elena Maria

    Novel semiconductor boron carbide films and boron carbide films doped with aromatic compounds have been investigated and characterized. Most of these semiconductors were formed by plasma enhanced chemical vapor deposition. The aromatic compound additives used, in this thesis, were pyridine (Py), aniline, and diaminobenzene (DAB). As one of the key parameters for semiconducting device functionality is the metal contact and, therefore, the chemical interactions or band bending that may occur at the metal/semiconductor interface, X-ray photoemission spectroscopy has been used to investigate the interaction of gold (Au) with these novel boron carbide-based semiconductors. Both n- and p-type films have been tested and pure boron carbide devices are compared to those containing aromatic compounds. The results show that boron carbide seems to behave differently from other semiconductors, opening a way for new analysis and approaches in device's functionality. By studying the electrical and optical properties of these films, it has been found that samples containing the aromatic compound exhibit an improvement in the electron-hole separation and charge extraction, as well as a decrease in the band gap. The hole carrier lifetimes for each sample were extracted from the capacitance-voltage, C(V), and current-voltage, I(V), curves. Additionally, devices, with boron carbide with the addition of pyridine, exhibited better collection of neutron capture generated pulses at ZERO applied bias, compared to the pure boron carbide samples. This is consistent with the longer carrier lifetimes estimated for these films. The I-V curves, as a function of external magnetic field, of the pure boron carbide films and films containing DAB demonstrate that significant room temperature negative magneto-resistance (> 100% for pure samples, and > 50% for samples containing DAB) is possible in the resulting dielectric thin films. Inclusion of DAB is not essential for significant negative magneto

  3. Ergonomic risk factors of work processes in the semiconductor industry in Peninsular Malaysia.

    Science.gov (United States)

    Chee, Heng-Leng; Rampal, Krishna Gopal; Chandrasakaran, Abherhame

    2004-07-01

    A cross-sectional survey of semiconductor factories was conducted to identify the ergonomic risk factors in the work processes, the prevalence of body pain among workers, and the relationship between body pain and work processes. A total of 906 women semiconductor workers took part in the study. In wafer preparation and polishing, a combination of lifting weights and prolonged standing might have led to high pain prevalences in the low back (35.0% wafer preparation, 41.7% wafer polishing) and lower limbs (90.0% wafer preparation, 66.7% wafer polishing). Semiconductor front of line workers, who mostly walked around to operate machines in clean rooms, had the lowest prevalences of body pain. Semiconductor assembly middle of line workers, especially the molding workers, who did frequent lifting, had high pain prevalences in the neck/shoulders (54.8%) and upper back (43.5 %). In the semiconductor assembly end of line work section, chip inspection workers who were exposed to prolonged sitting without back support had high prevalences of neck/shoulder (62.2%) and upper back pain (50.0%), while chip testing workers who had to climb steps to load units had a high prevalence of lower limb pain (68.0%). Workers in the assembly of electronic components, carrying out repetitive tasks with hands and fingers, and standing in awkward postures had high pain prevalences in the neck/shoulders (61.5%), arms (38.5%), and hands/wrists (30.8%).

  4. Growth of anodic films on compound semiconductor electrodes: InP in aqueous (NH sub 4) sub 2 S

    CERN Document Server

    Buckley, D N

    2002-01-01

    Film formation on compound semiconductors under anodic conditions is discussed. The surface properties of InP electrodes were examined following anodization in a (NH sub 4) sub 2 S electrolyte. The observation of a current peak in the cyclic voltammetric curve was attributed to selective etching of the substrate and a film formation process. AFM images of samples anodized in the sulfide solution revealed surface pitting. Thicker films formed at higher potentials exhibited extensive cracking as observed by optical and electron microscopy, and this was explicitly demonstrated to occur ex situ rather than during the electrochemical treatment. The composition of the thick film was identified as In sub 2 S sub 3 by EDX and XPS. The measured film thickness varies linearly with the charge passed, and comparison between experimental thickness measurements and theoretical estimates for the thickness indicate a porosity of over 70 %. Cracking is attributed to shrinkage during drying of the highly porous film and does n...

  5. Microeconomics of process control in semiconductor manufacturing

    Science.gov (United States)

    Monahan, Kevin M.

    2003-06-01

    Process window control enables accelerated design-rule shrinks for both logic and memory manufacturers, but simple microeconomic models that directly link the effects of process window control to maximum profitability are rare. In this work, we derive these links using a simplified model for the maximum rate of profit generated by the semiconductor manufacturing process. We show that the ability of process window control to achieve these economic objectives may be limited by variability in the larger manufacturing context, including measurement delays and process variation at the lot, wafer, x-wafer, x-field, and x-chip levels. We conclude that x-wafer and x-field CD control strategies will be critical enablers of density, performance and optimum profitability at the 90 and 65nm technology nodes. These analyses correlate well with actual factory data and often identify millions of dollars in potential incremental revenue and cost savings. As an example, we show that a scatterometry-based CD Process Window Monitor is an economically justified, enabling technology for the 65nm node.

  6. Weak antilocalization induced by Rashba spin-orbit interaction in layered III-VI compound semiconductor GaSe thin films

    Science.gov (United States)

    Takasuna, Shoichi; Shiogai, Junichi; Matsuzaka, Shunichiro; Kohda, Makoto; Oyama, Yutaka; Nitta, Junsaku

    2017-10-01

    Magnetoconductance (MC) at low temperature was measured to investigate spin-related transport affected by spin-orbit interaction (SOI) in III-VI compound n -type GaSe thin films. Results reveal that MC shows weak antilocalization (WAL). Its temperature and gate voltage dependences reveal that the dominant spin relaxation is governed by the D'yakonov-Perel' mechanism associated with the Rashba SOI. The estimated Rashba SOI strength in GaSe is much stronger than that of III-V compound GaAs quantum wells, although the energy gap and spin split-off band in GaSe closely resemble those in GaAs. The angle dependence of WAL amplitude in the in-plane magnetic field direction is almost isotropic. This isotropy indicates that the strength of the Dresselhaus SOI is negligible compared with the Rashba SOI strength. The SOI effect in n -GaSe thin films differs greatly from those of III-V compound semiconductors and transition-metal dichalcogenides.

  7. Compact semiconductor lasers

    CERN Document Server

    Yu, Siyuan; Lourtioz, Jean-Michel

    2014-01-01

    This book brings together in a single volume a unique contribution by the top experts around the world in the field of compact semiconductor lasers to provide a comprehensive description and analysis of the current status as well as future directions in the field of micro- and nano-scale semiconductor lasers. It is organized according to the various forms of micro- or nano-laser cavity configurations with each chapter discussing key technical issues, including semiconductor carrier recombination processes and optical gain dynamics, photonic confinement behavior and output coupling mechanisms, carrier transport considerations relevant to the injection process, and emission mode control. Required reading for those working in and researching the area of semiconductors lasers and micro-electronics.

  8. Submillimeter Spectroscopic Study of Semiconductor Processing Plasmas

    Science.gov (United States)

    Helal, Yaser H.

    Plasmas used for manufacturing processes of semiconductor devices are complex and challenging to characterize. The development and improvement of plasma processes and models rely on feedback from experimental measurements. Current diagnostic methods are not capable of measuring absolute densities of plasma species with high resolution without altering the plasma, or without input from other measurements. At pressures below 100 mTorr, spectroscopic measurements of rotational transitions in the submillimeter/terahertz (SMM) spectral region are narrow enough in relation to the sparsity of spectral lines that absolute specificity of measurement is possible. The frequency resolution of SMM sources is such that spectral absorption features can be fully resolved. Processing plasmas are a similar pressure and temperature to the environment used to study astrophysical species in the SMM spectral region. Many of the molecular neutrals, radicals, and ions present in processing plasmas have been studied in the laboratory and their absorption spectra have been cataloged or are in the literature for the purpose of astrophysical study. Recent developments in SMM devices have made its technology commercially available for applications outside of specialized laboratories. The methods developed over several decades in the SMM spectral region for these laboratory studies are directly applicable for diagnostic measurements in the semiconductor manufacturing industry. In this work, a continuous wave, intensity calibrated SMM absorption spectrometer was developed as a remote sensor of gas and plasma species. A major advantage of intensity calibrated rotational absorption spectroscopy is its ability to determine absolute concentrations and temperatures of plasma species from first principles without altering the plasma environment. An important part of this work was the design of the optical components which couple 500 - 750 GHz radiation through a commercial inductively coupled plasma

  9. Molecular coatings of nitride semiconductors for optoelectronics, electronics, and solar energy harvesting

    KAUST Repository

    Ng, Tien Khee; Zhao, Chao; Priante, Davide; Ooi, Boon S.; Hussein, Mohamed Ebaid Abdrabou

    2018-01-01

    Gallium nitride based semiconductors are provided having one or more passivated surfaces. The surfaces can have a plurality of thiol compounds attached thereto for enhancement of optoelectronic properties and/or solar water splitting properties. The surfaces can also include wherein the surface has been treated with chemical solution for native oxide removal and / or wherein the surface has attached thereto a plurality of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof to create a treated surface for enhancement of optoelectronic properties and / or solar water splitting properties. Methods of making the gallium nitride based semiconductors are also provided. Methods can include cleaning a native surface of a gallium nitride semiconductor to produce a cleaned surface, etching the cleaned surface to remove oxide layers on the surface, and applying single or multiple coatings of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof attached to the surface.

  10. Molecular coatings of nitride semiconductors for optoelectronics, electronics, and solar energy harvesting

    KAUST Repository

    Ng, Tien Khee

    2018-02-01

    Gallium nitride based semiconductors are provided having one or more passivated surfaces. The surfaces can have a plurality of thiol compounds attached thereto for enhancement of optoelectronic properties and/or solar water splitting properties. The surfaces can also include wherein the surface has been treated with chemical solution for native oxide removal and / or wherein the surface has attached thereto a plurality of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof to create a treated surface for enhancement of optoelectronic properties and / or solar water splitting properties. Methods of making the gallium nitride based semiconductors are also provided. Methods can include cleaning a native surface of a gallium nitride semiconductor to produce a cleaned surface, etching the cleaned surface to remove oxide layers on the surface, and applying single or multiple coatings of nitrides, oxides, insulating compounds, thiol compounds, or a combination thereof attached to the surface.

  11. High-mobility pyrene-based semiconductor for organic thin-film transistors.

    Science.gov (United States)

    Cho, Hyunduck; Lee, Sunyoung; Cho, Nam Sung; Jabbour, Ghassan E; Kwak, Jeonghun; Hwang, Do-Hoon; Lee, Changhee

    2013-05-01

    Numerous conjugated oligoacenes and polythiophenes are being heavily studied in the search for high-mobility organic semiconductors. Although many researchers have designed fused aromatic compounds as organic semiconductors for organic thin-film transistors (OTFTs), pyrene-based organic semiconductors with high mobilities and on-off current ratios have not yet been reported. Here, we introduce a new pyrene-based p-type organic semiconductor showing liquid crystal behavior. The thin film characteristics of this material are investigated by varying the substrate temperature during the deposition and the gate dielectric condition using the surface modification with a self-assembled monolayer, and systematically studied in correlation with the performances of transistor devices with this compound. OTFT fabricated under the optimum deposition conditions of this compound, namely, 1,6-bis(5'-octyl-2,2'-bithiophen-5-yl)pyrene (BOBTP) shows a high-performance transistor behavior with a field-effect mobility of 2.1 cm(2) V(-1) s(-1) and an on-off current ratio of 7.6 × 10(6) and enhanced long-term stability compared to the pentacene thin-film transistor.

  12. Exposure Characteristics of Nanoparticles as Process By-products for the Semiconductor Manufacturing Industry.

    Science.gov (United States)

    Choi, Kwang-Min; Kim, Jin-Ho; Park, Ju-Hyun; Kim, Kwan-Sick; Bae, Gwi-Nam

    2015-01-01

    This study aims to elucidate the exposure properties of nanoparticles (NPs; semiconductor manufacturing processes. The measurements of airborne NPs were mainly performed around process equipment during fabrication processes and during maintenance. The number concentrations of NPs were measured using a water-based condensation particle counter having a size range of 10-3,000 nm. The chemical composition, size, and shape of NPs were determined by scanning electron microscopy and transmission electron microscopy techniques equipped with energy dispersive spectroscopy. The resulting concentrations of NPs ranged from 0.00-11.47 particles/cm(3). The concentration of NPs measured during maintenance showed a tendency to increase, albeit incrementally, compared to that measured during normal conditions (under typical process conditions without maintenance). However, the increment was small. When comparing the mean number concentration and standard deviation (n ± σ) of NPs, the chemical mechanical polishing (CMP) process was the highest (3.45 ± 3.65 particles/cm(3)), and the dry etch (ETCH) process was the lowest (0.11 ± 0.22 particles/cm(3)). The major NPs observed were silica (SiO2) and titania (TiO2) particles, which were mainly spherical agglomerates ranging in size from 25-280 nm. Sampling of semiconductor processes in CMP, chemical vapor deposition, and ETCH reveled NPs were particle size exceeded 100 nm in diffusion, metallization, ion implantation, and wet cleaning/etching process. The results show that the SiO2 and TiO2 are the major NPs present in semiconductor cleanroom environments.

  13. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  14. Fundamentals of semiconductor devices

    CERN Document Server

    Lindmayer, Joseph

    1965-01-01

    Semiconductor properties ; semiconductor junctions or diodes ; transistor fundamentals ; inhomogeneous impurity distributions, drift or graded-base transistors ; high-frequency properties of transistors ; band structure of semiconductors ; high current densities and mechanisms of carrier transport ; transistor transient response and recombination processes ; surfaces, field-effect transistors, and composite junctions ; additional semiconductor characteristics ; additional semiconductor devices and microcircuits ; more metal, insulator, and semiconductor combinations for devices ; four-pole parameters and configuration rotation ; four-poles of combined networks and devices ; equivalent circuits ; the error function and its properties ; Fermi-Dirac statistics ; useful physical constants.

  15. Proceedings of wide band gap semiconductors

    International Nuclear Information System (INIS)

    Moustakas, T.D.; Pankove, J.I.; Hamakawa, Y.

    1992-01-01

    This book contains the proceedings of wide band gap semiconductors. Wide band gap semiconductors are under intense study because of their potential applications in photonic devices in the visible and ultraviolet part of the electromagnetic spectrum, and devices for high temperature, high frequency and high power electronics. Additionally, due to their unique mechanical, thermal, optical, chemical, and electronic properties many wide band gap semiconductors are anticipated to find applications in thermoelectric, electrooptic, piezoelectric and acoustooptic devices as well as protective coatings, hard coatings and heat sinks. Material systems covered in this symposium include diamond, II-VI compounds, III-V nitrides, silicon carbide, boron compounds, amorphous and microcrystalline semiconductors, chalcopyrites, oxides and halides. The various papers addressed recent experimental and theoretical developments. They covered issues related to crystal growth (bulk and thin films), structure and microstructure, defects, doping, optoelectronic properties and device applications. A theoretical session was dedicated to identifying common themes in the heteroepitaxy and the role of defects in doping, compensation and phase stability of this unique class of materials. Important experimental milestones included the demonstrations of bright blue injection luminescence at room temperatures from junctions based on III-V nitrides and a similar result from multiple quantum wells in a ZnSe double heterojunction at liquid nitrogen temperatures

  16. Detection of the scintillation light emitted from direct-bandgap compound semiconductors by a Si avalanche photodiode at 150 mK

    International Nuclear Information System (INIS)

    Yasumune, Takashi; Takayama, Nobuyasu; Maehata, Keisuke; Ishibashi, Kenji; Umeno, Takahiro

    2008-01-01

    In this work, the direct-bandgap compound semiconductor materials are irradiated by α particles emitted from 241 Am for the detection of scintillation light at the temperature of 150 mK. For the irradiation experiment, two disk shaped samples were fabricated from an epoxy resin mixed with the powder of PbI 2 and CuI, respectively. Each disk-samples was cooled down to 150 mK by a compact liquid helium-free dilution refrigerator. A Si avalanche photodiode (APD) was employed for detecting the scintillation light emitted from the disk-sample inside the refrigerator. The detection signal current of Si APD was converted into the voltage pulses by a charge sensitive preamplifier. The voltage pulses of the scintillation light emitted from the direct-bandgap semiconductors were observed at the temperature of 150 mK. (author)

  17. Radiation processing of polymers and semiconductors at the Institute of Nuclear Chemistry and Technology

    International Nuclear Information System (INIS)

    Zimek, Z.; Przybytniak, G.; Kaluska, I.

    2006-01-01

    R(and)D studies in the field of radiation technology in Poland are mostly concentrated at the Institute of Nuclear Chemistry and Technology (INCT). The results of the INCT works on polymer and semiconductor modification have been implemented in various branches of national economy, particularly in industry and medicine. Radiation technology for polymer modification was implemented in the middle of the 1970-ties. Among others, the processes of irradiation and heat shrinkable products expansion have been developed. The transfer of this technology to Polish industry was performed in the middle of the 1980-ties. The present study aims at the formulation of new PE composites better suited to new generation of heat shrinkable products, for example, a new generation of hot-melt adhesives has been developed to meet specific requirements of customers. Modified polypropylene was used for the production of medical devices sterilized by radiation, especially disposable syringes, to overcome the low radiation resistance of the basic material. Modified polypropylene (PP-M) has been formulated at the INCT to provide material suitable for medical application and radiation sterilization process. Modification of semiconductor devices by EB was applied on an industrial scale since 1978 when the INCT and the LAMINA semiconductor factory successfully adopted that technology to improve specific semiconductor devices. This activity is continued on commercial basis where the INCT facilities served to contract irradiation of certain semiconductor devices according to the manufacturing program of the Polish factory and customers from abroad. (author)

  18. Semiconductor processing with excimer lasers

    International Nuclear Information System (INIS)

    Young, R.T.; Narayan, J.; Christie, W.H.; van der Leeden, G.A.; Rothe, D.E.; Cheng, L.J.

    1983-01-01

    The advantages of pulsed excimer lasers for semiconductor processing are reviewed. Extensive comparisons of the quality of annealing of ion-implanted Si obtained with XeCl and ruby lasers have been made. The results indicate that irrespective of the large differences in the optical properties of Si at uv and visible wavelengths, the efficiency of usage of the incident energy for annealing is comparable for the two lasers. However, because of the excellent optical beam quality, the XeCl laser can provide superior control of the surface melting and the resulting junction depth. Furthermore, the concentrations of electrically active point defects in the XeCl laser annealed region are 2 to 3 orders of magnitude lower than that obtained from ruby or Nd:YAG lasers. All these results seem to suggest that XeCl lasers should be suitable for fabricating not only solar cells but also the more advanced device structures required for VLSI or VHSIC applications

  19. Semiconductor lasers and herterojunction leds

    CERN Document Server

    Kressel, Henry

    2012-01-01

    Semiconductor Lasers and Heterojunction LEDs presents an introduction to the subject of semiconductor lasers and heterojunction LEDs. The book reviews relevant basic solid-state and electromagnetic principles; the relevant concepts in solid state physics; and the p-n junctions and heterojunctions. The text also describes stimulated emission and gain; the relevant concepts in electromagnetic field theory; and the modes in laser structures. The relation between electrical and optical properties of laser diodes; epitaxial technology; binary III-V compounds; and diode fabrication are also consider

  20. Simulation of the selective oxidation process of semiconductors

    International Nuclear Information System (INIS)

    Chahoud, M.

    2012-01-01

    A new approach to simulate the selective oxidation of semiconductors is presented. This approach is based on the so-called b lack box simulation method . This method is usually used to simulate complex processes. The chemical and physical details within the process are not considered. Only the input and output data of the process are relevant for the simulation. A virtual function linking the input and output data has to be found. In the case of selective oxidation the input data are the mask geometry and the oxidation duration whereas the output data are the oxidation thickness distribution. The virtual function is determined as four virtual diffusion processes between the masked und non-masked areas. Each process delivers one part of the oxidation profile. The method is applied successfully on the oxidation system silicon-silicon nitride (Si-Si 3 N 4 ). The fitting parameters are determined through comparison of experimental and simulation results two-dimensionally.(author)

  1. A Furan-Thiophene-Based Quinoidal Compound: A New Class of Solution-Processable High-Performance n-Type Organic Semiconductor.

    Science.gov (United States)

    Xiong, Yu; Tao, Jingwei; Wang, Ruihao; Qiao, Xiaolan; Yang, Xiaodi; Wang, Deliang; Wu, Hongzhuo; Li, Hongxiang

    2016-07-01

    The furan-thiophene-based quinoidal organic semiconductor, TFT-CN, is designed and synthesized. TFT-CN displays a high electron mobility of 7.7 cm(2) V(-1) s(-1) , two orders of magnitude higher than the corresponding thiophene-based derivative. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Epitaxial crystal growth by sputter deposition: Applications to semiconductors. Part 2

    International Nuclear Information System (INIS)

    Greene, J.E.

    1984-01-01

    The understanding of the physics of ion-surface interactions has progressed sufficiently to allow sputter depositinn to be used as a crystal growth technique for depositing a wide variety of single crystal elemental, compound, alloy, and superlattice semiconductors. In many cases, films with essentially bulk values of carrier concentrations and mobilities have been obtained. The controlled use of low energy particle bombardment of the growing film during sputter deposition has been shown to affect all stages of crystal growth ranging from adatom mobilities and nucleation kinetics to elemental incorporation probabilities. Such effects provide inherent advantages for sputter deposition over other vapor phase techniques for the low temperature growth of compound and alloy semiconductors and are essential in allowing the growth of new and unique single crystal metastable semiconductors. Part 1 of this review includes sections on experimental techniques, the physics of ion-surface interactions, and ion bombardment effects on film nucleation and growth, while Part 2 presents a discussion of recent results in the growth of elemental, III-V, II-VI, IV-VI, metastable, and other compound semiconductors

  3. Chemical method for producing nanoscale semiconductor compound CdS in a polymer matrix; Khimicheskij metod polucheniya nanorazmernogo poluprovodnikovogo soedineniya CdS v polimernoj matritse

    Energy Technology Data Exchange (ETDEWEB)

    Goglidze, Natalia; Dement' ev, Igor' ; Zadorozhnyj, Aleksandru; Koval' , Andrej; Gashin, Petr [Moldavskij gosudarstvennyj univ., Chisinau (Moldova, Republic of); Gutsul, Tatiana; Taraburkin, Aleksandr [Academiya nauk Moldovy, Chisinau (Moldova, Republic of)

    2012-07-15

    The results of cadmium sulfide synthesis in a polymer matrix from cadmium stearate and tiourea are given. Luminescent properties of the obtained materials were studied. It was shown that the elaborated method allows to efficiently synthesize 2-6 semiconductor compounds with the nano-granulated particles in various organic media including biopolymers. (authors)

  4. Nanostructured p-Type Semiconductor Electrodes and Photoelectrochemistry of Their Reduction Processes

    Directory of Open Access Journals (Sweden)

    Matteo Bonomo

    2016-05-01

    Full Text Available This review reports the properties of p-type semiconductors with nanostructured features employed as photocathodes in photoelectrochemical cells (PECs. Light absorption is crucial for the activation of the reduction processes occurring at the p-type electrode either in the pristine or in a modified/sensitized state. Beside thermodynamics, the kinetics of the electron transfer (ET process from photocathode to a redox shuttle in the oxidized form are also crucial since the flow of electrons will take place correctly if the ET rate will overcome that one of recombination and trapping events which impede the charge separation produced by the absorption of light. Depending on the nature of the chromophore, i.e., if the semiconductor itself or the chemisorbed dye-sensitizer, different energy levels will be involved in the cathodic ET process. An analysis of the general properties and requirements of electrodic materials of p-type for being efficient photoelectrocatalysts of reduction processes in dye-sensitized solar cells (DSC will be given. The working principle of p-type DSCs will be described and extended to other p-type PECs conceived and developed for the conversion of the solar radiation into chemical products of energetic/chemical interest like non fossil fuels or derivatives of carbon dioxide.

  5. Semiconductor Physical Electronics

    CERN Document Server

    Li, Sheng

    2006-01-01

    Semiconductor Physical Electronics, Second Edition, provides comprehensive coverage of fundamental semiconductor physics that is essential to an understanding of the physical and operational principles of a wide variety of semiconductor electronic and optoelectronic devices. This text presents a unified and balanced treatment of the physics, characterization, and applications of semiconductor materials and devices for physicists and material scientists who need further exposure to semiconductor and photonic devices, and for device engineers who need additional background on the underlying physical principles. This updated and revised second edition reflects advances in semicondutor technologies over the past decade, including many new semiconductor devices that have emerged and entered into the marketplace. It is suitable for graduate students in electrical engineering, materials science, physics, and chemical engineering, and as a general reference for processing and device engineers working in the semicondi...

  6. Process Challenges in Compound Semiconductors.

    Science.gov (United States)

    1988-08-01

    dimension in GaAs quantum well wires and boxes. Appl. Phys. Lett. 49:1275. Cox, H. M., S. G. Hummel, and V. G. Keramidas. 1986. Vapor levitation epitaxy...improved materials, and new device concepts. Many of these involve the fabrication of multilayer structures for quantum well lasers and detectors...dimensions, where quantum effects dominate, has already led to a number of conceptual breakthroughs for new devices and circuits. Such breakthroughs are

  7. Molecular semiconductors photoelectrical properties and solar cells

    CERN Document Server

    Rees, Ch

    1985-01-01

    During the past thirty years considerable efforts have been made to design the synthesis and the study of molecular semiconductors. Molecular semiconductors - and more generally molecular materials - involve interactions between individual subunits which can be separately synthesized. Organic and metallo-organic derivatives are the basis of most of the molecular materials. A survey of the literature on molecular semiconductors leaves one rather confused. It does seem to be very difficult to correlate the molecular structure of these semiconductors with their experimental electrical properties. For inorganic materials a simple definition delimits a fairly homogeneous family. If an inorganic material has a conductivity intermediate between that of an 12 1 1 3 1 1 insulator « 10- n- cm- ) and that of a metal (> 10 n- cm- ), then it is a semiconductor and will exhibit the characteristic properties of this family, such as junction formation, photoconductivity, and the photovoltaic effect. For molecular compounds,...

  8. On the epoxy moulding compound aging effect on package reliability

    NARCIS (Netherlands)

    Noijen, S.P.M.; Engelen, R.A.B.; Martens, J.; Opran, A.; Sluis, van der O.

    2009-01-01

    Most semi-conductor devices are encapsulated by epoxy moulding compound (EMC) material. Even after curing at the prescribed temperature and time in accordance with the supplier's curing specifications often the product is not yet 100% fully cured. As a consequence, the curing process of a product

  9. SÍNTESIS, CARACTERIZACIÓN ESTRUCTURAL Y PROPIEDADES MAGNÉTICAS DE COMPUESTOS SEMICONDUCTORES DEL TIPO Dy (x In (1-x Sb ISYNTHESIS, STRUCTURAL CHARACTERIZATION AND MAGNETIC PROPERTIES OF SEMICONDUCTOR COMPOUNDS OF TYPE Dy x In (1-x S

    Directory of Open Access Journals (Sweden)

    Euclides J. Velazco Rivero

    2018-04-01

    Full Text Available Semiconductor compounds of molecular formula of type DyxIn (1-x Sb (x = 0,02; 0,03; 0,04; 0,05; 0,06 y 0,07 were synthesized by means of direct interaction of the elements under heat treatment to 550°C during 11 days in vacuum sealed quartz ampoules. The analyses by X-rays diffraction showed that the compounds with x = 0,02; 0,03 y 0,04 presented pure phases of InSb doped with Dy without presence of alternate phases of DySb. These compounds, analyzed by scanning electronic microscopy – SEM, showed particles with a variety of shapes and sizes each one. Whereas the magnetic susceptibility measurements showed that those doped compounds, in spite of their paramagnetic behavior, the predominant magnetic interaction is ferromagnetic due to their positive Curie temperature (θ

  10. Proceedings of defect engineering in semiconductor growth, processing and device technology

    International Nuclear Information System (INIS)

    Ashok, S.; Chevallier, J.; Sumino, K.; Weber, E.

    1992-01-01

    This volume results from a symposium that was part of the 1992 Spring Meeting of the Materials Research Society, held in San Francisco from April 26 to May 1, 1992. The symposium, entitled Defect Engineering in Semiconductor Growth, Processing and Device Technology, was the first of its kind at MRS and brought together academic and industrial researchers with varying perspectives on defects in semiconductors. Its aim was to go beyond defect control, and focus instead on deliberate and controlled introduction and manipulation of defects in order to engineer some desired properties in semiconductor materials and devices. While the concept of defect engineering has at least a vague perception in techniques such as impurity/defect gettering and the use of the EL2 level in GaAs, more extensive as well as subtle uses of defects are emerging to augment the field. This symposium was intended principally to encourage creative new applications of defects in all aspects of semiconductor technology. The organization of this proceedings volume closely follows the topics around which the sessions were built. The papers on grown-in defects in bulk crystals deal with overviews of intrinsic and impurity-related defects, their influence on electrical, optical and mechanical properties, as well as the use of impurities to arrest certain types of defects during growth and defects to control growth. The issues addressed by the papers on defects in thin films include impurity and stoichiometry control, defects created by plasmas and the use of electron/ion irradiation for doping control

  11. Real-time and online screening method for materials emitting volatile organic compounds

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Changhyuk [University of Minnesota, Department of Mechanical Engineering (United States); Sul, Yong Tae [Hoseo University (Korea, Republic of); Pui, David Y. H., E-mail: dyhpui@umn.edu [University of Minnesota, Department of Mechanical Engineering (United States)

    2016-09-15

    In the semiconductor industry, volatile organic compounds (VOCs) in the cleanroom air work as airborne molecular contamination, which reduce the production yield of semiconductor chips by forming nanoparticles and haze on silicon wafers and photomasks under ultraviolet irradiation during photolithography processes. Even though VOCs in outdoor air are removed by gas filters, VOCs can be emitted from many kinds of materials used in cleanrooms, such as organic solvents and construction materials (e.g., adhesives, flame retardants and sealants), threatening the production of semiconductors. Therefore, finding new replacements that emit lower VOCs is now essential in the semiconductor industry. In this study, we developed a real-time and online method to screen materials for developing the replacements by converting VOCs into nanoparticles under soft X-ray irradiation. This screening method was applied to measure VOCs emitted from different kinds of organic solvents and adhesives. Our results showed good repeatability and high sensitivity for VOCs, which come from aromatic compounds, some alcohols and all tested adhesives (Super glue and cleanroom-use adhesives). In addition, the overall trend of measured VOCs from cleanroom-use adhesives was well matched with those measured by a commercial thermal desorption–gas chromatography–mass spectrometry, which is a widely used off-line method for analyzing VOCs. Based on the results, this screening method can help accelerate the developing process for reducing VOCs in cleanrooms.

  12. Diffusion in semiconductors, other than silicon compilation

    CERN Document Server

    Fisher, David J

    2011-01-01

    Review from Book News Inc.: Summary reports of 337 experiments provide information on the diffusion of matter and heat in 31 materials used in semiconductors. Most of the compounds are based on cadmium, gallium, indium, lead, and zinc. Mercury telluride is included however, as is silicon carbide for some reason. Each article is thoroughly referenced to the authors and publication number, date, and page. The arrangement is alphabetical by semiconductor material. Indexes cover authors, hosts, and diffusants.

  13. PEALD grown high-k ZrO{sub 2} thin films on SiC group IV compound semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Khairnar, A. G., E-mail: agkhairnar@gmail.com; Patil, V. S.; Agrawal, K. S.; Salunke, R. S.; Mahajan, A. M., E-mail: ammahajan@nmu.ac.in [North Maharashtra University, Department of Electronics, School of Physical Sciences (India)

    2017-01-15

    The study of ZrO{sub 2} thin films on SiC group IV compound semiconductor has been studied as a high mobility substrates. The ZrO{sub 2} thin films were deposited using the Plasma Enhanced Atomic Layer Deposition System. The thickness of the thin films were measured using ellipsometer and found to be 5.47 nm. The deposited ZrO{sub 2} thin films were post deposition annealed in rapid thermal annealing chamber at temperature of 400°Ð¡. The atomic force microscopy and X-гау photoelectron spectroscopy has been carried out to study the surface topography, roughness and chemical composition of thin film, respectively.

  14. Materials and Reliability Handbook for Semiconductor Optical and Electron Devices

    CERN Document Server

    Pearton, Stephen

    2013-01-01

    Materials and Reliability Handbook for Semiconductor Optical and Electron Devices provides comprehensive coverage of reliability procedures and approaches for electron and photonic devices. These include lasers and high speed electronics used in cell phones, satellites, data transmission systems and displays. Lifetime predictions for compound semiconductor devices are notoriously inaccurate due to the absence of standard protocols. Manufacturers have relied on extrapolation back to room temperature of accelerated testing at elevated temperature. This technique fails for scaled, high current density devices. Device failure is driven by electric field or current mechanisms or low activation energy processes that are masked by other mechanisms at high temperature. The Handbook addresses reliability engineering for III-V devices, including materials and electrical characterization, reliability testing, and electronic characterization. These are used to develop new simulation technologies for device operation and ...

  15. Direct synthesis of II-VI compound nanocrystals in polymer matrix

    International Nuclear Information System (INIS)

    Antolini, F.; Di Luccio, T.; Laera, A.M.; Mirenghi, L.; Piscopiello, E.; Re, M.; Tapfer, L.

    2007-01-01

    The production of II-VI semiconductor compound - polymer matrix nanocomposites by a direct in-situ thermolysis process is described. Metal-thiolate precursor molecules embedded in a polymer matrix decompose by a thermal annealing and the nucleation of semiconductor nanocrystals occurs. It is shown that the nucleation of nanoparticles and the formation of the nanocomposite can be also achieved by laser beam irradiation; this opens the way towards a ''lithographic'' in-situ nanocomposite production process. A possible growth and nanocomposite formation mechanism, describing the structural and chemical transformation of the precursor molecules, their decomposition and the formation of the nanoparticles, is presented. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Prediction of the epoxy moulding compound aging effect on package reliability

    NARCIS (Netherlands)

    Noijen, S.P.M.; Engelen, R.A.B.; Martens, J.; Opran, A.; Sluis, van der O.; Silfhout, van R.B.R.

    2010-01-01

    Most semi-conductor devices are encapsulated by epoxy moulding compound (EMC) material. Even after curing at the prescribed temperature and time in accordance with the supplier’s curing specifications often the product is not yet 100% fully cured. As a consequence, the curing process of a product

  17. High pressure study of the zinc phosphide semiconductor compound in two different phases

    International Nuclear Information System (INIS)

    Mokhtari, Ali

    2009-01-01

    Electronic and structural properties of the zinc phosphide semiconductor compound are calculated at hydrostatic pressure using the full-potential all-electron linearized augmented plane wave plus local orbital (FP-LAPW+lo) method in both cubic and tetragonal phases. The exchange-correlation potential is treated by the generalized gradient approximation within the scheme of Perdew, Burke and Ernzerhof, GGA96 (1996 Phys. Rev. Lett. 77 3865). Also, the Engel and Vosko GGA formalism, EV-GGA (Engel and Vosko 1993 Phys. Rev. B 47 13164), is used to improve the band-gap results. Internal parameters are optimized by relaxing the atomic positions in the force directions using the Hellman-Feynman approach. The lattice constants, internal parameters, bulk modulus, cohesive energy and band structures have been calculated and compared to the available experimental and theoretical results. The structural calculations predict that the stable phase is tetragonal. The effects of hydrostatic pressure on the behavior of band parameters such as band-gap, valence bandwidths and internal gaps (the energy gap between different parts of the valence bands) are studied using both GGA96 and EV-GGA.

  18. High pressure study of the zinc phosphide semiconductor compound in two different phases

    Energy Technology Data Exchange (ETDEWEB)

    Mokhtari, Ali [Simulation Laboratory, Department of Physics, Faculty of Science, Shahrekord University, PB 115, Shahrekord (Iran, Islamic Republic of)], E-mail: mokhtari@sci.sku.ac.ir

    2009-07-08

    Electronic and structural properties of the zinc phosphide semiconductor compound are calculated at hydrostatic pressure using the full-potential all-electron linearized augmented plane wave plus local orbital (FP-LAPW+lo) method in both cubic and tetragonal phases. The exchange-correlation potential is treated by the generalized gradient approximation within the scheme of Perdew, Burke and Ernzerhof, GGA96 (1996 Phys. Rev. Lett. 77 3865). Also, the Engel and Vosko GGA formalism, EV-GGA (Engel and Vosko 1993 Phys. Rev. B 47 13164), is used to improve the band-gap results. Internal parameters are optimized by relaxing the atomic positions in the force directions using the Hellman-Feynman approach. The lattice constants, internal parameters, bulk modulus, cohesive energy and band structures have been calculated and compared to the available experimental and theoretical results. The structural calculations predict that the stable phase is tetragonal. The effects of hydrostatic pressure on the behavior of band parameters such as band-gap, valence bandwidths and internal gaps (the energy gap between different parts of the valence bands) are studied using both GGA96 and EV-GGA.

  19. Phonological Processes in Complex and Compound Words

    Directory of Open Access Journals (Sweden)

    Alieh Kord Zaferanlu Kambuziya

    2016-02-01

    Full Text Available Abstract This research at making a comparison between phonological processes in complex and compound Persian words. Data are gathered from a 40,000-word Persian dictionary. To catch some results, 4,034 complex words and 1,464 compound ones are chosen. To count the data, "excel" software is used. Some results of the research are: 1- "Insertion" is the usual phonological process in complex words. More than half of different insertions belongs to the consonant /g/. Then /y/ and // are in the second and the third order. The consonant /v/ has the least percentage of all. The most percentage of vowel insertion belongs to /e/. The vowels /a/ and /o/ are in the second and third order. Deletion in complex words can only be seen in consonant /t/ and vowel /e/. 2- The most frequent phonological processes in compounds is consonant deletion. In this process, seven different consonants including /t/, //, /m/, /r/, / ǰ/, /d, and /c/. The only deleted vowel is /e/. In both groups of complex and compound, /t/ deletion can be observed. A sequence of three consonants paves the way for the deletion of one of the consonants, if one of the sequences is a sonorant one like /n/, the deletion process rarely happens. 3- In complex words, consonant deletion causes a lighter syllable weight, whereas vowel deletion causes a heavier syllable weight. So, both of the processes lead to bi-moraic weight. 4- The production of bi-moraic syllable in Persian is preferable to Syllable Contact Law. So, Specific Rules have precedence to Universals. 5- Vowel insertion can be seen in both groups of complex and compound words. In complex words, /e/ insertion has the most fundamental part. The vowels /a/ and /o/ are in the second and third place. Whenever there are two sequences of ultra-heavy syllables. By vowel insertion, the first syllable is broken into two light syllables. The compounds that are influenced by vowel insertion, can be and are pronounced without any insertion

  20. Direct observation of dopant distribution in GaAs compound semiconductors using phase-shifting electron holography and Lorentz microscopy.

    Science.gov (United States)

    Sasaki, Hirokazu; Otomo, Shinya; Minato, Ryuichiro; Yamamoto, Kazuo; Hirayama, Tsukasa

    2014-06-01

    Phase-shifting electron holography and Lorentz microscopy were used to map dopant distributions in GaAs compound semiconductors with step-like dopant concentration. Transmission electron microscope specimens were prepared using a triple beam focused ion beam (FIB) system, which combines a Ga ion beam, a scanning electron microscope, and an Ar ion beam to remove the FIB damaged layers. The p-n junctions were clearly observed in both under-focused and over-focused Lorentz microscopy images. A phase image was obtained by using a phase-shifting reconstruction method to simultaneously achieve high sensitivity and high spatial resolution. Differences in dopant concentrations between 1 × 10(19) cm(-3) and 1 × 10(18) cm(-3) regions were clearly observed by using phase-shifting electron holography. We also interpreted phase profiles quantitatively by considering inactive layers induced by ion implantation during the FIB process. The thickness of an inactive layer at different dopant concentration area can be measured from the phase image. © The Author 2014. Published by Oxford University Press on behalf of The Japanese Society of Microscopy. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  1. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  2. Magnetic filter apparatus and method for generating cold plasma in semiconductor processing

    Science.gov (United States)

    Vella, M.C.

    1996-08-13

    Disclosed herein is a system and method for providing a plasma flood having a low electron temperature to a semiconductor target region during an ion implantation process. The plasma generator providing the plasma is coupled to a magnetic filter which allows ions and low energy electrons to pass therethrough while retaining captive the primary or high energy electrons. The ions and low energy electrons form a ``cold plasma`` which is diffused in the region of the process surface while the ion implantation process takes place. 15 figs.

  3. Micro-Raman spectroscopy as a tool for the characterization of silicon carbide in power semiconductor material processing

    Science.gov (United States)

    De Biasio, M.; Kraft, M.; Schultz, M.; Goller, B.; Sternig, D.; Esteve, R.; Roesner, M.

    2017-05-01

    Silicon carbide (SiC) is a wide band-gap semi-conductor material that is used increasingly for high voltage power devices, since it has a higher breakdown field strength and better thermal conductivity than silicon. However, in particular its hardness makes wafer processing difficult and many standard semi-conductor processes have to be specially adapted. We measure the effects of (i) mechanical processing (i.e. grinding of the backside) and (ii) chemical and thermal processing (i.e. doping and annealing), using confocal microscopy to measure the surface roughness of ground wafers and micro-Raman spectroscopy to measure the stresses induced in the wafers by grinding. 4H-SiC wafers with different dopings were studied before and after annealing, using depth-resolved micro-Raman spectroscopy to observe how doping and annealing affect: i.) the damage and stresses induced on the crystalline structure of the samples and ii.) the concentration of free electrical carriers. Our results show that mechanical, chemical and thermal processing techniques have effects on this semiconductor material that can be observed and characterized using confocal microscopy and high resolution micro Raman spectroscopy.

  4. Abatement of waste gases and water during the processes of semiconductor fabrication.

    Science.gov (United States)

    Wen, Rui-mei; Liang, Jun-wu

    2002-10-01

    The purpose of this article is to examine the methods and equipment for abating waste gases and water produced during the manufacture of semiconductor materials and devices. Three separating methods and equipment are used to control three different groups of electronic wastes. The first group includes arsine and phosphine emitted during the processes of semiconductor materials manufacture. The abatement procedure for this group of pollutants consists of adding iodates, cupric and manganese salts to a multiple shower tower (MST) structure. The second group includes pollutants containing arsenic, phosphorus, HF, HCl, NO2, and SO3 emitted during the manufacture of semiconductor materials and devices. The abatement procedure involves mixing oxidants and bases in an oval column with a separator in the middle. The third group consists of the ions of As, P and heavy metals contained in the waste water. The abatement procedure includes adding CaCO3 and ferric salts in a flocculation-sedimentation compact device equipment. Test results showed that all waste gases and water after the abatement procedures presented in this article passed the discharge standards set by the State Environmental Protection Administration of China.

  5. Velocity overshoot decay mechanisms in compound semiconductor field-effect transistors with a submicron characteristic length

    International Nuclear Information System (INIS)

    Jyegal, Jang

    2015-01-01

    Velocity overshoot is a critically important nonstationary effect utilized for the enhanced performance of submicron field-effect devices fabricated with high-electron-mobility compound semiconductors. However, the physical mechanisms of velocity overshoot decay dynamics in the devices are not known in detail. Therefore, a numerical analysis is conducted typically for a submicron GaAs metal-semiconductor field-effect transistor in order to elucidate the physical mechanisms. It is found that there exist three different mechanisms, depending on device bias conditions. Specifically, at large drain biases corresponding to the saturation drain current (dc) region, the velocity overshoot suddenly begins to drop very sensitively due to the onset of a rapid decrease of the momentum relaxation time, not the mobility, arising from the effect of velocity-randomizing intervalley scattering. It then continues to drop rapidly and decays completely by severe mobility reduction due to intervalley scattering. On the other hand, at small drain biases corresponding to the linear dc region, the velocity overshoot suddenly begins to drop very sensitively due to the onset of a rapid increase of thermal energy diffusion by electrons in the channel of the gate. It then continues to drop rapidly for a certain channel distance due to the increasing thermal energy diffusion effect, and later completely decays by a sharply decreasing electric field. Moreover, at drain biases close to a dc saturation voltage, the mechanism is a mixture of the above two bias conditions. It is suggested that a large secondary-valley energy separation is essential to increase the performance of submicron devices

  6. Single-step solution processing of small-molecule organic semiconductor field-effect transistors at high yield

    NARCIS (Netherlands)

    Yu, Liyang; Li, X.; Pavlica, E.; Loth, M.A.; Anthony, J.E.; Bratina, G.; Kjellander, B.K.C.; Gelinck, G.H.; Stutzmann, N.

    2011-01-01

    Here, we report a simple, alternative route towards high-mobility structures of the small-molecular semiconductor 5,11-bis(triethyl silylethynyl) anthradithiophene that requires one single processing step without the need for any post-deposition processing. The method relies on careful control of

  7. Metal semiconductor contacts and devices

    CERN Document Server

    Cohen, Simon S; Einspruch, Norman G

    1986-01-01

    VLSI Electronics Microstructure Science, Volume 13: Metal-Semiconductor Contacts and Devices presents the physics, technology, and applications of metal-semiconductor barriers in digital integrated circuits. The emphasis is placed on the interplay among the theory, processing, and characterization techniques in the development of practical metal-semiconductor contacts and devices.This volume contains chapters that are devoted to the discussion of the physics of metal-semiconductor interfaces and its basic phenomena; fabrication procedures; and interface characterization techniques, particularl

  8. Carrier concentration induced ferromagnetism in semiconductors

    International Nuclear Information System (INIS)

    Story, T.

    2007-01-01

    In semiconductor spintronics the key materials issue concerns ferromagnetic semiconductors that would, in particular, permit an integration (in a single multilayer heterostructure) of standard electronic functions of semiconductors with magnetic memory function. Although classical semiconductor materials, such as Si or GaAs, are nonmagnetic, upon substitutional incorporation of magnetic ions (typically of a few atomic percents of Mn 2+ ions) and very heavy doping with conducting carriers (at the level of 10 20 - 10 21 cm -3 ) a ferromagnetic transition can be induced in such diluted magnetic semiconductors (also known as semimagnetic semiconductors). In the lecture the spectacular experimental observations of carrier concentration induced ferromagnetism will be discussed for three model semiconductor crystals. p - Ga 1-x Mn x As currently the most actively studied and most perspective ferromagnetic semiconductor of III-V group, in which ferromagnetism appears due to Mn ions providing both local magnetic moments and acting as acceptor centers. p - Sn 1-x Mn x Te and p - Ge 1-x Mn x Te classical diluted magnetic semiconductors of IV-VI group, in which paramagnet-ferromagnet and ferromagnet-spin glass transitions are found for very high hole concentration. n - Eu 1-x Gd x Te mixed magnetic crystals, in which the substitution of Gd 3+ ions for Eu 2+ ions creates very high electron concentration and transforms antiferromagnetic EuTe (insulating compound) into ferromagnetic n-type semiconductor alloy. For each of these materials systems the key physical features will be discussed concerning: local magnetic moments formation, magnetic phase diagram as a function of magnetic ions and carrier concentration as well as Curie temperature and magnetic anisotropy engineering. Various theoretical models proposed to explain the effect of carrier concentration induced ferromagnetism in semiconductors will be briefly discussed involving mean field approaches based on Zener and RKKY

  9. n-Channel semiconductor materials design for organic complementary circuits.

    Science.gov (United States)

    Usta, Hakan; Facchetti, Antonio; Marks, Tobin J

    2011-07-19

    Organic semiconductors have unique properties compared to traditional inorganic materials such as amorphous or crystalline silicon. Some important advantages include their adaptability to low-temperature processing on flexible substrates, low cost, amenability to high-speed fabrication, and tunable electronic properties. These features are essential for a variety of next-generation electronic products, including low-power flexible displays, inexpensive radio frequency identification (RFID) tags, and printable sensors, among many other applications. Accordingly, the preparation of new materials based on π-conjugated organic molecules or polymers has been a central scientific and technological research focus over the past decade. Currently, p-channel (hole-transporting) materials are the leading class of organic semiconductors. In contrast, high-performance n-channel (electron-transporting) semiconductors are relatively rare, but they are of great significance for the development of plastic electronic devices such as organic field-effect transistors (OFETs). In this Account, we highlight the advances our team has made toward realizing moderately and highly electron-deficient n-channel oligomers and polymers based on oligothiophene, arylenediimide, and (bis)indenofluorene skeletons. We have synthesized and characterized a "library" of structurally related semiconductors, and we have investigated detailed structure-property relationships through optical, electrochemical, thermal, microstructural (both single-crystal and thin-film), and electrical measurements. Our results reveal highly informative correlations between structural parameters at various length scales and charge transport properties. We first discuss oligothiophenes functionalized with perfluoroalkyl and perfluoroarene substituents, which represent the initial examples of high-performance n-channel semiconductors developed in this project. The OFET characteristics of these compounds are presented with an

  10. Organic materials for semiconductor. Epoxy molding compound for IC encapsulation; Handotai kanren no yuki zairyo. Handotai fushiyo epoxy seikei zairyo ni tsuite

    Energy Technology Data Exchange (ETDEWEB)

    Kusuhara, A. [Sumitomo Bakelite Co. Ltd., Tokyo (Japan)

    1998-11-05

    This paper describes organic materials for semiconductor. Based on the composition and raw material, typical materials are epoxy resins, curing agents including phenol-novolak resins, fillers including silica and alumina, flame retardants including brominated epoxy resin and antimony oxide, hardening accelerators including amine compounds and phosphorus compounds, coupling agents including silane compounds and titanate compounds, and the others including colorants and mold lubricants. Raw materials are heated and kneaded after mixing, and produced as tablets after cooling and crushing. Recently, the packages have changed from insertion type to surface mounting type for the small thin IC package and for improving the efficiency of soldering during the incorporation of IC package on the print circuit substrate. High temperature of 260degC has been employed from the conventional limit of 100degC. Reduction of water absorption, improvement of adhesion, reduction of thermal expansion coefficient, and reduction of elastic modulus during heating are promoted for avoiding the peeling and cracking due to the sudden evaporation of adsorbed moisture. This paper also describes the organic materials for BGA. 10 figs., 4 tabs.

  11. Diagnosing modern semiconductor processes with the new generation of Atomika TXRF systems

    International Nuclear Information System (INIS)

    Dobler, M.; Jung, M.; Greithanner, S.

    2000-01-01

    Responding to the latest demands in semiconductor process technology, ATOMIKA Instruments has developed a new TXRF surface analyzer generation TXRF 8300/8200W for wafer sizes up to 300 mm. This new tool set provides extended automation features for routine measurements in daily quality control as for unconventional demands in scientific work. The efficiency of the systems is illustrated and compared to the older TXRF 8030W generation. Measurement results gained on usual contaminated wafer surfaces as well as on new semiconductor material substrates are presented and prove the advantages of the improvements and novelties. The possibility to perform an analytical study at thin layers to determine layer thickness and density is demonstrated. A summary of the newest measurement results using these instruments and an outlook for further developments is given. (author)

  12. Fast optical recording media based on semiconductor nanostructures for image recording and processing

    International Nuclear Information System (INIS)

    Kasherininov, P. G.; Tomasov, A. A.

    2008-01-01

    Fast optical recording media based on semiconductor nanostructures (CdTe, GaAs) for image recording and processing with a speed to 10 6 cycle/s (which exceeds the speed of known recording media based on metal-insulator-semiconductor-(liquid crystal) (MIS-LC) structures by two to three orders of magnitude), a photosensitivity of 10 -2 V/cm 2 , and a spatial resolution of 5-10 (line pairs)/mm are developed. Operating principles of nanostructures as fast optical recording media and methods for reading images recorded in such media are described. Fast optical processors for recording images in incoherent light based on CdTe crystal nanostructures are implemented. The possibility of their application to fabricate image correlators is shown.

  13. Method for depositing high-quality microcrystalline semiconductor materials

    Science.gov (United States)

    Guha, Subhendu [Bloomfield Hills, MI; Yang, Chi C [Troy, MI; Yan, Baojie [Rochester Hills, MI

    2011-03-08

    A process for the plasma deposition of a layer of a microcrystalline semiconductor material is carried out by energizing a process gas which includes a precursor of the semiconductor material and a diluent with electromagnetic energy so as to create a plasma therefrom. The plasma deposits a layer of the microcrystalline semiconductor material onto the substrate. The concentration of the diluent in the process gas is varied as a function of the thickness of the layer of microcrystalline semiconductor material which has been deposited. Also disclosed is the use of the process for the preparation of an N-I-P type photovoltaic device.

  14. Some functional limit theorems for compound Cox processes

    Energy Technology Data Exchange (ETDEWEB)

    Korolev, Victor Yu. [Faculty of Computational Mathematics and Cybernetics, Moscow State University, Moscow (Russian Federation); Institute of Informatics Problems FRC CSC RAS (Russian Federation); Chertok, A. V. [Faculty of Computational Mathematics and Cybernetics, Moscow State University, Moscow (Russian Federation); Euphoria Group LLC (Russian Federation); Korchagin, A. Yu. [Faculty of Computational Mathematics and Cybernetics, Moscow State University, Moscow (Russian Federation); Kossova, E. V. [Higher School of Economics National Research University, Moscow (Russian Federation); Zeifman, Alexander I. [Vologda State University, S.Orlova, 6, Vologda (Russian Federation); Institute of Informatics Problems FRC CSC RAS, ISEDT RAS (Russian Federation)

    2016-06-08

    An improved version of the functional limit theorem is proved establishing weak convergence of random walks generated by compound doubly stochastic Poisson processes (compound Cox processes) to Lévy processes in the Skorokhod space under more realistic moment conditions. As corollaries, theorems are proved on convergence of random walks with jumps having finite variances to Lévy processes with variance-mean mixed normal distributions, in particular, to stable Lévy processes.

  15. Some functional limit theorems for compound Cox processes

    International Nuclear Information System (INIS)

    Korolev, Victor Yu.; Chertok, A. V.; Korchagin, A. Yu.; Kossova, E. V.; Zeifman, Alexander I.

    2016-01-01

    An improved version of the functional limit theorem is proved establishing weak convergence of random walks generated by compound doubly stochastic Poisson processes (compound Cox processes) to Lévy processes in the Skorokhod space under more realistic moment conditions. As corollaries, theorems are proved on convergence of random walks with jumps having finite variances to Lévy processes with variance-mean mixed normal distributions, in particular, to stable Lévy processes.

  16. Process for compound transformation

    KAUST Repository

    Basset, Jean-Marie

    2016-12-29

    Embodiments of the present disclosure provide for methods of using a catalytic system to chemically transform a compound (e.g., a hydrocarbon). In an embodiment, the method does not employ grafting the catalyst prior to catalysis. In particular, embodiments of the present disclosure provide for a process of hydrocarbon (e.g., C1 to C20 hydrocarbon) metathesis (e.g., alkane, olefin, or alkyne metathesis) transformation, where the process can be conducted without employing grafting prior to catalysis.

  17. Interfacial trap states in junctions of molecular semiconductors

    International Nuclear Information System (INIS)

    Schlettwein, D.; Oekermann, T.; Jaeger, N.; Armstrong, N.R.; Woehrle, D.

    2002-01-01

    Interfacial states that were established in contacts of molecular semiconductors with aqueous electrolytes or in contacts with another organic semiconductor as a solid film were analyzed by photoelectrochemical experiments and by photoelectron spectroscopy. A crucial role of such states was indicated in the interfacial charge transfer and recombination kinetics of light-induced charge carriers and also in the energetic alignment in the solid contacts. Unsubstituted zinc-phthalocyanine (PcZn) served as model compound. The role of chemical interactions in the establishment of these interfacial states was investigated by use of different reaction partners, i.e., different redox couples in the electrolyte contacts and molecular semiconductors of different ionization potential in the solid contacts. Implications of these results for the use of organic semiconductor thin films in devices of molecular electronics and of dye molecules in dye-sensitized solar cells were also discussed

  18. A cyano-terminated dithienyldiketopyrrolopyrrole dimer as a solution processable ambipolar semiconductor under ambient conditions.

    Science.gov (United States)

    Wang, Li; Zhang, Xiaojie; Tian, Hongkun; Lu, Yunfeng; Geng, Yanhou; Wang, Fosong

    2013-12-14

    A cyano-terminated dimer of dithienyldiketopyrrolopyrrole (TDPP), DPP2-CN, is a solution processable ambipolar semiconductor with field-effect hole and electron mobilities of 0.066 and 0.033 cm(2) V(-1) s(-1), respectively, under ambient conditions.

  19. Magnetic properties of diluted magnetic semiconductors

    NARCIS (Netherlands)

    Jonge, de W.J.M.; Swagten, H.J.M.

    1991-01-01

    A review will be given of the magnetic characteristics of diluted magnetic semiconductors and the relation with the driving exchange mechanisms. II–VI as well as IV–VI compounds will be considered. The relevance of the long-range interaction and the role of the carrier concentration will be

  20. Synthesis, Processing, and Thermoelectric Properties of Germanium-Antimony-Tellurium Based Compounds and Alloys

    Science.gov (United States)

    Williams, Jared Brett

    Society has become increasingly more aware of the negative impacts which nonrenewable energy sources have on the environment, and therefore the search for new and more efficient means of energy production has become an important research endeavor. Thermoelectric modules possess the unique ability to convert wasted heat into useful electrical energy via solid state processes, which could vastly improve the efficiency of a number of applications. The materials which accomplish this are typically comprised of semiconductors which exhibit high electrical conductivity, Seebeck coefficient, and thermal resistivity. Together these properties give us a gauge for the overall efficiency of the thermal to electrical energy conversion. Phase change materials are a class of materials primarily used for optical data storage in CDs, DVDs, and Blu-Ray discs. Today's state of the art phase change materials are based on alloys of GeTe and Sb2Te3. These materials have also been found to exhibit high thermoelectric efficiencies. These high efficiencies stem from their complex crystal structure and degenerate semiconducting nature. The purpose of this work was to study and engineer the thermoelectric properties of various alloys and compounds which belong to this family of materials. Specifically studied were the compounds Ge4SbTe5 and Ge17Sb2Te20. In each case various synthesis and processing strategies were implemented to increase the thermoelectric performance and better understand the fundamental electrical and thermal properties. Finally various proposals for future work on these materials are presented, all of which are based on the findings described herein.

  1. On the use of the plasma in III-V semiconductor processing

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, G.; Capezzuto, P.; Losurdo, M. [C.N.R.-Centro di Studio per la Chimica dei Plasmi Dipartimento di Chimica-Universita di Bari via Orabona, 4-70126 Bari (Italy)

    1996-03-01

    The manufacture of usable devices based on III-V semiconductor materials is a complex process requiring epilayer growth, anisotropic etching, defect passivation, surface oxidation and substrate preparation processes. The combination of plasma based methods with metalorganic chemical vapor deposition (MOCVD) offers some real advantages: {ital in} {ital situ} production and preactivation of PH{sub 3} and sample preparation using H-atom. The detailed understanding and use of the plasma (using mass spectrometry, optical emission spectroscopy, laser reflectance interferometry and spectroscopic ellipsometry) as applied to InP material is discussed. {copyright} {ital 1996 American Institute of Physics.}

  2. Application of Transforms in a Compound Demands Process

    Directory of Open Access Journals (Sweden)

    Ou Tang

    2012-10-01

    Full Text Available The compound distribution is of interest for the study of inventoryproblem, since it provides a more flexible description ofthe stochastic properties of the system compared to many otherapproaches such as renewal processes. However, due to the difficultiesof obtaining analytical results for the compound distribution,such a type of study is usually limited to searching for agood approximation for replacing the complex model. This paperinvestigates the possibility to extend a previous stochastic inventorymodel to cover a compound demand process. Transformmethods again play an imp01tant role in the analysis forcapturing the stochastic prope1ties of the compound distribution.

  3. 3D TCAD Simulation for Semiconductor Processes, Devices and Optoelectronics

    CERN Document Server

    Li, Simon

    2012-01-01

    Technology computer-aided design, or TCAD, is critical to today’s semiconductor technology and anybody working in this industry needs to know something about TCAD.  This book is about how to use computer software to manufacture and test virtually semiconductor devices in 3D.  It brings to life the topic of semiconductor device physics, with a hands-on, tutorial approach that de-emphasizes abstract physics and equations and emphasizes real practice and extensive illustrations.  Coverage includes a comprehensive library of devices, representing the state of the art technology, such as SuperJunction LDMOS, GaN LED devices, etc. Provides a vivid, internal view of semiconductor devices, through 3D TCAD simulation; Includes comprehensive coverage of  TCAD simulations for both optic and electronic devices, from nano-scale to high-voltage high-power devices; Presents material in a hands-on, tutorial fashion so that industry practitioners will find maximum utility; Includes a comprehensive library of devices, re...

  4. Thermodynamic analysis of Mg-doped p-type GaN semiconductor

    International Nuclear Information System (INIS)

    Li Jingbo; Liang Jingkui; Rao Guanghui; Zhang Yi; Liu Guangyao; Chen Jingran; Liu Quanlin; Zhang Weijing

    2006-01-01

    A thermodynamic modeling of Mg-doped p-type GaN was carried out to describe the thermodynamic behaviors of native defects, dopants (Mg and H) and carriers in GaN. The formation energies of charged component compounds in a four-sublattice model were defined as functions of the Fermi-level based on the results of the first-principles calculations and adjusted to fit experimental data. The effect of the solubility of Mg on the low doping efficiency of Mg in GaN and the role of H in the Mg-doping MOCVD process were discussed. The modeling provides a thermodynamic approach to understand the doping process of GaN semiconductors

  5. Fermi level dependent native defect formation: Consequences for metal-semiconductor and semiconductor-semiconductor interfaces

    International Nuclear Information System (INIS)

    Walukiewicz, W.

    1988-02-01

    The amphoteric native defect model of the Schottky barrier formation is used to analyze the Fermi level pinning at metal/semiconductor interfaces for submonolayer metal coverages. It is assumed that the energy required for defect generation is released in the process of surface back-relaxation. Model calculations for metal/GaAs interfaces show a weak dependence of the Fermi level pinning on the thickness of metal deposited at room temperature. This weak dependence indicates a strong dependence of the defect formation energy on the Fermi level, a unique feature of amphoteric native defects. This result is in very good agreement with experimental data. It is shown that a very distinct asymmetry in the Fermi level pinning on p- and n-type GaAs observed at liquid nitrogen temperatures can be understood in terms of much different recombination rates for amphoteric native defects in those two types of materials. Also, it is demonstrated that the Fermi level stabilization energy, a central concept of the amphoteric defect system, plays a fundamental role in other phenomena in semiconductors such as semiconductor/semiconductor heterointerface intermixing and saturation of free carrier concentration. 33 refs., 6 figs

  6. K-mean clustering algorithm for processing signals from compound semiconductor detectors

    International Nuclear Information System (INIS)

    Tada, Tsutomu; Hitomi, Keitaro; Wu, Yan; Kim, Seong-Yun; Yamazaki, Hiromichi; Ishii, Keizo

    2011-01-01

    The K-mean clustering algorithm was employed for processing signal waveforms from TlBr detectors. The signal waveforms were classified based on its shape reflecting the charge collection process in the detector. The classified signal waveforms were processed individually to suppress the pulse height variation of signals due to the charge collection loss. The obtained energy resolution of a 137 Cs spectrum measured with a 0.5 mm thick TlBr detector was 1.3% FWHM by employing 500 clusters.

  7. Byproduct-free mass production of compound semiconductor nanowires: zinc phosphide

    Science.gov (United States)

    Chen, Yixi; Polinnaya, Rakesh; Vaddiraju, Sreeram

    2018-05-01

    A method for the mass production of compound semiconductor nanowires that involves the direct reaction of component elements in a chemical vapor deposition chamber (CVD) is presented. This method results in nanowires, without the associated production of any other byproducts such as nanoparticles or three-dimensional (3D) bulk crystals. Furthermore, no unreacted reactants remain mixed with the nanowire product in this method. This byproduct-free nanowire production thus circumvents the need to tediously purify and collect nanowires from a mixture of products/reactants after their synthesis. Demonstration made using zinc phosphide (Zn3P2) material system as an example indicated that the direct reaction of zinc microparticles with phosphorus supplied via the vapor phase results in the production of gram quantities of nanowires. To enhance thermal transport and achieve the complete reaction of zinc microparticles, while simultaneously ensuring that the microparticles do not agglomerate into macroscale zinc particles and partly remain unreacted (owing to diffusion limitations), pellets composed of mixtures of zinc and a sacrificial salt, NH4Cl, were employed as the starting material. The sublimation by decomposition of NH4Cl in the early stages of the reaction leaves a highly porous pellet of zinc composed of only zinc microparticles, which allows for inward diffusion of phosphorus/outward diffusion of zinc and the complete conversion of zinc into Zn3P2 nanowires. NH4Cl also aids in removal of any native oxide layer present on the zinc microparticles that may prevent their reaction with phosphorus. This method may be used to mass produce many other nanowires in a byproduct-free manner, besides Zn3P2.

  8. Photocatalysis of irradiated semiconductor surfaces: Its application to water splitting and some organic reactions

    Energy Technology Data Exchange (ETDEWEB)

    Sakata, T

    1985-05-01

    Hydrogen production from organic compounds and water was investigated using powdered semiconductor photocatalysts. The complete decomposition observed for several organic compounds demonstrated that water is involved in the reactions as an oxidizing agent. Photocatalyses of dyes and semiconductors were found to be applicable to amino acid synthesis. The quantum yields of photocatalytic amino acid synthesis using visible light are about 20%-40% in the absence of a metal catalyst such as platinum. Moreover the reactions are highly selective and depend strongly on the type of semiconductor. This method was applied to the asymmetric synthesis of amino acids using asymmetric catalysts. Rather high optical yields of 50% were achieved for the synthesis of L-phenylalanine.

  9. Design and exploration of semiconductors from first principles: A review of recent advances

    Science.gov (United States)

    Oba, Fumiyasu; Kumagai, Yu

    2018-06-01

    Recent first-principles approaches to semiconductors are reviewed, with an emphasis on theoretical insight into emerging materials and in silico exploration of as-yet-unreported materials. As relevant theory and methodologies have developed, along with computer performance, it is now feasible to predict a variety of material properties ab initio at the practical level of accuracy required for detailed understanding and elaborate design of semiconductors; these material properties include (i) fundamental bulk properties such as band gaps, effective masses, dielectric constants, and optical absorption coefficients; (ii) the properties of point defects, including native defects, residual impurities, and dopants, such as donor, acceptor, and deep-trap levels, and formation energies, which determine the carrier type and density; and (iii) absolute and relative band positions, including ionization potentials and electron affinities at semiconductor surfaces, band offsets at heterointerfaces between dissimilar semiconductors, and Schottky barrier heights at metal–semiconductor interfaces, which are often discussed systematically using band alignment or lineup diagrams. These predictions from first principles have made it possible to elucidate the characteristics of semiconductors used in industry, including group III–V compounds such as GaN, GaP, and GaAs and their alloys with related Al and In compounds; amorphous oxides, represented by In–Ga–Zn–O transparent conductive oxides (TCOs), represented by In2O3, SnO2, and ZnO; and photovoltaic absorber and buffer layer materials such as CdTe and CdS among group II–VI compounds and chalcopyrite CuInSe2, CuGaSe2, and CuIn1‑ x Ga x Se2 (CIGS) alloys, in addition to the prototypical elemental semiconductors Si and Ge. Semiconductors attracting renewed or emerging interest have also been investigated, for instance, divalent tin compounds, including SnO and SnS; wurtzite-derived ternary compounds such as ZnSnN2 and Cu

  10. Process for production of a borohydride compound

    Science.gov (United States)

    Allen, Nathan Tait; Butterick, III, Robert; Chin, Arthur Achhing; Millar, Dean Michael; Molzahn, David Craig

    2014-08-19

    A process for production of a borohydride compound M(BH.sub.4).sub.y. The process has three steps. The first step combines a compound of formula (R.sup.1O).sub.yM with aluminum, hydrogen and a metallic catalyst containing at least one metal selected from the group consisting of titanium, zirconium, hafnium, niobium, vanadium, tantalum and iron to produce a compound of formula M(AlH.sub.3OR.sup.1).sub.y, wherein R.sup.1 is phenyl or phenyl substituted by at least one alkyl or alkoxy group; M is an alkali metal, Be or Mg; and y is one or two; wherein the catalyst is present at a level of at least 200 ppm based on weight of aluminum. The second step combines the compound of formula M(AlH.sub.3OR.sup.1).sub.y with a borate, boroxine or borazine compound to produce M(BH.sub.4).sub.y and a byproduct mixture containing alkali metal and aluminum aryloxides. The third step separates M(BH.sub.4).sub.y from the byproduct mixture.

  11. Basic processes and scintillator and semiconductor detectors

    International Nuclear Information System (INIS)

    Bourgeois, C.

    1994-01-01

    In the following course, the interaction of heavy charged particles, electrons and Γ with matter is represented. Two types of detectors are studied, organic and inorganic scintillators and semiconductors. The signal formation is analysed. (author). 13 refs., 48 figs., 5 tabs

  12. Roadmap on semiconductor-cell biointerfaces

    Science.gov (United States)

    Tian, Bozhi; Xu, Shuai; Rogers, John A.; Cestellos-Blanco, Stefano; Yang, Peidong; Carvalho-de-Souza, João L.; Bezanilla, Francisco; Liu, Jia; Bao, Zhenan; Hjort, Martin; Cao, Yuhong; Melosh, Nicholas; Lanzani, Guglielmo; Benfenati, Fabio; Galli, Giulia; Gygi, Francois; Kautz, Rylan; Gorodetsky, Alon A.; Kim, Samuel S.; Lu, Timothy K.; Anikeeva, Polina; Cifra, Michal; Krivosudský, Ondrej; Havelka, Daniel; Jiang, Yuanwen

    2018-05-01

    This roadmap outlines the role semiconductor-based materials play in understanding the complex biophysical dynamics at multiple length scales, as well as the design and implementation of next-generation electronic, optoelectronic, and mechanical devices for biointerfaces. The roadmap emphasizes the advantages of semiconductor building blocks in interfacing, monitoring, and manipulating the activity of biological components, and discusses the possibility of using active semiconductor-cell interfaces for discovering new signaling processes in the biological world.

  13. Quantum transport in semiconductor nanowires

    NARCIS (Netherlands)

    Van Dam, J.

    2006-01-01

    This thesis describes a series of experiments aimed at understanding the low-temperature electrical transport properties of semiconductor nanowires. The semiconductor nanowires (1-100 nm in diameter) are grown from nanoscale gold particles via a chemical process called vapor-liquid-solid (VLS)

  14. Ohmic metallization technology for wide band-gap semiconductors

    International Nuclear Information System (INIS)

    Iliadis, A.A.; Vispute, R.D.; Venkatesan, T.; Jones, K.A.

    2002-01-01

    Ohmic contact metallizations on p-type 6H-SiC and n-type ZnO using a novel approach of focused ion beam (FIB) surface-modification and direct-write metal deposition will be reviewed, and the properties of such focused ion beam assisted non-annealed contacts will be reported. The process uses a Ga focused ion beam to modify the surface of the semiconductor with different doses, and then introduces an organometallic compound in the Ga ion beam, to effect the direct-write deposition of a metal on the modified surface. Contact resistance measurements by the transmission line method produced values in the low 10 -4 Ω cm 2 range for surface-modified and direct-write Pt and W non-annealed contacts, and mid 10 -5 Ω cm 2 range for surface-modified and pulse laser deposited TiN contacts. An optimum Ga surface-modification dosage window is determined, within which the current transport mechanism of these contacts was found to proceed mainly by tunneling through the metal-modified-semiconductor interface layer

  15. Optical cavity furnace for semiconductor wafer processing

    Science.gov (United States)

    Sopori, Bhushan L.

    2014-08-05

    An optical cavity furnace 10 having multiple optical energy sources 12 associated with an optical cavity 18 of the furnace. The multiple optical energy sources 12 may be lamps or other devices suitable for producing an appropriate level of optical energy. The optical cavity furnace 10 may also include one or more reflectors 14 and one or more walls 16 associated with the optical energy sources 12 such that the reflectors 14 and walls 16 define the optical cavity 18. The walls 16 may have any desired configuration or shape to enhance operation of the furnace as an optical cavity 18. The optical energy sources 12 may be positioned at any location with respect to the reflectors 14 and walls defining the optical cavity. The optical cavity furnace 10 may further include a semiconductor wafer transport system 22 for transporting one or more semiconductor wafers 20 through the optical cavity.

  16. Blue emitting organic semiconductors under high pressure

    DEFF Research Database (Denmark)

    Knaapila, Matti; Guha, Suchismita

    2016-01-01

    This review describes essential optical and emerging structural experiments that use high GPa range hydrostatic pressure to probe physical phenomena in blue-emitting organic semiconductors including π-conjugated polyfluorene and related compounds. The work emphasizes molecular structure and inter......This review describes essential optical and emerging structural experiments that use high GPa range hydrostatic pressure to probe physical phenomena in blue-emitting organic semiconductors including π-conjugated polyfluorene and related compounds. The work emphasizes molecular structure...... and intermolecular self-organization that typically determine transport and optical emission in π-conjugated oligomers and polymers. In this context, hydrostatic pressure through diamond anvil cells has proven to be an elegant tool to control structure and interactions without chemical intervention. This has been...... and intermolecular interactions on optical excitations, electron–phonon interaction, and changes in backbone conformations. This picture is connected to the optical high pressure studies of other π-conjugated systems and emerging x-ray scattering experiments from polyfluorenes which provides a structure-property map...

  17. Electronic properties of semiconductor surfaces and metal/semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, M.

    2005-05-15

    This thesis reports investigations of the electronic properties of a semiconductor surface (silicon carbide), a reactive metal/semiconductor interface (manganese/silicon) and a non-reactive metal/semiconductor interface (aluminum-magnesium alloy/silicon). The (2 x 1) reconstruction of the 6H-SiC(0001) surface has been obtained by cleaving the sample along the (0001) direction. This reconstruction has not been observed up to now for this compound, and has been compared with those of similar elemental semiconductors of the fourth group of the periodic table. This comparison has been carried out by making use of photoemission spectroscopy, analyzing the core level shifts of both Si 2p and C 1s core levels in terms of charge transfer between atoms of both elements and in different chemical environments. From this comparison, a difference between the reconstruction on the Si-terminated and the C-terminated surface was established, due to the ionic nature of the Si-C bond. The growth of manganese films on Si(111) in the 1-5 ML thickness range has been studied by means of LEED, STM and photoemission spectroscopy. By the complementary use of these surface science techniques, two different phases have been observed for two thickness regimes (<1 ML and >1 ML), which exhibit a different electronic character. The two reconstructions, the (1 x 1)-phase and the ({radical}3 x {radical}3)R30 -phase, are due to silicide formation, as observed in core level spectroscopy. The growth proceeds via island formation in the monolayer regime, while the thicker films show flat layers interrupted by deep holes. On the basis of STM investigations, this growth mode has been attributed to strain due to lattice mismatch between the substrate and the silicide. Co-deposition of Al and Mg onto a Si(111) substrate at low temperature (100K) resulted in the formation of thin alloy films. By varying the relative content of both elements, the thin films exhibited different electronic properties

  18. Absolute instability of polaron mode in semiconductor magnetoplasma

    Science.gov (United States)

    Paliwal, Ayushi; Dubey, Swati; Ghosh, S.

    2018-01-01

    Using coupled mode theory under hydrodynamic regime, a compact dispersion relation is derived for polaron mode in semiconductor magnetoplasma. The propagation and amplification characteristics of the wave are explored in detail. The analysis deals with the behaviour of anomalous threshold and amplification derived from dispersion relation, as function of external parameters like doping concentration and applied magnetic field. The results of this investigation are hoped to be useful in understanding electron-longitudinal optical phonon interplay in polar n-type semiconductor plasmas under the influence of coupled collective cyclotron excitations. The best results in terms of smaller threshold and higher gain of polaron mode could be achieved by choosing moderate doping concentration in the medium at higher magnetic field. For numerical appreciation of the results, relevant data of III-V n-GaAs compound semiconductor at 77 K is used. Present study provides a qualitative picture of polaron mode in magnetized n-type polar semiconductor medium duly shined by a CO2 laser.

  19. Application of statistical methods (SPC) for an optimized control of the irradiation process of high-power semiconductors

    International Nuclear Information System (INIS)

    Mittendorfer, J.; Zwanziger, P.

    2000-01-01

    High-power bipolar semiconductor devices (thyristors and diodes) in a disc-type shape are key components (semiconductor switches) for high-power electronic systems. These systems are important for the economic design of energy transmission systems, i.e. high-power drive systems, static compensation and high-voltage DC transmission lines. In their factory located in Pretzfeld, Germany, the company, eupec GmbH+Co.KG (eupec), is producing disc-type devices with ceramic encapsulation in the high-end range for the world market. These elements have to fulfill special customer requirements and therefore deliver tailor-made trade-offs between their on-state voltage and dynamic switching behaviour. This task can be achieved by applying a dedicated electron irradiation on the semiconductor pellets, which tunes this trade-off. In this paper, the requirements to the irradiation company Mediscan GmbH, from the point of view of the semiconductor manufacturer, are described. The actual strategy for controlling the irradiation results to fulfill these requirements are presented, together with the choice of relevant parameters from the viewpoint of the irradiation company. The set of process parameters monitored, using statistical process control (SPC) techniques, includes beam current and energy, conveyor speed and irradiation geometry. The results are highlighted and show the successful co-operation in this business. Watching this process vice versa, an idea is presented and discussed to develop the possibilities of a highly sensitive dose detection device by using modified diodes, which could function as accurate yet cheap and easy-to-use detectors as routine dosimeters for irradiation institutes. (author)

  20. Semiconductor optical amplifier-based all-optical gates for high-speed optical processing

    DEFF Research Database (Denmark)

    Stubkjær, Kristian

    2000-01-01

    Semiconductor optical amplifiers are useful building blocks for all-optical gates as wavelength converters and OTDM demultiplexers. The paper reviews the progress from simple gates using cross-gain modulation and four-wave mixing to the integrated interferometric gates using cross-phase modulation....... These gates are very efficient for high-speed signal processing and open up interesting new areas, such as all-optical regeneration and high-speed all-optical logic functions...

  1. Digital approach to high-resolution pulse processing for semiconductor detectors

    International Nuclear Information System (INIS)

    Georgiev, A.; Buchner, A.; Gast, W.; Lieder, R.M.

    1992-01-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs

  2. Digital approach to high-resolution pulse processing for semiconductor detectors

    Energy Technology Data Exchange (ETDEWEB)

    Georgiev, A [Sofia Univ. (Bulgaria); Buchner, A [Forschungszentrum Rossendorf (Germany); Gast, W; Lieder, R M [Forschungszentrum Juelich GmbH (Germany). Inst. fuer Kernphysik; Stein, J [Target System Electronic GmbH, Solingen, (Germany)

    1992-08-01

    A new design philosophy for processing signals produced by high resolution, large volume semiconductor detectors is described. These detectors, to be used in the next generation of spectrometer arrays for nuclear research (i.e. EUROBALL, etc.), present a set of problems like resolution degradation due to charge trapping and ballistic defect effects, low resolution at a high count rate, poor long term stability, etc. To solve these problems, a new design approach has been developed, including reconstruction of the event charge, providing a pure triangular residual function, and suppressing low frequency noise. 5 refs., 4 figs.

  3. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  4. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  5. Synthesis of Perylene Imide Diones as Platforms for the Development of Pyrazine Based Organic Semiconductors.

    Science.gov (United States)

    de Echegaray, Paula; Mancheño, María J; Arrechea-Marcos, Iratxe; Juárez, Rafael; López-Espejo, Guzmán; López Navarrete, J Teodomiro; Ramos, María Mar; Seoane, Carlos; Ortiz, Rocío Ponce; Segura, José L

    2016-11-18

    There is a great interest in peryleneimide (PI)-containing compounds given their unique combination of good electron accepting ability, high abosorption in the visible region, and outstanding chemical, thermal, and photochemical stabilities. Thus, herein we report the synthesis of perylene imide derivatives endowed with a 1,2-diketone functionality (PIDs) as efficient intermediates to easily access peryleneimide (PI)-containing organic semiconductors with enhanced absorption cross-section for the design of tunable semiconductor organic materials. Three processable organic molecular semiconductors containing thiophene and terthiophene moieties, PITa, PITb, and PITT, have been prepared from the novel PIDs. The tendency of these semiconductors for molecular aggregation have been investigated by NMR spectroscopy and supported by quantum chemical calculations. 2D NMR experiments and theoretical calculations point to an antiparallel π-stacking interaction as the most stable conformation in the aggregates. Investigation of the optical and electrochemical properties of the materials is also reported and analyzed in combination with DFT calculations. Although the derivatives presented here show modest electron mobilities of ∼10 -4 cm 2 V -1 s -1 , these preliminary studies of their performance in organic field effect transistors (OFETs) indicate the potential of these new building blocks as n-type semiconductors.

  6. A new method for wafer quality monitoring using semiconductor process big data

    Science.gov (United States)

    Sohn, Younghoon; Lee, Hyun; Yang, Yusin; Jun, Chungsam

    2017-03-01

    In this paper we proposed a new semiconductor quality monitoring methodology - Process Sensor Log Analysis (PSLA) - using process sensor data for the detection of wafer defectivity and quality monitoring. We developed exclusive key parameter selection algorithm and user friendly system which is able to handle large amount of big data very effectively. Several production wafers were selected and analyzed based on the risk analysis of process driven defects, for example alignment quality of process layers. Thickness of spin-coated material can be measured using PSLA without conventional metrology process. In addition, chip yield impact was verified by matching key parameter changes with electrical die sort (EDS) fail maps at the end of the production step. From this work, we were able to determine that process robustness and product yields could be improved by monitoring the key factors in the process big data.

  7. Organic Donor-Acceptor Complexes as Novel Organic Semiconductors.

    Science.gov (United States)

    Zhang, Jing; Xu, Wei; Sheng, Peng; Zhao, Guangyao; Zhu, Daoben

    2017-07-18

    Organic donor-acceptor (DA) complexes have attracted wide attention in recent decades, resulting in the rapid development of organic binary system electronics. The design and synthesis of organic DA complexes with a variety of component structures have mainly focused on metallicity (or even superconductivity), emission, or ferroelectricity studies. Further efforts have been made in high-performance electronic investigations. The chemical versatility of organic semiconductors provides DA complexes with a great number of possibilities for semiconducting applications. Organic DA complexes extend the semiconductor family and promote charge separation and transport in organic field-effect transistors (OFETs) and organic photovoltaics (OPVs). In OFETs, the organic complex serves as an active layer across extraordinary charge pathways, ensuring the efficient transport of induced charges. Although an increasing number of organic semiconductors have been reported to exhibit good p- or n-type properties (mobilities higher than 1 or even 10 cm 2 V -1 s -1 ), critical scientific challenges remain in utilizing the advantages of existing semiconductor materials for more and wider applications while maintaining less complicated synthetic or device fabrication processes. DA complex materials have revealed new insight: their unique molecular packing and structure-property relationships. The combination of donors and acceptors could offer practical advantages compared with their unimolecular materials. First, growing crystals of DA complexes with densely packed structures will reduce impurities and traps from the self-assembly process. Second, complexes based on the original structural components could form superior mixture stacking, which can facilitate charge transport depending on the driving force in the coassembly process. Third, the effective use of organic semiconductors can lead to tunable band structures, allowing the operation mode (p- or n-type) of the transistor to be

  8. Controlling Molecular Doping in Organic Semiconductors.

    Science.gov (United States)

    Jacobs, Ian E; Moulé, Adam J

    2017-11-01

    The field of organic electronics thrives on the hope of enabling low-cost, solution-processed electronic devices with mechanical, optoelectronic, and chemical properties not available from inorganic semiconductors. A key to the success of these aspirations is the ability to controllably dope organic semiconductors with high spatial resolution. Here, recent progress in molecular doping of organic semiconductors is summarized, with an emphasis on solution-processed p-type doped polymeric semiconductors. Highlighted topics include how solution-processing techniques can control the distribution, diffusion, and density of dopants within the organic semiconductor, and, in turn, affect the electronic properties of the material. Research in these areas has recently intensified, thanks to advances in chemical synthesis, improved understanding of charged states in organic materials, and a focus on relating fabrication techniques to morphology. Significant disorder in these systems, along with complex interactions between doping and film morphology, is often responsible for charge trapping and low doping efficiency. However, the strong coupling between doping, solubility, and morphology can be harnessed to control crystallinity, create doping gradients, and pattern polymers. These breakthroughs suggest a role for molecular doping not only in device function but also in fabrication-applications beyond those directly analogous to inorganic doping. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  10. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  11. Electronic properties and phase transitions in low-dimensional semiconductors

    International Nuclear Information System (INIS)

    Panich, A M

    2008-01-01

    We present the first review of the current state of the literature on electronic properties and phase transitions in TlX and TlMX 2 (M = Ga, In; X = Se, S, Te) compounds. These chalcogenides belong to a family of the low-dimensional semiconductors possessing chain or layered structure. They are of significant interest because of their highly anisotropic properties, semi- and photoconductivity, nonlinear effects in their I-V characteristics (including a region of negative differential resistance), switching and memory effects, second harmonic optical generation, relaxor behavior and potential applications for optoelectronic devices. We review the crystal structure of TlX and TlMX 2 compounds, their transport properties under ambient conditions, experimental and theoretical studies of the electronic structure, transport properties and semiconductor-metal phase transitions under high pressure, and sequences of temperature-induced structural phase transitions with intermediate incommensurate states. The electronic nature of the ferroelectric phase transitions in the above-mentioned compounds, as well as relaxor behavior, nanodomains and possible occurrence of quantum dots in doped and irradiated crystals is discussed. (topical review)

  12. Ultrafast dynamics in semiconductor optical amplifiers and all-optical processing: Bulk versus quantum dot devices

    DEFF Research Database (Denmark)

    Mørk, Jesper; Berg, Tommy Winther; Magnúsdóttir, Ingibjörg

    2003-01-01

    We discuss the dynamical properties of semiconductor optical amplifiers and the importance for all-optical signal processing. In particular, the dynamics of quantum dot amplifiers is considered and it is suggested that these may be operated at very high bit-rates without significant patterning...

  13. Growth of Bulk Wide Bandgap Semiconductor Crystals and Their Potential Applications

    Science.gov (United States)

    Chen, Kuo-Tong; Shi, Detang; Morgan, S. H.; Collins, W. Eugene; Burger, Arnold

    1997-01-01

    Developments in bulk crystal growth research for electro-optical devices in the Center for Photonic Materials and Devices since its establishment have been reviewed. Purification processes and single crystal growth systems employing physical vapor transport and Bridgman methods were assembled and used to produce high purity and superior quality wide bandgap materials such as heavy metal halides and II-VI compound semiconductors. Comprehensive material characterization techniques have been employed to reveal the optical, electrical and thermodynamic properties of crystals, and the results were used to establish improved material processing procedures. Postgrowth treatments such as passivation, oxidation, chemical etching and metal contacting during the X-ray and gamma-ray device fabrication process have also been investigated and low noise threshold with improved energy resolution has been achieved.

  14. Semiconductor opto-electronics

    CERN Document Server

    Moss, TS; Ellis, B

    1972-01-01

    Semiconductor Opto-Electronics focuses on opto-electronics, covering the basic physical phenomena and device behavior that arise from the interaction between electromagnetic radiation and electrons in a solid. The first nine chapters of this book are devoted to theoretical topics, discussing the interaction of electromagnetic waves with solids, dispersion theory and absorption processes, magneto-optical effects, and non-linear phenomena. Theories of photo-effects and photo-detectors are treated in detail, including the theories of radiation generation and the behavior of semiconductor lasers a

  15. Introduction to semiconductor manufacturing technology

    CERN Document Server

    2012-01-01

    IC chip manufacturing processes, such as photolithography, etch, CVD, PVD, CMP, ion implantation, RTP, inspection, and metrology, are complex methods that draw upon many disciplines. [i]Introduction to Semiconductor Manufacturing Technologies, Second Edition[/i] thoroughly describes the complicated processes with minimal mathematics, chemistry, and physics; it covers advanced concepts while keeping the contents accessible to readers without advanced degrees. Designed as a textbook for college students, this book provides a realistic picture of the semiconductor industry and an in-depth discuss

  16. Modeling of semiconductor optical amplifiers

    DEFF Research Database (Denmark)

    Mørk, Jesper; Bischoff, Svend; Berg, Tommy Winther

    We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed.......We discuss the modelling of semiconductor optical amplifiers with emphasis on their high-speed properties. Applications in linear amplification as well as ultrafast optical signal processing are reviewed. Finally, the possible role of quantum-dot based optical amplifiers is discussed....

  17. Acceptors in II-IV Semiconductors - Incorporation and Complex Formation

    CERN Multimedia

    2002-01-01

    A strong effort is currently devoted to the investigation of defects and the electrical activation of dopant atoms in II-VI semiconductors. In particular, the knowledge about the behaviour of acceptors, prerequisite for the fabrication of p-type semiconductors, is rather limited. The perturbed $\\,{\\gamma\\gamma}$ -angular correlation technique (PAC) and the photoluminescence spectroscopy (PL) using the radioactive isotopes $^{77}\\!$Br and $^{111}\\!$Ag will be applied for investigating the behaviour of acceptor dopant atoms and their interactions with defects in II-VI semiconductors. The main topic will be the identification of the technical conditions for the incorporation of electrically active acceptors in the II-VI semiconductors ~ZnS, ZnSe, ZnTe, CdS, CdSe, and CdTe with particular emphasis on the compounds~ CdTe, ZnSe, and ZnTe. The investigations will be supplemented by first exploratory PL experiments with the group V acceptors $^{71}\\!$As and $^{121}\\!$Sb. With help of the probe $^{111}\\!$Ag, the pos...

  18. New antiferromagnetic semiconductor CuCr1.5Sb0.5S4

    International Nuclear Information System (INIS)

    Kesler, Ya.A.; Koroleva, L.I.; Mikheev, M.G.; Odintsov, A.G.; Filimonov, D.S.

    1993-01-01

    New halcogenide compound with spinel-antiferromagnetic semiconductor CuCr 1.5 Sb 0.5 S 4 are obtained and studied for the first time. Magnetic properties of this compound, namely, magnetization linear dependence, maximum on PHI(T) curve in the low-temperature area and realization of the Curie-Weis law for paramagnetic susceptibility with negative paramagnetic temperature testiby to the fact that this compound is antiferromagnetic

  19. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  20. Semiconductor X-ray detectors

    CERN Document Server

    Lowe, Barrie Glyn

    2014-01-01

    Identifying and measuring the elemental x-rays released when materials are examined with particles (electrons, protons, alpha particles, etc.) or photons (x-rays and gamma rays) is still considered to be the primary analytical technique for routine and non-destructive materials analysis. The Lithium Drifted Silicon (Si(Li)) X-Ray Detector, with its good resolution and peak to background, pioneered this type of analysis on electron microscopes, x-ray fluorescence instruments, and radioactive source- and accelerator-based excitation systems. Although rapid progress in Silicon Drift Detectors (SDDs), Charge Coupled Devices (CCDs), and Compound Semiconductor Detectors, including renewed interest in alternative materials such as CdZnTe and diamond, has made the Si(Li) X-Ray Detector nearly obsolete, the device serves as a useful benchmark and still is used in special instances where its large, sensitive depth is essential. Semiconductor X-Ray Detectors focuses on the history and development of Si(Li) X-Ray Detect...

  1. Controlled Growth of Ultrathin Film of Organic Semiconductors by Balancing the Competitive Processes in Dip-Coating for Organic Transistors.

    Science.gov (United States)

    Wu, Kunjie; Li, Hongwei; Li, Liqiang; Zhang, Suna; Chen, Xiaosong; Xu, Zeyang; Zhang, Xi; Hu, Wenping; Chi, Lifeng; Gao, Xike; Meng, Yancheng

    2016-06-28

    Ultrathin film with thickness below 15 nm of organic semiconductors provides excellent platform for some fundamental research and practical applications in the field of organic electronics. However, it is quite challenging to develop a general principle for the growth of uniform and continuous ultrathin film over large area. Dip-coating is a useful technique to prepare diverse structures of organic semiconductors, but the assembly of organic semiconductors in dip-coating is quite complicated, and there are no reports about the core rules for the growth of ultrathin film via dip-coating until now. In this work, we develop a general strategy for the growth of ultrathin film of organic semiconductor via dip-coating, which provides a relatively facile model to analyze the growth behavior. The balance between the three direct factors (nucleation rate, assembly rate, and recession rate) is the key to determine the growth of ultrathin film. Under the direction of this rule, ultrathin films of four organic semiconductors are obtained. The field-effect transistors constructed on the ultrathin film show good field-effect property. This work provides a general principle and systematic guideline to prepare ultrathin film of organic semiconductors via dip-coating, which would be highly meaningful for organic electronics as well as for the assembly of other materials via solution processes.

  2. Onset of itinerant ferromagnetism associated with semiconductor ...

    Indian Academy of Sciences (India)

    In this paper, the magnetic and transport properties of the TiNb1−CoSn solid solution compounds with half Heusler cubic MgAgAs-type structure have been studied. This work shows the onset of ferromagnetism associated with a semiconductor to metal transition. The transition occurs directly from ferromagnetic metal to ...

  3. Quantifying resistances across nanoscale low- and high-angle interspherulite boundaries in solution-processed organic semiconductor thin films.

    Science.gov (United States)

    Lee, Stephanie S; Mativetsky, Jeffrey M; Loth, Marsha A; Anthony, John E; Loo, Yueh-Lin

    2012-11-27

    The nanoscale boundaries formed when neighboring spherulites impinge in polycrystalline, solution-processed organic semiconductor thin films act as bottlenecks to charge transport, significantly reducing organic thin-film transistor mobility in devices comprising spherulitic thin films as the active layers. These interspherulite boundaries (ISBs) are structurally complex, with varying angles of molecular orientation mismatch along their lengths. We have successfully engineered exclusively low- and exclusively high-angle ISBs to elucidate how the angle of molecular orientation mismatch at ISBs affects their resistivities in triethylsilylethynyl anthradithiophene thin films. Conductive AFM and four-probe measurements reveal that current flow is unaffected by the presence of low-angle ISBs, whereas current flow is significantly disrupted across high-angle ISBs. In the latter case, we estimate the resistivity to be 22 MΩμm(2)/width of the ISB, only less than a quarter of the resistivity measured across low-angle grain boundaries in thermally evaporated sexithiophene thin films. This discrepancy in resistivities across ISBs in solution-processed organic semiconductor thin films and grain boundaries in thermally evaporated organic semiconductor thin films likely arises from inherent differences in the nature of film formation in the respective systems.

  4. Refractive index of ternary and quaternary compound semiconductors below the fundamental absorption edge: Linear and nonlinear effects

    International Nuclear Information System (INIS)

    Jensen, B.; Torabi, A.

    1985-01-01

    The index of refraction n is calculated as a function of frequency and mole fraction x for the following compounds: Hg/sub l-x/Cd/sub x/Te, Al/sub x/Ga/sub l-x/As, and In/sub l-x/Ga/sub x/As/sub y/P/sub l-y/ lattice matched to InP. Lattice matching of In/sub l-x/Ga/sub x/As/sub y/P/sub l-y/ to InP requires that x = 0.466 y. The theoretical result for the refractive index is obtained from a quantum mechanical calculation of the dielectric constant of a compound semiconductor. It is given in terms of the basic material parameters of band gap energy, effective electron mass m/sub n/, effective heavy hole mass m/sub rho/, spin orbit splitting energy, lattice constant, and carrier concentration n/sub e/ or rho for n-type or rho-type materials, respectively. If these quantities are known as functions of mole fraction x, there are no adjustable parameters involved. A negative change in the refractive index near the fundamental absorption edge is predicted on passing radiation through a crystal if the change in carrier concentration of the initially unoccupied conduction band is assumed proportional to internal intensity I. Comparison of theory with experimental data is given

  5. Some physical properties of GaX (X=P, As and Sb) semiconductor compounds using higher-order perturbation theory

    International Nuclear Information System (INIS)

    Jivani, A.R.; Trivedi, H.J.; Gajjar, P.N.; Jani, A.R.

    2005-01-01

    Recently proposed model potential for describing the electron-ion interaction is employed to calculate total energy, energy band gap at Jones-zone face at X, equation of state and bulk modulus of GaP, GaAs and GaSb compounds using higher-order perturbation theory. The covalent correction term corresponding to third- and fourth-order perturbation energy terms are used to take account of covalent bonding effect in such semiconductors. The significant value of the covalent bonding term shows the essentiality of higher-order correction for zincblende-type crystals. We have employed five different screening functions along with the latest screening function proposed by Sarkar et al. in the present work. The numerical results for the total energy, energy band gap at Jones-zone face and bulk modulus of these compounds are in good agreement with the experimental data and found better than other such theoretical findings. The pressure and bulk modulus at different volumes are obtained by using such higher-order perturbation theory with the application of our model potential. The pressure obtained by this method is compared with pressure obtained by equations proposed by Murnarghan and Vinet et al. The present study also shows that the incorporation of different screening functions generates distinct effects

  6. Alternative Forms of Compound Fractional Poisson Processes

    Directory of Open Access Journals (Sweden)

    Luisa Beghin

    2012-01-01

    Full Text Available We study here different fractional versions of the compound Poisson process. The fractionality is introduced in the counting process representing the number of jumps as well as in the density of the jumps themselves. The corresponding distributions are obtained explicitly and proved to be solution of fractional equations of order less than one. Only in the final case treated in this paper, where the number of jumps is given by the fractional-difference Poisson process defined in Orsingher and Polito (2012, we have a fractional driving equation, with respect to the time argument, with order greater than one. Moreover, in this case, the compound Poisson process is Markovian and this is also true for the corresponding limiting process. All the processes considered here are proved to be compositions of continuous time random walks with stable processes (or inverse stable subordinators. These subordinating relationships hold, not only in the limit, but also in the finite domain. In some cases the densities satisfy master equations which are the fractional analogues of the well-known Kolmogorov one.

  7. Optimal design of advanced distillation configuration for enhanced energy efficiency of waste solvent recovery process in semiconductor industry

    International Nuclear Information System (INIS)

    Chaniago, Yus Donald; Minh, Le Quang; Khan, Mohd Shariq; Koo, Kee-Kahb; Bahadori, Alireza; Lee, Moonyong

    2015-01-01

    Highlights: • Thermally coupled distillation process is proposed for waste solvent recovery. • A systematic optimization procedure is used to optimize distillation columns. • Response surface methodology is applied to optimal design of distillation column. • Proposed advanced distillation allows energy efficient waste solvent recovery. - Abstract: The semiconductor industry is one of the largest industries in the world. On the other hand, the huge amount of solvent used in the industry results in high production cost and potential environmental damage because most of the valuable chemicals discharged from the process are incinerated at high temperatures. A distillation process is used to recover waste solvent, reduce the production-related costs and protect the environment from the semiconductor industrial waste. Therefore, in this study, a distillation process was used to recover the valuable chemicals from semiconductor industry discharge, which otherwise would have been lost to the environment. The conventional sequence of distillation columns, which was optimized using the Box and sequential quadratic programming method for minimum energy objectives, was used. The energy demands of a distillation problem may have a substantial influence on the profitability of a process. A thermally coupled distillation and heat pump-assisted distillation sequence was implemented to further improve the distillation performance. Finally, a comparison was made between the conventional and advanced distillation sequences, and the optimal conditions for enhancing recovery were determined. The proposed advanced distillation configuration achieved a significant energy saving of 40.5% compared to the conventional column sequence

  8. An apparatus and process for forming P-N junction semiconductor units

    International Nuclear Information System (INIS)

    1975-01-01

    It is stated that although many methods of ion implantation have been developed it seems that the method of 'hot implantation' is still in its infancy. In this method the target is preheated in an ion implantor during implantation of ions, leading to radiation enhanced diffusion. The apparatus described comprises the following: (i) a bell jar evacuated to -3 Torr containing four electrodes arranged in two pairs, one electrode of the first pair being in the form of a mesh; (ii) a source of high pulsating direct voltage connected to the first pair of electrodes, with the mesh electrode negatively poled, to ionise the rarified air in the bell jar and accelerate the resulting positive N and O ions; (iii) an RF voltage source connected to the other pair of electrodes to facilitate the ionisation; (iv) a dopant semiconductor body, heated by a wire wound heater, placed underneath the mesh electrode so that the accelerated ions bombard the dopant layer through the mesh electrode and implant dopant atoms in the semiconductor body. The distance between the mesh electrode and the surface of the dopant-coated semiconductive body, should be about 5mm. The mesh electrode consists of a sputtering-resistant refractory metal, and includes a cooling system. The dopant-coated semiconductive body is placed on a ceramic plate in the bell jar, and the power supply line of the heater is insulated from the voltage applied to the negative electrode, which is earthed, by using an insulated heater transformer combined with an autotransformer. The ceramic plate is attached to a plate on which the heater is wound, and the temperature of the heating should be variable between 400 0 and 500 0 C. A process for forming P-N junction semiconductor units using this apparatus is described. (U.K.)

  9. Multivariate fractional Poisson processes and compound sums

    OpenAIRE

    Beghin, Luisa; Macci, Claudio

    2015-01-01

    In this paper we present multivariate space-time fractional Poisson processes by considering common random time-changes of a (finite-dimensional) vector of independent classical (non-fractional) Poisson processes. In some cases we also consider compound processes. We obtain some equations in terms of some suitable fractional derivatives and fractional difference operators, which provides the extension of known equations for the univariate processes.

  10. Photoelectrochemical processes in organic semiconductor: Ambipolar perylene diimide thin film

    Science.gov (United States)

    Kim, Jung Yong; Chung, In Jae

    2018-03-01

    A thin film of N,N‧-dioctadecyl-3,4,9,10-perylene tetracarboxylic diimide (PTCDI-C18) is spin-coated on indium tin oxide (ITO) glass. Using the PTCDI-C18/ITO electrode, we fabricate a photoelectrochemical cell with the ITO/PTCDI-C18/Redox Electrolyte/Pt configuration. The electrochemical properties of this device are investigated as a function of hydroquinone (HQ) concentration, bias voltage, and wavelength of light. Anodic photocurrent is observed at V ≥ -0.2 V vs. Ag/AgCl, indicating that the PTCDI-C18 film acts as an n-type semiconductor as usual. However, when benzoquinone (BQ) is inserted into the electrolyte system instead of HQ, cathodic photocurrent is observed at V ≤ 0.0 V, displaying that PTCDI-C18 abnormally serves as a p-type semiconductor. Hence the overall results reveal that the PTCDI-C18 film can be an ambipolar functional semiconductor depending on the redox couple in the appropriate voltage.

  11. Resin bleed improvement on surface mount semiconductor device

    Science.gov (United States)

    Rajoo, Indra Kumar; Tahir, Suraya Mohd; Aziz, Faieza Abdul; Shamsul Anuar, Mohd

    2018-04-01

    Resin bleed is a transparent layer of epoxy compound which occurs during molding process but is difficult to be detected after the molding process. Resin bleed on the lead on the unit from the focused package, SOD123, can cause solderability failure at end customer. This failed unit from the customer will be considered as a customer complaint. Generally, the semiconductor company has to perform visual inspection after the plating process to detect resin bleed. Mold chase with excess hole, split cavity & stepped design ejector pin hole have been found to be the major root cause of resin bleed in this company. The modifications of the mold chase, changing of split cavity to solid cavity and re-design of the ejector pin proposed were derived after a detailed study & analysis conducted to arrive at these solutions. The solutions proposed have yield good results during the pilot run with zero (0) occurrence of resin bleed for 3 consecutive months.

  12. Doping Polymer Semiconductors by Organic Salts: Toward High-Performance Solution-Processed Organic Field-Effect Transistors.

    Science.gov (United States)

    Hu, Yuanyuan; Rengert, Zachary D; McDowell, Caitlin; Ford, Michael J; Wang, Ming; Karki, Akchheta; Lill, Alexander T; Bazan, Guillermo C; Nguyen, Thuc-Quyen

    2018-04-24

    Solution-processed organic field-effect transistors (OFETs) were fabricated with the addition of an organic salt, trityl tetrakis(pentafluorophenyl)borate (TrTPFB), into thin films of donor-acceptor copolymer semiconductors. The performance of OFETs is significantly enhanced after the organic salt is incorporated. TrTPFB is confirmed to p-dope the organic semiconductors used in this study, and the doping efficiency as well as doping physics was investigated. In addition, systematic electrical and structural characterizations reveal how the doping enhances the performance of OFETs. Furthermore, it is shown that this organic salt doping method is feasible for both p- and n-doping by using different organic salts and, thus, can be utilized to achieve high-performance OFETs and organic complementary circuits.

  13. Reduction of Charge Traps and Stability Enhancement in Solution-Processed Organic Field-Effect Transistors Based on a Blended n-Type Semiconductor.

    Science.gov (United States)

    Campos, Antonio; Riera-Galindo, Sergi; Puigdollers, Joaquim; Mas-Torrent, Marta

    2018-05-09

    Solution-processed n-type organic field-effect transistors (OFETs) are essential elements for developing large-area, low-cost, and all organic logic/complementary circuits. Nonetheless, the development of air-stable n-type organic semiconductors (OSCs) lags behind their p-type counterparts. The trapping of electrons at the semiconductor-dielectric interface leads to a lower performance and operational stability. Herein, we report printed small-molecule n-type OFETs based on a blend with a binder polymer, which enhances the device stability due to the improvement of the semiconductor-dielectric interface quality and a self-encapsulation. Both combined effects prevent the fast deterioration of the OSC. Additionally, a complementary metal-oxide semiconductor-like inverter is fabricated depositing p-type and n-type OSCs simultaneously.

  14. Self-consistent method for quantifying indium content from X-ray spectra of thick compound semiconductor specimens in a transmission electron microscope.

    Science.gov (United States)

    Walther, T; Wang, X

    2016-05-01

    Based on Monte Carlo simulations of X-ray generation by fast electrons we calculate curves of effective sensitivity factors for analytical transmission electron microscopy based energy-dispersive X-ray spectroscopy including absorption and fluorescence effects, as a function of Ga K/L ratio for different indium and gallium containing compound semiconductors. For the case of InGaN alloy thin films we show that experimental spectra can thus be quantified without the need to measure specimen thickness or density, yielding self-consistent values for quantification with Ga K and Ga L lines. The effect of uncertainties in the detector efficiency are also shown to be reduced. © 2015 The Authors Journal of Microscopy © 2015 Royal Microscopical Society.

  15. Development and application of nuclear radiation detector made from high resistivity silicon and compound semiconductor

    International Nuclear Information System (INIS)

    Ding Honglin; Zhang Xiufeng; Zhang Wanchang; Li Jiang

    1995-11-01

    The development of high resistivity silicon detectors and compound semiconductor detectors as well as their application in nuclear medicine are described. It emphasizes on several key techniques in fabricating detectors in order to meet their application in nuclear medicine. As for a high resistivity silicon detector, its counting rate to 125 I 28.5 keV X-ray has to be improved. So employing a conic mesa structure can increase the thickness of samples, and can raise the electric field of collecting charges under the same bias voltage. As for a GaAs detector, its performance of collecting charges has to be improved. So the thicknesses of GaAs samples are decreased and proper thermal treatment to make Ni-Ge-Au ohmic contacts are employed. Applying a suitable reverse bias voltage can obtain a fully depleted detector, and can obtain a lower forward turn-on voltage and a thinner weak electric field region. After resolving these key techniques, the performance of GaAs detectors has been distinctly improved. The count rate to 125 I X-ray has increased by three or five times under the same testing condition and background circumstance (2 refs., 8 figs., 3 tabs.)

  16. Insight on a novel layered semiconductors: CuTlS and CuTlSe

    Energy Technology Data Exchange (ETDEWEB)

    Aliev, Ziya S., E-mail: ziyasaliev@gmail.com [Institute of Catalysis and Inorganic Chemistry, ANAS, H.Javid ave. 113, AZ1143 Baku (Azerbaijan); Institute of Physics, ANAS, H.Javid ave. 131, AZ1143 Baku (Azerbaijan); Donostia International Physics Center (DIPC), 20080 San Sebastian (Spain); Zúñiga, Fco. Javier [Departamento de Física de la Materia Condensada, Facultad de Ciencia y Tecnología, Universidad del País Vasco, Apdo. 644, 48080 Bilbao (Spain); Koroteev, Yury M. [Institute of Strength Physics and Materials Science, Russian Academy of Sciences, Siberian Branch, 634055 Tomsk (Russian Federation); Tomsk State University, Tomsk, 634050 (Russian Federation); Breczewski, Tomasz [Departamento de Física de la Materia Condensada, Facultad de Ciencia y Tecnología, Universidad del País Vasco, Apdo. 644, 48080 Bilbao (Spain); Babanly, Nizamaddin B. [Institute of Catalysis and Inorganic Chemistry, ANAS, H.Javid ave. 113, AZ1143 Baku (Azerbaijan); Amiraslanov, Imamaddin R. [Institute of Physics, ANAS, H.Javid ave. 131, AZ1143 Baku (Azerbaijan); Politano, Antonio [Department of Physics, University of Calabria, 87036 Rende (CS) (Italy); Madariaga, Gotzon [Departamento de Física de la Materia Condensada, Facultad de Ciencia y Tecnología, Universidad del País Vasco, Apdo. 644, 48080 Bilbao (Spain); Babanly, Mahammad B. [Institute of Catalysis and Inorganic Chemistry, ANAS, H.Javid ave. 113, AZ1143 Baku (Azerbaijan); and others

    2016-10-15

    Single crystals of the ternary copper compounds CuTlS and CuTlSe have been successfully grown from stoichiometric melt by using vertical Bridgman-Stockbarger method. The crystal structure of the both compounds has been determined by powder and single crystal X-Ray diffraction. They crystallize in the PbFCl structure type with two formula units in the tetragonal system, space group P4/nmm, a=3.922(2); c=8.123(6); Z=2 and a=4.087(6); c=8.195(19) Å; Z=2, respectively. The band structure of the reported compounds has been analyzed by means of full-potential linearized augmented plane-wave (FLAPW) method based on the density functional theory (DFT). Both compounds have similar band structures and are narrow-gap semiconductors with indirect band gap. The resistivity measurements agree with a semiconductor behavior although anomalies are observed at low temperature. - Graphical abstract: The crystal structures of CuTl and CuTlSe are isostructural with the PbFCl-type and the superconductor LiFeAs-type tetragonal structure. The band structure calculations confirmed that they are narrow-gap semiconductors with indirect band gaps of 0.326 and 0.083 eV. The resistivity measurements, although confirming the semiconducting behavior of both compounds exhibit unusual anomalies at low temperatures. - Highlights: • Single crystals of CuTlS and CuTlSe have been successfully grown by Bridgman-Stockbarger method. • The crystal structure of the both compounds has been determined by single crystal XRD. • The band structure of the both compounds has been analyzed based on the density functional theory (DFT). • The resistivity measurements have been carried out from room temperature down to 10 K.

  17. Large area SiC coating technology of RBSC for semiconductor processing component

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described

  18. Large area SiC coating technology of RBSC for semiconductor processing component

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji Yeon; Kim, Weon Ju

    2001-06-01

    As the semiconductor process is developed for the larger area wafer and the larger-scale integration, the processing fixtures are required to have excellent mechanical and high temperature properties. This highlights the importance of silicon carbide-based materials as a substitute for quartz-based susceptors. In this study, SiC coating technology on reaction sintered (RS) SiC with thickness variation of +/- 10% within a diameter of 8 inch by low pressure chemical vapor deposition has been developed for making a plate type SiC fixture such as heater, baffle, etc., with a diameter of 12 inch. Additionally, a state of art on fabrication technology and products of the current commercial SiC fixtures has been described.

  19. Suppressing molecular vibrations in organic semiconductors by inducing strain.

    Science.gov (United States)

    Kubo, Takayoshi; Häusermann, Roger; Tsurumi, Junto; Soeda, Junshi; Okada, Yugo; Yamashita, Yu; Akamatsu, Norihisa; Shishido, Atsushi; Mitsui, Chikahiko; Okamoto, Toshihiro; Yanagisawa, Susumu; Matsui, Hiroyuki; Takeya, Jun

    2016-04-04

    Organic molecular semiconductors are solution processable, enabling the growth of large-area single-crystal semiconductors. Improving the performance of organic semiconductor devices by increasing the charge mobility is an ongoing quest, which calls for novel molecular and material design, and improved processing conditions. Here we show a method to increase the charge mobility in organic single-crystal field-effect transistors, by taking advantage of the inherent softness of organic semiconductors. We compress the crystal lattice uniaxially by bending the flexible devices, leading to an improved charge transport. The mobility increases from 9.7 to 16.5 cm(2) V(-1) s(-1) by 70% under 3% strain. In-depth analysis indicates that compressing the crystal structure directly restricts the vibration of the molecules, thus suppresses dynamic disorder, a unique mechanism in organic semiconductors. Since strain can be easily induced during the fabrication process, we expect our method to be exploited to build high-performance organic devices.

  20. On statistical analysis of compound point process

    Czech Academy of Sciences Publication Activity Database

    Volf, Petr

    2006-01-01

    Roč. 35, 2-3 (2006), s. 389-396 ISSN 1026-597X R&D Projects: GA ČR(CZ) GA402/04/1294 Institutional research plan: CEZ:AV0Z10750506 Keywords : counting process * compound process * hazard function * Cox -model Subject RIV: BB - Applied Statistics, Operational Research

  1. The influence of pressure on the birefringence in semiconductor compounds ZnS, CuGaS2, and InPS4

    International Nuclear Information System (INIS)

    Lavrentyev, A.A.; Gabrelian, B.V.; Kulagin, B.B.; Nikiforov, I.Ya.; Sobolev, V.V.

    2007-01-01

    Using the modified method of augmented plane waves and the code WIEN2k the calculations of the electron band structure, densities of electron states, and imaginary part of dielectric response function were carried out for different polarization of the vector of electrical field ε xx and ε zz for the semiconductor compounds ZnS, CuGaS 2 , and InPS 4 . The calculations were performed both for undisturbed crystals and for distorted crystals due to the applied pressure. The compounds studied have the similar crystallographic structures: ZnS - sphalerite, CuGaS 2 - chalcopyrite, and InPS 4 - twice defective chalcopyrite. It is known, that in cubic ZnS there is no birefringence, whereas in CuGaS 2 and InPS 4 there is one. But CuGaS 2 has so called isotropic point (where ε xx =ε zz ) in the visible optical range, and InPS 4 has no such point. Our calculations of ε xx and ε zz have shown that in ZnS under the pressure the isotropic points arise, but in InPS 4 they do not exist. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Structural and elastic properties of AIBIIIC 2 VI semiconductors

    Science.gov (United States)

    Kumar, V.; Singh, Bhanu P.

    2018-01-01

    The plane wave pseudo-potential method within density functional theory has been used to calculate the structural and elastic properties of AIBIIIC 2 VI semiconductors. The electronic band structure, density of states, lattice constants (a and c), internal parameter (u), tetragonal distortion (η), energy gap (Eg), and bond lengths of the A-C (dAC) and B-C (dBC) bonds in AIBIIIC 2 VI semiconductors have been calculated. The values of elastic constants (Cij), bulk modulus (B), shear modulus (G), Young's modulus (Y), Poisson's ratio (υ), Zener anisotropy factor (A), Debye temperature (ϴD) and G/B ratio have also been calculated. The values of all 15 parameters of CuTlS2 and CuTlSe2 compounds, and 8 parameters of 20 compounds of AIBIIIC 2 VI family, except AgInS2 and AgInSe2, have been calculated for the first time. Reasonably good agreement has been obtained between the calculated, reported and available experimental values.

  3. Cascaded processing in written compound word production

    Directory of Open Access Journals (Sweden)

    Raymond eBertram

    2015-04-01

    Full Text Available In this study we investigated the intricate interplay between central linguistic processing and peripheral motor processes during typewriting. Participants had to typewrite two-constituent (noun-noun Finnish compounds in response to picture presentation while their typing behavior was registered. As dependent measures we used writing onset time to assess what processes were completed before writing and inter-key intervals to assess what processes were going on during writing. It was found that writing onset time was determined by whole word frequency rather than constituent frequencies, indicating that compound words are retrieved as whole orthographic units before writing is initiated. In addition, we found that the length of the first syllable also affects writing onset time, indicating that the first syllable is fully prepared before writing commences. The inter-key interval results showed that linguistic planning is not fully ready before writing, but cascades into the motor execution phase. More specifically, inter-key intervals were largest at syllable and morpheme boundaries, supporting the view that additional linguistic planning takes place at these boundaries. Bigram and trigram frequency also affected inter-key intervals with shorter intervals corresponding to higher frequencies. This can be explained by stronger memory traces for frequently co-occurring letter sequences in the motor memory for typewriting. These frequency effects were even larger in the second than in the first constituent, indicating that low-level motor memory starts to become more important during the course of writing compound words. We discuss our results in the light of current models of morphological processing and written word production.

  4. Cascaded processing in written compound word production.

    Science.gov (United States)

    Bertram, Raymond; Tønnessen, Finn Egil; Strömqvist, Sven; Hyönä, Jukka; Niemi, Pekka

    2015-01-01

    In this study we investigated the intricate interplay between central linguistic processing and peripheral motor processes during typewriting. Participants had to typewrite two-constituent (noun-noun) Finnish compounds in response to picture presentation while their typing behavior was registered. As dependent measures we used writing onset time to assess what processes were completed before writing and inter-key intervals to assess what processes were going on during writing. It was found that writing onset time was determined by whole word frequency rather than constituent frequencies, indicating that compound words are retrieved as whole orthographic units before writing is initiated. In addition, we found that the length of the first syllable also affects writing onset time, indicating that the first syllable is fully prepared before writing commences. The inter-key interval results showed that linguistic planning is not fully ready before writing, but cascades into the motor execution phase. More specifically, inter-key intervals were largest at syllable and morpheme boundaries, supporting the view that additional linguistic planning takes place at these boundaries. Bigram and trigram frequency also affected inter-key intervals with shorter intervals corresponding to higher frequencies. This can be explained by stronger memory traces for frequently co-occurring letter sequences in the motor memory for typewriting. These frequency effects were even larger in the second than in the first constituent, indicating that low-level motor memory starts to become more important during the course of writing compound words. We discuss our results in the light of current models of morphological processing and written word production.

  5. Semiconductor Nanocrystals for Biological Imaging

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Aihua; Gu, Weiwei; Larabell, Carolyn; Alivisatos, A. Paul

    2005-06-28

    Conventional organic fluorophores suffer from poor photo stability, narrow absorption spectra and broad emission feature. Semiconductor nanocrystals, on the other hand, are highly photo-stable with broad absorption spectra and narrow size-tunable emission spectra. Recent advances in the synthesis of these materials have resulted in bright, sensitive, extremely photo-stable and biocompatible semiconductor fluorophores. Commercial availability facilitates their application in a variety of unprecedented biological experiments, including multiplexed cellular imaging, long-term in vitro and in vivo labeling, deep tissue structure mapping and single particle investigation of dynamic cellular processes. Semiconductor nanocrystals are one of the first examples of nanotechnology enabling a new class of biomedical applications.

  6. Architectures for Improved Organic Semiconductor Devices

    Science.gov (United States)

    Beck, Jonathan H.

    Advancements in the microelectronics industry have brought increasing performance and decreasing prices to a wide range of users. Conventional silicon-based electronics have followed Moore's law to provide an ever-increasing integrated circuit transistor density, which drives processing power, solid-state memory density, and sensor technologies. As shrinking conventional integrated circuits became more challenging, researchers began exploring electronics with the potential to penetrate new applications with a low price of entry: "Electronics everywhere." The new generation of electronics is thin, light, flexible, and inexpensive. Organic electronics are part of the new generation of thin-film electronics, relying on the synthetic flexibility of carbon molecules to create organic semiconductors, absorbers, and emitters which perform useful tasks. Organic electronics can be fabricated with low energy input on a variety of novel substrates, including inexpensive plastic sheets. The potential ease of synthesis and fabrication of organic-based devices means that organic electronics can be made at very low cost. Successfully demonstrated organic semiconductor devices include photovoltaics, photodetectors, transistors, and light emitting diodes. Several challenges that face organic semiconductor devices are low performance relative to conventional devices, long-term device stability, and development of new organic-compatible processes and materials. While the absorption and emission performance of organic materials in photovoltaics and light emitting diodes is extraordinarily high for thin films, the charge conduction mobilities are generally low. Building highly efficient devices with low-mobility materials is one challenge. Many organic semiconductor films are unstable during fabrication, storage, and operation due to reactions with water, oxygen and hydroxide. A final challenge facing organic electronics is the need for new processes and materials for electrodes

  7. Semiconductor apparatus and method of fabrication for a semiconductor apparatus

    NARCIS (Netherlands)

    2010-01-01

    The invention relates to a semiconductor apparatus (1) and a method of fabrication for a semiconductor apparatus (1), wherein the semiconductor apparatus (1) comprises a semiconductor layer (2) and a passivation layer (3), arranged on a surface of the semiconductor layer (2), for passivating the

  8. [Exposure to metal compounds in occupational galvanic processes].

    Science.gov (United States)

    Surgiewicz, Jolanta; Domański, Wojciech

    2006-01-01

    Occupational galvanic processes are provided in more than 600 small and medium enterprises in Poland. Workers who deal with galvanic coating are exposed to heavy metal compounds: tin, silver, copper and zinc. Some of them are carcinogenic, for example, hexavalent chromium compounds, nickel and cadmium compounds. Research covered several tens of workstations involved in chrome, nickel, zinc, tin, silver, copper and cadmium plating. Compounds of metals present in the air were determined: Cr, Ni, Cd, Sn, Ag--by atomic absorption spectrometry with electrothermal atomization (ET-AAS) and Zn--by atomic absorption spectrometry with flame atomization (F-AAS). The biggest metal concentrations--of silver and copper--were found at workstations of copper, brass, cadmium, nickel and chrome plating, conducted at the same time. Significant concentrations of copper were found at workstations of maintenance bathing and neutralizing of sewage. The concentrations of metals did not exceed Polish MAC values. MAC values were not exceeded for carcinogenic chromium(VI), nickel or cadmium, either. In galvanic processes there was no hazard related to single metals or their compounds, even carcinogenic ones. Combined exposure indicators for metals at each workstation did not exceed 1, either. However, if there are even small quantities of carcinogenic agents, health results should always be taken into consideration.

  9. Spatially resolvable optical emission spectrometer for analyzing density uniformity of semiconductor process plasma

    International Nuclear Information System (INIS)

    Oh, Changhoon; Ryoo, Hoonchul; Lee, Hyungwoo; Hahn, Jae W.; Kim, Se-Yeon; Yi, Hun-Jung

    2010-01-01

    We proposed a spatially resolved optical emission spectrometer (SROES) for analyzing the uniformity of plasma density for semiconductor processes. To enhance the spatial resolution of the SROES, we constructed a SROES system using a series of lenses, apertures, and pinholes. We calculated the spatial resolution of the SROES for the variation of pinhole size, and our calculated results were in good agreement with the measured spatial variation of the constructed SROES. The performance of the SROES was also verified by detecting the correlation between the distribution of a fluorine radical in inductively coupled plasma etch process and the etch rate of a SiO 2 film on a silicon wafer.

  10. Wave mechanics applied to semiconductor heterostructures

    International Nuclear Information System (INIS)

    Bastard, G.

    1990-01-01

    This book examines the basic electronic and optical properties of two dimensional semiconductor heterostructures based on III-V and II-VI compounds. The book explores various consequences of one-dimensional size-quantization on the most basic physical properties of heterolayers. Beginning with basic quantum mechanical properties of idealized quantum wells and superlattices, the book discusses the occurrence of bound states when the heterostructure is imperfect or when it is shone with near bandgap light

  11. Quantum optics with semiconductor nanostructures

    CERN Document Server

    Jahnke, Frank

    2012-01-01

    A guide to the theory, application and potential of semiconductor nanostructures in the exploration of quantum optics. It offers an overview of resonance fluorescence emission.$bAn understanding of the interaction between light and matter on a quantum level is of fundamental interest and has many applications in optical technologies. The quantum nature of the interaction has recently attracted great attention for applications of semiconductor nanostructures in quantum information processing. Quantum optics with semiconductor nanostructures is a key guide to the theory, experimental realisation, and future potential of semiconductor nanostructures in the exploration of quantum optics. Part one provides a comprehensive overview of single quantum dot systems, beginning with a look at resonance fluorescence emission. Quantum optics with single quantum dots in photonic crystal and micro cavities are explored in detail, before part two goes on to review nanolasers with quantum dot emitters. Light-matter interaction...

  12. Rare earth-based quaternary Heusler compounds MCoVZ (M = Lu, Y; Z = Si, Ge with tunable band characteristics for potential spintronic applications

    Directory of Open Access Journals (Sweden)

    Xiaotian Wang

    2017-11-01

    Full Text Available Magnetic Heusler compounds (MHCs have recently attracted great attention since these types of material provide novel functionalities in spintronic and magneto-electronic devices. Among the MHCs, some compounds have been predicted to be spin-filter semiconductors [also called magnetic semiconductors (MSs], spin-gapless semiconductors (SGSs or half-metals (HMs. In this work, by means of first-principles calculations, it is demonstrated that rare earth-based equiatomic quaternary Heusler (EQH compounds with the formula MCoVZ (M = Lu, Y; Z = Si, Ge are new spin-filter semiconductors with total magnetic moments of 3 µB. Furthermore, under uniform strain, there are physical transitions from spin-filter semiconductor (MS → SGS → HM for EQH compounds with the formula LuCoVZ, and from HM → SGS → MS → SGS → HM for EQH compounds with the formula YCoVZ. Remarkably, for YCoVZ EQH compounds there are not only diverse physical transitions, but also different types of spin-gapless feature that can be observed with changing lattice constants. The structural stability of these four EQH compounds is also examined from the points of view of formation energy, cohesive energy and mechanical behaviour. This work is likely to inspire consideration of rare earth-based EQH compounds for application in future spintronic and magneto-electronic devices.

  13. Impact of process temperature on GaSb metal-oxide-semiconductor interface properties fabricated by ex-situ process

    Energy Technology Data Exchange (ETDEWEB)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); JST-CREST, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Asakura, Yuji [Department of Electrical Engineering and Information Systems, The University of Tokyo, Yayoi 2-11-16, Bunkyo, Tokyo 113-0032 (Japan); Yokoyama, Haruki [NTT Photonics Laboratories, NTT Corporation, Atsugi 243-0198 (Japan)

    2014-06-30

    We have studied the impact of process temperature on interface properties of GaSb metal-oxide-semiconductor (MOS) structures fabricated by an ex-situ atomic-layer-deposition (ALD) process. We have found that the ALD temperature strongly affects the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The Al{sub 2}O{sub 3}/GaSb MOS interfaces fabricated at the low ALD temperature of 150 °C have the minimum interface-trap density (D{sub it}) of ∼4.5 × 10{sup 13 }cm{sup −2} eV{sup −1}. We have also found that the post-metalization annealing at temperature higher than 200 °C degrades the Al{sub 2}O{sub 3}/GaSb MOS interface properties. The low-temperature process is preferable in fabricating GaSb MOS interfaces in the ex-situ ALD process to avoid the high-temperature-induced degradations.

  14. Experience with compound words influences their processing: An eye movement investigation with English compound words.

    Science.gov (United States)

    Juhasz, Barbara J

    2016-11-14

    Recording eye movements provides information on the time-course of word recognition during reading. Juhasz and Rayner [Juhasz, B. J., & Rayner, K. (2003). Investigating the effects of a set of intercorrelated variables on eye fixation durations in reading. Journal of Experimental Psychology: Learning, Memory and Cognition, 29, 1312-1318] examined the impact of five word recognition variables, including familiarity and age-of-acquisition (AoA), on fixation durations. All variables impacted fixation durations, but the time-course differed. However, the study focused on relatively short, morphologically simple words. Eye movements are also informative for examining the processing of morphologically complex words such as compound words. The present study further examined the time-course of lexical and semantic variables during morphological processing. A total of 120 English compound words that varied in familiarity, AoA, semantic transparency, lexeme meaning dominance, sensory experience rating (SER), and imageability were selected. The impact of these variables on fixation durations was examined when length, word frequency, and lexeme frequencies were controlled in a regression model. The most robust effects were found for familiarity and AoA, indicating that a reader's experience with compound words significantly impacts compound recognition. These results provide insight into semantic processing of morphologically complex words during reading.

  15. Rare earth intermetallic compounds produced by a reduction-diffusion process

    International Nuclear Information System (INIS)

    Cech, R.E.

    1975-01-01

    A reduction-diffusion process is given for producing novel rare earth intermetallic compounds, such as cobalt--rare earth intermetallic compounds, especially compounds useful in preparing permanent magnets. A particulate mixture of rare earth metal halide, cobalt and calcium hydride is heated to effect reduction of the rare earth metal halide and to diffuse the resulting rare earth metal into the cobalt to form the intermetallic compound

  16. Diluted magnetic semiconductor nanowires exhibiting magnetoresistance

    Science.gov (United States)

    Yang, Peidong [El Cerrito, CA; Choi, Heonjin [Seoul, KR; Lee, Sangkwon [Daejeon, KR; He, Rongrui [Albany, CA; Zhang, Yanfeng [El Cerrito, CA; Kuykendal, Tevye [Berkeley, CA; Pauzauskie, Peter [Berkeley, CA

    2011-08-23

    A method for is disclosed for fabricating diluted magnetic semiconductor (DMS) nanowires by providing a catalyst-coated substrate and subjecting at least a portion of the substrate to a semiconductor, and dopant via chloride-based vapor transport to synthesize the nanowires. Using this novel chloride-based chemical vapor transport process, single crystalline diluted magnetic semiconductor nanowires Ga.sub.1-xMn.sub.xN (x=0.07) were synthesized. The nanowires, which have diameters of .about.10 nm to 100 nm and lengths of up to tens of micrometers, show ferromagnetism with Curie temperature above room temperature, and magnetoresistance up to 250 Kelvin.

  17. TDPAC study of complex structure semiconductor compounds

    International Nuclear Information System (INIS)

    Shitu, J.; Renteria, M.; Massolo, C.P.; Bibiloni, A.G.; Desimoni, J.

    1992-01-01

    In this paper, a new method for analyzing Time-Differential Perturbed Angular Correlation spectra is presented and applied to study the hyperfine interaction of 100 Rh in the high temperature modification of niobium pentoxide. The measured quadrupole interactions are assigned to about 80% of the radioactive probes replacing niobium atoms in the lattice and about 20% located in perturbed sites. The origin of this perturbation, producing a high frequency component in the measured spectra is discussed and temptatively assigned to remaining radiation damage in the compound. The hyperfine interaction of 111 Cd probes, introduced through thermal diffusion into niobium pentoxide, is also presented. The temperature dependence of the hyperfine parameters in this case is studied in the temperature range RT-800 degrees C. The spectral analyzing method employed allows a direct comparison of experimental data with point charge model calculations and a simultaneous evaluation of the anti-shielding factor β. The obtained values (27 for 100 Rh and 15 for 111 Cd) are discussed in terms of the compound and probe's characteristics

  18. TDPAC study of complex structure semiconductor compounds

    International Nuclear Information System (INIS)

    Shitu, J.; Renteria, M.; Massolo, C.P.; Bibiloni, A.G.; Desimonni, J.

    1992-01-01

    In this paper, a new method for analyzing Time-Differential Perturbed Angular Correlation spectra is presented and applied to study the hyperfine interaction of 100 Rh in the high temperature modification of niobium pentoxide. The measured quadrupole interactions are assigned to about 80% of the radioactive probes replacing niobium atoms in the lattice and about 20% located in perturbed sites. The origin of this perturbation, producing a high frequency component in the measured spectra is discussed and temptatively assigned to remaining radiation damage in the compound. The hyperfine interaction of 111 Cd probes, introduced through thermal diffusion into niobium pentoxide, is also presented. The temperature dependence of the hyperfine parameters in this case is studied in the temperature range RT-800 degrees C. The spectral analyzing method employed allows a direct comparison of experimental data with point charge model calculations and a simultaneous evaluation of the antishielding factor β. The obtained values (27 for 100 Rh and 15 for 111 Cd) are discussed in terms of the compound and probe's characteristics

  19. Small-polaron formation and motion in magnetic semiconductors

    International Nuclear Information System (INIS)

    Emin, D.

    1979-01-01

    The fundamental physical processes associated with small-polaron formation are described with various magnetic semi-conductors being cited as examples. Attention is then directed toward the mechanisms of charge transfer and small-polaron hopping motion in magnetic semiconductors

  20. 2,6-Bis(benzo[b]thiophen-2-yl-3,7-dipentadecyltetrathienoacene (DBT-TTAR2 as an Alternative of Highly Soluble p-type Organic Semiconductor for Organic Thin Film Transistor (OTFT Application

    Directory of Open Access Journals (Sweden)

    Mery B. Supriadi

    2013-03-01

    Full Text Available A new compound of organic semiconductor based on tetrathienoacene (TTA derivatives, DBT-TTAR2 was synthesized and characterized. The corporation of dibenzo[b,d]thiophene (DBT group and alkyl substituent in both ends of TTA core have a significant effect on their π-π molecular conjugation length, energy gaps value and solubility properties. DBT-TTAR2 is fabricated as p-type organic semiconductor of organic thin film transistor (OTFT by solution process at Industrial Technology Research Institute, Taiwan. A good optical, electrochemical, and thermal properties of DBT-TTAR2 showed that its exhibits a better performance as highly soluble p-type organic semiconductor.

  1. Process for removing halogenated aliphatic and aromatic compounds from petroleum products

    Science.gov (United States)

    Googin, J.M.; Napier, J.M.; Travaglini, M.A.

    1983-09-20

    A process is described for removing halogenated aliphatic and aromatic compounds, e.g., polychlorinated biphenyls, from petroleum products by solvent extraction. The halogenated aliphatic and aromatic compounds are extracted from a petroleum product into a polar solvent by contacting the petroleum product with the polar solvent. The polar solvent is characterized by a high solubility for the extracted halogenated aliphatic and aromatic compounds, a low solubility for the petroleum product and considerable solvent power for polyhydroxy compound. The preferred polar solvent is dimethylformamide. A miscible compound, such as, water or a polyhydroxy compound, is added to the polar extraction solvent to increase the polarity of the polar extraction solvent. The halogenated aliphatic and aromatic compounds are extracted from the highly-polarized mixture of water or polyhydroxy compound and polar extraction solvent into a low polar or nonpolar solvent by contacting the water or polyhydroxy compound-polar solvent mixture with the low polar or nonpolar solvent. The halogenated aliphatic and aromatic compounds and the low polar or nonpolar solvent are separated by physical means, e.g., vacuum evaporation. The polar and nonpolar solvents are recovered from recycling. The process can easily be designed for continuous operation. Advantages of the process include that the polar solvent and a major portion of the nonpolar solvent can be recycled, the petroleum products are reclaimable and the cost for disposing of waste containing polychlorinated biphenyls is significantly reduced. 1 fig.

  2. Microwave photonics processing controlling the speed of light in semiconductor waveguides

    DEFF Research Database (Denmark)

    Xue, Weiqi; Chen, Yaohui; Sales, Salvador

    2009-01-01

    We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like optoelect......We review the theory of slow and fast light effect in semiconductor waveguides and potential applications of these effects in microwave photonic systems as RF phase shifters. Recent applications as microwave photonic filters is presented. Also, in the presentation more applications like...

  3. Trialkylphosphine-stabilized copper(I) gallium(III) phenylchalcogenolate complexes: crystal structures and generation of ternary semiconductors by thermolysis.

    Science.gov (United States)

    Kluge, Oliver; Krautscheid, Harald

    2012-06-18

    A series of organometallic trialkylphosphine-stabilized copper gallium phenylchalcogenolate complexes [(R(3)P)(m)Cu(n)Me(2-x)Ga(EPh)(n+x+1)] (R = Me, Et, (i)Pr, (t)Bu; E = S, Se, Te; x = 0, 1) has been prepared and structurally characterized by X-ray diffraction. From their molecular structures three groups of compounds can be distinguished: ionic compounds, ring systems, and cage structures. All these complexes contain one gallium atom bound to one or two methyl groups, whereas the number of copper atoms, and therefore the nuclearity of the complexes, is variable and depends mainly on size and amount of phosphine ligand used in synthesis. The Ga-E bonds are relatively rigid, in contrast to flexible Cu-E bonds. The lengths of the latter are controlled by the coordination number and steric influences. The Ga-E bond lengths depend systematically on the number of methyl groups bound to the gallium atom, with somewhat shorter bonds in monomethyl compounds compared to dimethyl compounds. Quantum chemical computations reproduce this trend and show furthermore that the rotation of one phenyl group around the Ga-E bond is a low energy process with two distinct minima, corresponding to two different conformations found experimentally. Mixtures of different types of chalcogen atoms on molecular scale are possible, and then ligand exchange reactions in solution lead to mixed site occupation. In thermogravimetric studies the complexes were converted into the ternary semiconductors CuGaE(2). The thermolysis reaction is completed at temperatures between 250 and 400 °C, typically with lower temperatures for the heavier chalcogens. Because of significant release of Me(3)Ga during the thermolysis process, and especially in case of copper excess in the precursor complexes, binary copper chalcogenides are obtained as additional thermolysis products. Quaternary semiconductors can be obtained from mixed chalcogen precursors.

  4. Synthesis of Semiconductor Nanocrystals, Focusing on Nontoxic and Earth-Abundant Materials

    NARCIS (Netherlands)

    Reiss, Peter; Carrière, Marie; Lincheneau, Christophe; Vaure, Louis; Tamang, Sudarsan

    2016-01-01

    We review the synthesis of semiconductor nanocrystals/colloidal quantum dots in organic solvents with special emphasis on earth-abundant and toxic heavy metal free compounds. Following the Introduction, section 2 defines the terms related to the toxicity of nanocrystals and gives a comprehensive

  5. Introduction to cathodoluminescence in semiconductors

    International Nuclear Information System (INIS)

    Dussac, M.

    1985-01-01

    The use of cathodoluminescence in a scanning electron microscope leads to acquire a spectrum in a place of the sample surface, or to register the intensity profile of a special emission band along a scanning line, or also to realize a map of the irradiated sample. Composition variations can then, at ambient temperature, be determined, also defects can be shown, together with grain joints and dislocations, radiative and non radiative regions can be distinguished and, at low temperature, elementary processes of luminescence can be studied and impurities identified in semiconductors. Through this analysis method is applicable to every insulating or semiconductor material (that is to say to every material having a gap), in this article only crystalline semi-conductor will be studied [fr

  6. Transmission electron microscopy in situ investigation of dislocation mobility in semiconductors

    CERN Document Server

    Vanderschaeve, G; Insa, P D T; Caillard, D

    2000-01-01

    TEM in situ straining experiments provide a unique way to investigate in real time the behaviour of individual dislocations under applied stress. The results obtained on a variety of semiconductors are presented: numerous dislocation sources are observed which makes it possible to measure the dislocation velocity as a function of different physical parameters (local shear stress, temperature, dislocation character, length of the moving dislocation, ...). The experimental results are consistent with a dislocation glide governed by the Peierls mechanism, even for II-VI compounds which have a significant degree of ionic character. For compounds, a linear dependence of the dislocation velocity on the length of the moving segment is noticed, whereas for elemental semiconductors a transition between a length-dependent and a length-independent velocity regime is observed. Analysed in the framework of the kink diffusion model (Hirth and Lothe theory), these results allow an estimation of the kink formation and migrat...

  7. Blasting detonators incorporating semiconductor bridge technology

    Energy Technology Data Exchange (ETDEWEB)

    Bickes, R.W. Jr.

    1994-05-01

    The enormity of the coal mine and extraction industries in Russia and the obvious need in both Russia and the US for cost savings and enhanced safety in those industries suggests that joint studies and research would be of mutual benefit. The author suggests that mine sites and well platforms in Russia offer an excellent opportunity for the testing of Sandia`s precise time-delay semiconductor bridge detonators, with the potential for commercialization of the detonators for Russian and other world markets by both US and Russian companies. Sandia`s semiconductor bridge is generating interest among the blasting, mining and perforation industries. The semiconductor bridge is approximately 100 microns long, 380 microns wide and 2 microns thick. The input energy required for semiconductor bridge ignition is one-tenth the energy required for conventional bridgewire devices. Because semiconductor bridge processing is compatible with other microcircuit processing, timing and logic circuits can be incorporated onto the chip with the bridge. These circuits can provide for the precise timing demanded for cast effecting blasting. Indeed tests by Martin Marietta and computer studies by Sandia have shown that such precise timing provides for more uniform rock fragmentation, less fly rock, reduce4d ground shock, fewer ground contaminants and less dust. Cost studies have revealed that the use of precisely timed semiconductor bridges can provide a savings of $200,000 per site per year. In addition to Russia`s vast mineral resources, the Russian Mining Institute outside Moscow has had significant programs in rock fragmentation for many years. He anticipated that collaborative studies by the Institute and Sandia`s modellers would be a valuable resource for field studies.

  8. Electroless silver plating of the surface of organic semiconductors.

    Science.gov (United States)

    Campione, Marcello; Parravicini, Matteo; Moret, Massimo; Papagni, Antonio; Schröter, Bernd; Fritz, Torsten

    2011-10-04

    The integration of nanoscale processes and devices demands fabrication routes involving rapid, cost-effective steps, preferably carried out under ambient conditions. The realization of the metal/organic semiconductor interface is one of the most demanding steps of device fabrication, since it requires mechanical and/or thermal treatments which increment costs and are often harmful in respect to the active layer. Here, we provide a microscopic analysis of a room temperature, electroless process aimed at the deposition of a nanostructured metallic silver layer with controlled coverage atop the surface of single crystals and thin films of organic semiconductors. This process relies on the reaction of aqueous AgF solutions with the nonwettable crystalline surface of donor-type organic semiconductors. It is observed that the formation of a uniform layer of silver nanoparticles can be accomplished within 20 min contact time. The electrical characterization of two-terminal devices performed before and after the aforementioned treatment shows that the metal deposition process is associated with a redox reaction causing the p-doping of the semiconductor. © 2011 American Chemical Society

  9. Computational Search for Two-Dimensional MX2 Semiconductors with Possible High Electron Mobility at Room Temperature

    Directory of Open Access Journals (Sweden)

    Zhishuo Huang

    2016-08-01

    Full Text Available Neither of the two typical two-dimensional materials, graphene and single layer MoS 2 , are good enough for developing semiconductor logical devices. We calculated the electron mobility of 14 two-dimensional semiconductors with composition of MX 2 , where M (=Mo, W, Sn, Hf, Zr and Pt are transition metals, and Xs are S, Se and Te. We approximated the electron phonon scattering matrix by deformation potentials, within which long wave longitudinal acoustical and optical phonon scatterings were included. Piezoelectric scattering in the compounds without inversion symmetry is also taken into account. We found that out of the 14 compounds, WS 2 , PtS 2 and PtSe 2 are promising for logical devices regarding the possible high electron mobility and finite band gap. Especially, the phonon limited electron mobility in PtSe 2 reaches about 4000 cm 2 ·V - 1 ·s - 1 at room temperature, which is the highest among the compounds with an indirect bandgap of about 1.25 eV under the local density approximation. Our results can be the first guide for experiments to synthesize better two-dimensional materials for future semiconductor devices.

  10. Toward designing semiconductor-semiconductor heterojunctions for photocatalytic applications

    Science.gov (United States)

    Zhang, Liping; Jaroniec, Mietek

    2018-02-01

    Semiconductor photocatalysts show a great potential for environmental and energy-related applications, however one of the major disadvantages is their relatively low photocatalytic performance due to the recombination of electron-hole pairs. Therefore, intensive research is being conducted toward design of heterojunctions, which have been shown to be effective for improving the charge-transfer properties and efficiency of photocatalysts. According to the type of band alignment and direction of internal electric field, heterojunctions are categorized into five different types, each of which is associated with its own charge transfer characteristics. Since the design of heterojunctions requires the knowledge of band edge positions of component semiconductors, the commonly used techniques for the assessment of band edge positions are reviewed. Among them the electronegativity-based calculation method is applied for a large number of popular visible-light-active semiconductors, including some widely investigated bismuth-containing semiconductors. On basis of the calculated band edge positions and the type of component semiconductors reported, heterojunctions composed of the selected bismuth-containing semiconductors are proposed. Finally, the most popular synthetic techniques for the fabrication of heterojunctions are briefly discussed.

  11. Potential Signatures of Semi-volatile Compounds Associated With Nuclear Processing

    Energy Technology Data Exchange (ETDEWEB)

    Probasco, Kathleen M.; Birnbaum, Jerome C.; Maughan, A. D.

    2002-06-01

    Semi-volatile chemicals associated with nuclear processes (e.g., the reprocessing of uranium to produce plutonium for nuclear weapons, or the separation of actinides from processing waste streams), can provide sticky residues or signatures that will attach to piping, ducting, soil, water, or other surface media. Volatile compounds, that are more suitable for electro-optical sensing, have been well studied. However, the semi-volatile compounds have not been well documented or studied. A majority of these semi-volatile chemicals are more robust than typical gaseous or liquid chemicals and can have lifetimes of several weeks, months, or years in the environment. However, large data gaps exist concerning these potential signature compounds and more research is needed to fill these data gaps so that important signature information is not overlooked or discarded. This report investigates key semi-volatile compounds associated with nuclear separations, identifies available chemical and physical properties, and discusses the degradation products that would result from hydrolysis, radiolysis and oxidation reactions on these compounds.

  12. Bond charges and electronic charge transfer in ternary semiconductors

    International Nuclear Information System (INIS)

    Pietsch, U.

    1986-01-01

    By means of a simple molecule-theoretic model of 'linear superposition of two-electron molecules' the bond charges between nearest neighbours and the effective charges of ions are calculated for ternary zinc-blende structure alloys as well as chalcopyrite semiconductors. Taking into account both, the charge transfer among the ions caused by the differences of electronegativities of atoms used and between the bonds created by the internal stress of the lattice a nearly unvaried averaged bond charge amount of the alloy is found, but rather dramatically changed local bond charge parameters in comparison with the respective values of binary compounds used. This fact should influence the noncentral force interaction in such semiconductors. (author)

  13. Flexible distributed architecture for semiconductor process control and experimentation

    Science.gov (United States)

    Gower, Aaron E.; Boning, Duane S.; McIlrath, Michael B.

    1997-01-01

    Semiconductor fabrication requires an increasingly expensive and integrated set of tightly controlled processes, driving the need for a fabrication facility with fully computerized, networked processing equipment. We describe an integrated, open system architecture enabling distributed experimentation and process control for plasma etching. The system was developed at MIT's Microsystems Technology Laboratories and employs in-situ CCD interferometry based analysis in the sensor-feedback control of an Applied Materials Precision 5000 Plasma Etcher (AME5000). Our system supports accelerated, advanced research involving feedback control algorithms, and includes a distributed interface that utilizes the internet to make these fabrication capabilities available to remote users. The system architecture is both distributed and modular: specific implementation of any one task does not restrict the implementation of another. The low level architectural components include a host controller that communicates with the AME5000 equipment via SECS-II, and a host controller for the acquisition and analysis of the CCD sensor images. A cell controller (CC) manages communications between these equipment and sensor controllers. The CC is also responsible for process control decisions; algorithmic controllers may be integrated locally or via remote communications. Finally, a system server images connections from internet/intranet (web) based clients and uses a direct link with the CC to access the system. Each component communicates via a predefined set of TCP/IP socket based messages. This flexible architecture makes integration easier and more robust, and enables separate software components to run on the same or different computers independent of hardware or software platform.

  14. Measuring processes with opto-electronic semiconductor components

    International Nuclear Information System (INIS)

    1985-01-01

    This is a report on the state of commercially available semiconductor emitters and detectors for the visible, near, middle and remote infrared range. A survey is given on the distance, speed, flow and length measuring techniques using opto-electronic components. Automatic focussing, the use of light barriers, non-contact temperature measurements, spectroscopic gas, liquid and environmental measurement techniques and gas analysis in medical techniques show further applications of the new components. The modern concept of guided radiation in optical fibres and their use in system technology is briefly explained. (DG) [de

  15. Energy distribution in semiconductors

    International Nuclear Information System (INIS)

    Ance, C.

    1979-01-01

    For various semiconductors the dispersive energy Esub(d) defined in the Wemple-Didomenico model is connected with the covalent and ionic energies Esub(h) and C. A continuous curve of ionicity against the ratio of the two energies Esub(A) and Esub(B), connected to Esub(h) and C is reported. Afromowitz's model is applied to the ternary compounds Gasub(1-x)Alsub(x)Sb using optical decomposition. From these results the average energy gap Esub(g) is given by Esub(g) = D 0 M 0 sup((IB))/(epsilon 1 (0)-1) where M 0 sup((IB)) is the interband transition contribution to the optical moment M 0 . (author)

  16. The Physics of Semiconductors

    Science.gov (United States)

    Brennan, Kevin F.

    1999-02-01

    Modern fabrication techniques have made it possible to produce semiconductor devices whose dimensions are so small that quantum mechanical effects dominate their behavior. This book describes the key elements of quantum mechanics, statistical mechanics, and solid-state physics that are necessary in understanding these modern semiconductor devices. The author begins with a review of elementary quantum mechanics, and then describes more advanced topics, such as multiple quantum wells. He then disusses equilibrium and nonequilibrium statistical mechanics. Following this introduction, he provides a thorough treatment of solid-state physics, covering electron motion in periodic potentials, electron-phonon interaction, and recombination processes. The final four chapters deal exclusively with real devices, such as semiconductor lasers, photodiodes, flat panel displays, and MOSFETs. The book contains many homework exercises and is suitable as a textbook for electrical engineering, materials science, or physics students taking courses in solid-state device physics. It will also be a valuable reference for practicing engineers in optoelectronics and related areas.

  17. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  18. Passivation of electrically active centers by Hydrogen and Lithium in Semiconductors

    CERN Multimedia

    2002-01-01

    The hyperfine technique of Perturbed Angular Correlation Spectroscopy (PAC) has proven to be excellently suited for the microscopic investigation of impurity complexes in semiconductors. But this method is seriously limited by the small number of chemically different isotopes which are suitable for PAC measurements and represent electrically active centers in semiconductors. This bottleneck can be widely overcome by the ISOLDE facility which provides a great variety of shortliving PAC isotopes. The probe atom $^{111m}$Cd, provided by ISOLDE opened the first successful access to PAC investigations of III-V compounds and enabled also the first PAC experiments on double acceptors in silicon and germamum. \\\\ \\\\ At the new ISOLDE facility our experiments were concentrated on the passivation of electrically active centres by hydrogen and lithium in Si, Ge and III-V compounds. Experiments on $^{111m}$Cd in Ge revealed the formation of two different acceptor hydrogen and two different acceptor lithium complexes respe...

  19. Influence of energy bands on the Hall effect in degenerate semiconductors

    International Nuclear Information System (INIS)

    Wu, Chhi-Chong; Tsai, Jensan

    1989-01-01

    The influence of energy bands on the Hall effect and transverse magnetoresistance has been investigated according to the scattering processes of carriers in degenerate semiconductors such as InSb. Results show that the Hall angle, Hall coefficient, and transverse magnetoresistance depend on the dc magnetic field for both parabolic and nonparabolic band structures of semiconductors and also depend on the scattering processes of carriers in semiconductors due to the energy-dependent relaxation time. From their numerical analysis for the Hall effect, it is shown that the conduction electrons in degenerate semiconductors play a major role for the carrier transport phenomenon. By comparing with experimental data of the transverse magnetoresistance, it shows that the nonparabolic band model is better in agreement with the experimental work than the parabolic band model of semiconductors

  20. Containerless automated processing of intermetallic compounds and composites

    Science.gov (United States)

    Johnson, D. R.; Joslin, S. M.; Reviere, R. D.; Oliver, B. F.; Noebe, R. D.

    1993-01-01

    An automated containerless processing system has been developed to directionally solidify high temperature materials, intermetallic compounds, and intermetallic/metallic composites. The system incorporates a wide range of ultra-high purity chemical processing conditions. The utilization of image processing for automated control negates the need for temperature measurements for process control. The list of recent systems that have been processed includes Cr, Mo, Mn, Nb, Ni, Ti, V, and Zr containing aluminides. Possible uses of the system, process control approaches, and properties and structures of recently processed intermetallics are reviewed.

  1. Semiconductor Optics

    CERN Document Server

    Klingshirn, Claus F

    2012-01-01

    This updated and enlarged new edition of Semiconductor Optics provides an introduction to and an overview of semiconductor optics from the IR through the visible to the UV, including linear and nonlinear optical properties, dynamics, magneto and electrooptics, high-excitation effects and laser processes, some applications, experimental techniques and group theory. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered extend from physics to materials science and optoelectronics. Significantly updated chapters add coverage of current topics such as electron hole plasma, Bose condensation of excitons and meta materials. Over 120 problems, chapter introductions and a detailed index make it the key textbook for graduate students in physics. The mathematics is kept as elementary as possible, sufficient for an intuitive understanding of the experimental results and techniques treated. The subjects covered ...

  2. Identification of a potential superhard compound ReCN

    International Nuclear Information System (INIS)

    Fan, Xiaofeng; Li, M.M.; Singh, David J.; Jiang, Qing; Zheng, W.T.

    2015-01-01

    Highlights: • We identify a new ternary compound ReCN with theoretical calculation. • The ternary compound ReCN is with two stable structures with P63mc and P3m1. • ReCN is a semiconductor from the calculation of electronic structures. • ReCN is found to possess the outstanding mechanical properties. • ReCN may be synthesized relatively easily. - Abstract: We identify a new ternary compound, ReCN and characterize its properties including structural stability and indicators of hardness using first principles calculations. We find that there are two stable structures with space groups P63mc (HI) and P3m1 (HII), in which there are no C–C and N–N bonds. Both structures, H1 and III are elastically and dynamically stable. The electronic structures show that ReCN is a semiconductor, although the parent compounds, ReC 2 and ReN 2 are both metallic. ReCN is found to possess the outstanding mechanical properties with the large bulk modulus, shear modulus and excellent ideal strengths. In addition, ReCN may perhaps be synthesized relatively easily because it becomes thermodynamic stable with respect to decomposition at very low pressures

  3. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  4. Photo-induced transformation process at gold clusters-semiconductor interface: Implications for the complexity of gold clusters-based photocatalysis

    Science.gov (United States)

    Liu, Siqi; Xu, Yi-Jun

    2016-03-01

    The recent thrust in utilizing atomically precise organic ligands protected gold clusters (Au clusters) as photosensitizer coupled with semiconductors for nano-catalysts has led to the claims of improved efficiency in photocatalysis. Nonetheless, the influence of photo-stability of organic ligands protected-Au clusters at the Au/semiconductor interface on the photocatalytic properties remains rather elusive. Taking Au clusters-TiO2 composites as a prototype, we for the first time demonstrate the photo-induced transformation of small molecular-like Au clusters to larger metallic Au nanoparticles under different illumination conditions, which leads to the diverse photocatalytic reaction mechanism. This transformation process undergoes a diffusion/aggregation mechanism accompanied with the onslaught of Au clusters by active oxygen species and holes resulting from photo-excited TiO2 and Au clusters. However, such Au clusters aggregation can be efficiently inhibited by tuning reaction conditions. This work would trigger rational structural design and fine condition control of organic ligands protected-metal clusters-semiconductor composites for diverse photocatalytic applications with long-term photo-stability.

  5. Semiconductor annealing

    International Nuclear Information System (INIS)

    Young, J.M.; Scovell, P.D.

    1981-01-01

    A process for annealing crystal damage in ion implanted semiconductor devices is described in which the device is rapidly heated to a temperature between 450 and 600 0 C and allowed to cool. It has been found that such heating of the device to these relatively low temperatures results in rapid annealing. In one application the device may be heated on a graphite element mounted between electrodes in an inert atmosphere in a chamber. The process may be enhanced by the application of optical radiation from a Xenon lamp. (author)

  6. Thermoelectric properties of thin film and superlattice structure of IV-VI and V-VI compound semiconductors

    International Nuclear Information System (INIS)

    Blumers, Mathias

    2012-01-01

    The basic material property governing the efficiency of thermoelectric applications is the thermoelectric figure of merit Z=S 2 .σ/k, where S is the Seebeck-coefficient, σ is the electrical conductivity and k the thermal conductivity. A promising concept of increasing Z by one and two dimensional quantum well superlattices (QW-SL) was introduced in the early 1990s in terms of theoretical predictions. The realization of such low dimensional systems is done by use of semiconductor compounds with different energy gaps. The ambition of the Nitherma project was to investigate the thermoelectric properties of superlattices and Multi-Quantum-Well-structures (MQW) made of Pb 1-x Sr x Te and Bi 2 (Se x Te 1-x ) 3 , respectively. Therefore SL- and MQW-structures of this materials were grown and Z was determined by measuring of S, σ and κ parallel to the layer planes. Aim of this thesis is the interpretation of the transport measurements (S,σ,κ) of low dimensional structures and the improvement of preparation and measurement techniques. The influence of low dimensionality on the thermal conductivity in SL- and MQW-structures was investigated by measurements on structures with different layer thicknesses. In addition, measurements of the Seebeck-coefficient were performed, also to verify the results of the participating groups.

  7. Images through semiconductors

    International Nuclear Information System (INIS)

    Anon.

    1986-01-01

    Improved image processing techniques are constantly being developed for television and for scanners using X-rays or other radiation for industrial or medical applications, etc. As Erik Heijne of CERN explains here, particle physics too has its own special requirements for image processing. The increasing use of semiconductor techniques for handling measurements down to the level of a few microns provides another example of the close interplay between scientific research and technological development. (orig.).

  8. Special Heusler compounds for spintronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Balke, B.

    2007-07-01

    This work emphasizes the potential of Heusler compounds in a wide range of spintronic applications. Using electronic structure calculations it is possible to design compounds for specific applications. Examples for GMR and TMR applications, for spin injection into semiconductors, and for spin torque transfer applications will be shown. After a detailed introduction about spintronics and related materials chapter 5 reports about the investigation of new half-metallic compounds where the Fermi energy is tuned in the middle of the gap to result in more stable compounds for GMR and TMR applications. The bulk properties of the quaternary Heusler alloy Co{sub 2}Mn{sub 1-x}Fe{sub x}Si with the Fe concentration ranging from x=0 to 1 are reported and the results suggest that the best candidate for applications may be found at an iron concentration of about 50%. Due to the effect that in the Co{sub 2}Mn{sub 1-x}Fe{sub x}Si series the transition metal carrying the localized moment is exchanged and this might lead to unexpected effects on the magnetic properties if the samples are not completely homogeneous chapter 6 reports about the optimization of the Heusler compounds for GMR and TMR applications. The structural and magnetic properties of the quaternary Heusler alloy Co{sub 2}FeAl{sub 1-x}Si{sub x} with varying Si concentration are reported. From the combination of experimental (better order for high Si content) and theoretical findings (robust gap at x=0.5) it is concluded that a compound with an intermediate Si concentration close to x=0.5-0.7 would be best suited for spintronic applications, especially for GMR and TMR applications. In chapter 7 the detailed investigation of compounds for spin injection into semiconductors is reported. It is shown that the diluted magnetic semiconductors based on CoTiSb with a very low lattice mismatch among each other are interesting materials for spintronics applications like Spin-LEDs or other spin injection devices. Chapter 8 refers

  9. Semiconductor physics

    CERN Document Server

    Böer, Karl W

    2018-01-01

    This handbook gives a complete survey of the important topics and results in semiconductor physics. It addresses every fundamental principle and most research topics and areas of application in the field of semiconductor physics. Comprehensive information is provided on crystalline bulk and low-dimensional as well as amporphous semiconductors, including optical, transport, and dynamic properties.

  10. Reduced filamentation in high power semiconductor lasers

    DEFF Research Database (Denmark)

    Skovgaard, Peter M. W.; McInerney, John; O'Brien, Peter

    1999-01-01

    High brightness semiconductor lasers have applications in fields ranging from material processing to medicine. The main difficulty associated with high brightness is that high optical power densities cause damage to the laser facet and thus require large apertures. This, in turn, results in spatio......-temporal instabilities such as filamentation which degrades spatial coherence and brightness. We first evaluate performance of existing designs with a “top-hat” shaped transverse current density profile. The unstable nature of highly excited semiconductor material results in a run-away process where small modulations...

  11. Tunneling microscopy of 2H-MoS2: A compound semiconductor surface

    OpenAIRE

    Weimer, M.; Kramar, J.; Bai, C.; Baldeschwieler, J. D.

    1988-01-01

    Molybdenum disulfide, a layered semiconductor, is an interesting material to study with the tunneling microscope because two structurally and electronically different atomic species may be probed at its surface. We report on a vacuum scanning tunneling microscopy study of 2H-MoS2. Atomic resolution topographs and current images show the symmetry of the surface unit cell and clearly reveal two distinct atomic sites in agreement with the well-known x-ray crystal structure.

  12. Quantum Dot Semiconductor Optical Amplifiers - Physics and Applications

    DEFF Research Database (Denmark)

    Berg, Tommy Winther

    2004-01-01

    This thesis describes the physics and applications of quantum dot semiconductor optical amplifiers based on numerical simulations. These devices possess a number of unique properties compared with other types of semiconductor amplifiers, which should allow enhanced performance of semiconductor...... respects is comparable to those of fiber amplifiers. The possibility of inverting the optically active states to a large degree is essential in order to achieve this performance. Optical signal processing through cross gain modulation and four wave mixing is modeled and described. For both approaches...... and QW devices and to experiments on quantum dot amplifiers. These comparisons outline the qualitative differences between the different types of amplifiers. In all cases focus is put on the physical processes responsible the differences....

  13. PyCDT: A Python toolkit for modeling point defects in semiconductors and insulators

    Science.gov (United States)

    Broberg, Danny; Medasani, Bharat; Zimmermann, Nils E. R.; Yu, Guodong; Canning, Andrew; Haranczyk, Maciej; Asta, Mark; Hautier, Geoffroy

    2018-05-01

    Point defects have a strong impact on the performance of semiconductor and insulator materials used in technological applications, spanning microelectronics to energy conversion and storage. The nature of the dominant defect types, how they vary with processing conditions, and their impact on materials properties are central aspects that determine the performance of a material in a certain application. This information is, however, difficult to access directly from experimental measurements. Consequently, computational methods, based on electronic density functional theory (DFT), have found widespread use in the calculation of point-defect properties. Here we have developed the Python Charged Defect Toolkit (PyCDT) to expedite the setup and post-processing of defect calculations with widely used DFT software. PyCDT has a user-friendly command-line interface and provides a direct interface with the Materials Project database. This allows for setting up many charged defect calculations for any material of interest, as well as post-processing and applying state-of-the-art electrostatic correction terms. Our paper serves as a documentation for PyCDT, and demonstrates its use in an application to the well-studied GaAs compound semiconductor. We anticipate that the PyCDT code will be useful as a framework for undertaking readily reproducible calculations of charged point-defect properties, and that it will provide a foundation for automated, high-throughput calculations.

  14. Semiconductor quantum dots: synthesis and water-solubilization for biomedical applications.

    Science.gov (United States)

    Yu, William W

    2008-10-01

    Quantum dots (QDs) are generally nanosized inorganic particles. They have distinctive size-dependent optical properties due to their very small size (mostly semiconductor QDs (mainly metal-chalcogenide compounds) and forming biocompatible structures for biomedical applications are discussed in this paper. This information may facilitate the research to create new materials/technologies for future clinical applications.

  15. Binding Energy, Vapor Pressure and Melting Point of Semiconductor Nanoparticles

    International Nuclear Information System (INIS)

    H. H. Farrell; C. D. Van Siclen

    2007-01-01

    Current models for the cohesive energy of nanoparticles generally predict a linear dependence on the inverse particle diameter for spherical clusters, or, equivalently, on the inverse of the cube root of the number of atoms in the cluster. Although this is generally true for metals, we find that for the group IV semiconductors, C, Si and Ge, this linear dependence does not hold. Instead, using first principles, density functional theory calculations to calculate the binding energy of these materials, we find a quadratic dependence on the inverse of the particle size. Similar results have also been obtained for the metallic group IV elements Sn and Pb. This is in direct contradiction to current assumptions. Further, as a consequence of this quadratic behavior, the vapor pressure of semiconductor nanoparticles rises more slowly with decreasing size than would be expected. In addition, the melting point of these nanoparticles will experience less suppression than experienced by metal nanoparticles with comparable bulk binding energies. This non-linearity also affects sintering or Ostwald ripening behavior of these nanoparticles as well as other physical properties that depend on the nanoparticle binding energy. The reason for this variation in size dependence involves the covalent nature of the bonding in semiconductors, and even in the 'poor' metals. Therefore, it is expected that this result will hold for compound semiconductors as well as the elemental semiconductors

  16. Fabrication of highly nonlinear germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots using atomization doping process and its optical nonlinearity.

    Science.gov (United States)

    Ju, Seongmin; Watekar, Pramod R; Han, Won-Taek

    2011-01-31

    Germano-silicate glass optical fiber incorporated with PbTe semiconductor quantum dots (SQDs) in the core was fabricated by using the atomization process in modified chemical vapor deposition (MCVD) process. The absorption bands attributed to PbTe semiconductor quantum dots in the fiber core were found to appear at around 687 nm and 1055 nm. The nonlinear refractive index measured by the long-period fiber grating (LPG) pair method upon pumping with laser diode at 976.4 nm was estimated to be ~1.5 × 10(-16) m2/W.

  17. The relationship between spontaneous abortion and female workers in the semiconductor industry.

    Science.gov (United States)

    Kim, Heechan; Kwon, Ho-Jang; Rhie, Jeongbae; Lim, Sinye; Kang, Yun-Dan; Eom, Sang-Yong; Lim, Hyungryul; Myong, Jun-Pyo; Roh, Sangchul

    2017-01-01

    This study investigated the relationship between job type and the risk for spontaneous abortion to assess the reproductive toxicity of female workers in the semiconductor industry. A questionnaire survey was administered to current female workers of two semiconductor manufacturing plants in Korea. We included female workers who became pregnant at least 6 months after the start of their employment with the company. The pregnancy outcomes of 2,242 female workers who experienced 4,037 pregnancies were investigated. Personnel records were used to assign the subjects to one of three groups: fabrication process workers, packaging process workers, and clerical workers. To adjust for within-person correlations between pregnancies, a generalized estimating equation was used. The logistic regression analysis was limited to the first pregnancy after joining the company to satisfy the assumption of independence among pregnancies. Moreover, we stratified the analysis by time period (pregnancy in the years prior to 2008 vs. after 2009) to reflect differences in occupational exposure based on semiconductor production periods. The risk for spontaneous abortion in female semiconductor workers was not significantly higher for fabrication and packaging process workers than for clerical workers. However, when we stratified by time period, the odds ratio for spontaneous abortion was significantly higher for packaging process workers who became pregnant prior to 2008 when compared with clerical workers (odds ratio: 2.21; 95% confidence interval: 1.01-4.81). When examining the pregnancies of female semiconductor workers that occurred prior to 2008, packaging process workers showed a significantly higher risk for spontaneous abortions than did clerical workers. The two semiconductor production periods in our study (prior to 2008 vs. after 2009) had different automated processes, chemical exposure levels, and working environments. Thus, the conditions prior to 2008 may have increased the

  18. Harnessing no-photon exciton generation chemistry to engineer semiconductor nanostructures.

    Science.gov (United States)

    Beke, David; Károlyházy, Gyula; Czigány, Zsolt; Bortel, Gábor; Kamarás, Katalin; Gali, Adam

    2017-09-06

    Production of semiconductor nanostructures with high yield and tight control of shape and size distribution is an immediate quest in diverse areas of science and technology. Electroless wet chemical etching or stain etching can produce semiconductor nanoparticles with high yield but is limited to a few materials because of the lack of understanding the physical-chemical processes behind. Here we report a no-photon exciton generation chemistry (NPEGEC) process, playing a key role in stain etching of semiconductors. We demonstrate NPEGEC on silicon carbide polymorphs as model materials. Specifically, size control of cubic silicon carbide nanoparticles of diameter below ten nanometers was achieved by engineering hexagonal inclusions in microcrystalline cubic silicon carbide. Our finding provides a recipe to engineer patterned semiconductor nanostructures for a broad class of materials.

  19. Treatment of exhaust gas from the semiconductor manufacturing process. 3; Handotai seizo sochi kara no hai gas shori. 3

    Energy Technology Data Exchange (ETDEWEB)

    Fukunaga, A. [Ebara Research Co. Ltd., Kanagawa (Japan); Mori, Y.; Osato, M.; Tsujimura, M. [Ebara Corp., Tokyo (Japan)

    1995-10-20

    Demand has been building up for an individual dry type scrubber for treating exhaust gas from the semiconductor manufacturing process. Some factors for the wide acceptance of such a scrubber would be the capability for complete treatment, easy maintenance and safety features, etc. Practical gas analysis and optimum scrubbing techniques would have to be applied, as well as effective monitoring, alarm, and fail-safe techniques. The overall exhaust gas line, i.e. the line connecting the scrubber system and the upstream process, including that extending to pump system, has to be fully considered for enabling effective scrubbing performance. Such factors, which have until now not been given any priority, would have to be fully studied for the development of a practical, individual dry type scrubber. Cooperation on this matter from the semiconductor manufacturing industry would also be essential. 6 refs., 3 figs., 5 tabs.

  20. Centro-Apical Self-Organization of Organic Semiconductors in a Line-Printed Organic Semiconductor: Polymer Blend for One-Step Printing Fabrication of Organic Field-Effect Transistors.

    Science.gov (United States)

    Lee, Su Jin; Kim, Yong-Jae; Yeo, So Young; Lee, Eunji; Lim, Ho Sun; Kim, Min; Song, Yong-Won; Cho, Jinhan; Lim, Jung Ah

    2015-09-11

    Here we report the first demonstration for centro-apical self-organization of organic semiconductors in a line-printed organic semiconductor: polymer blend. Key feature of this work is that organic semiconductor molecules were vertically segregated on top of the polymer phase and simultaneously crystallized at the center of the printed line pattern after solvent evaporation without an additive process. The thickness and width of the centro-apically segregated organic semiconductor crystalline stripe in the printed blend pattern were controlled by varying the relative content of the organic semiconductors, printing speed, and solution concentrations. The centro-apical self-organization of organic semiconductor molecules in a printed polymer blend may be attributed to the combination of an energetically favorable vertical phase-separation and hydrodynamic fluids inside the droplet during solvent evaporation. Finally, a centro-apically phase-separated bilayer structure of organic semiconductor: polymer blend was successfully demonstrated as a facile method to form the semiconductor and dielectric layer for OFETs in one- step.

  1. Hyperentangled photon sources in semiconductor waveguides

    DEFF Research Database (Denmark)

    Kang, Dongpeng; Helt, L. G.; Zhukovsky, Sergei

    2014-01-01

    We propose and analyze the performance of a technique to generate mode and polarization hyperentangled photons in monolithic semiconductor waveguides using two concurrent type-II spontaneous parametric down-conversion (SPDC) processes. These two SPDC processes are achieved by waveguide engineering...

  2. A process for producing lignin and volatile compounds from hydrolysis liquor.

    Science.gov (United States)

    Khazraie, Tooran; Zhang, Yiqian; Tarasov, Dmitry; Gao, Weijue; Price, Jacquelyn; DeMartini, Nikolai; Hupa, Leena; Fatehi, Pedram

    2017-01-01

    Hot water hydrolysis process is commercially applied for treating wood chips prior to pulping or wood pellet production, while it produces hydrolysis liquor as a by-product. Since the hydrolysis liquor is dilute, the production of value-added materials from it would be challenging. In this study, acidification was proposed as a viable method to extract (1) furfural and acetic acid from hot water hydrolysis liquor and (2) lignin compounds from the liquor. The thermal properties of the precipitates made from the acidification of hydrolysis liquor confirmed the volatile characteristics of precipitates. Membrane dialysis was effective in removing inorganic salts associated with lignin compounds. The purified lignin compounds had a glass transition temperature (Tg) of 180-190 °C, and were thermally stable. The results confirmed that lignin compounds present in hot water hydrolysis liquor had different characteristics. The acidification of hydrolysis liquor primarily removed the volatile compounds from hydrolysis liquor. Based on these results, a process for producing purified lignin and precipitates of volatile compounds was proposed.

  3. Quantum dynamical simulation of photoinduced electron transfer processes in dye-semiconductor systems: theory and application to coumarin 343 at TiO₂.

    Science.gov (United States)

    Li, Jingrui; Kondov, Ivan; Wang, Haobin; Thoss, Michael

    2015-04-10

    A recently developed methodology to simulate photoinduced electron transfer processes at dye-semiconductor interfaces is outlined. The methodology employs a first-principles-based model Hamiltonian and accurate quantum dynamics simulations using the multilayer multiconfiguration time-dependent Hartree approach. This method is applied to study electron injection in the dye-semiconductor system coumarin 343-TiO2. Specifically, the influence of electronic-vibrational coupling is analyzed. Extending previous work, we consider the influence of Dushinsky rotation of the normal modes as well as anharmonicities of the potential energy surfaces on the electron transfer dynamics.

  4. Thermal oxidation of III-V compounds

    International Nuclear Information System (INIS)

    Monteiro, O.R.; Evans, J.W.

    1988-01-01

    The thermal oxidation of two important III-V compound semiconductor materials, namely GaAs and InP, has been studied between 300 and 600 0 C. In-situ TEM, cross-sectional TEM (XTEM) and SIMS analyses were used to characterize the reaction products. The first technique allows us to access the reactions at the very moment they are occurring. XTEM provides a clearer picture of the distribution of phases in the oxidized samples. SIMS gives us information on the dopant redistribution after oxidation as well as enrichment of group V element at the oxide semiconductor interface. Based on those results, the reaction products were characterized and reaction mechanisms proposed

  5. Temperature control of power semiconductor devices in traction applications

    Science.gov (United States)

    Pugachev, A. A.; Strekalov, N. N.

    2017-02-01

    The peculiarity of thermal management of traction frequency converters of a railway rolling stock is highlighted. The topology and the operation principle of the automatic temperature control system of power semiconductor modules of the traction frequency converter are designed and discussed. The features of semiconductors as an object of temperature control are considered; the equivalent circuit of thermal processes in the semiconductors is suggested, the power losses in the two-level voltage source inverters are evaluated and analyzed. The dynamic properties and characteristics of the cooling fan induction motor electric drive with the scalar control are presented. The results of simulation in Matlab are shown for the steady state of thermal processes.

  6. Process for uranium recovery in phosphorus compounds

    International Nuclear Information System (INIS)

    Demarthe, J.M.; Solar, Serge.

    1980-01-01

    Process for uranium recovery in phosphorus compounds with an organic phase containing a dialkylphosphoric acid. A solubilizing agent constituted of an heavy alcohol or a phosphoric acid ester or a tertiary phosphine oxide or octanol-2, is added to the organic phase for solubilization of the uranium and ammonium dialkyl pyrophosphate [fr

  7. The Electrical Characteristics of The N-Organic Semiconductor/P-Inorganic Semiconductor Diode

    International Nuclear Information System (INIS)

    Aydin, M. E.

    2008-01-01

    n-organic semiconductor (PEDOT) / p-inorganic semiconductor Si diode was formed by deep coating method. The method has been achieved by coating n-inorganic semiconductor PEDOT on top of p-inorganic semiconductor. The n-organic semiconductor PEDOT/ p-inorganic semiconductor diode demonstrated rectifying behavior by the current-voltage (I-V) curves studied at room temperature. The barrier height , ideality factor values were obtained as of 0.88 eV and 1.95 respectively. The diode showed non-ideal I-V behavior with an ideality factor greater than unity that could be ascribed to the interfacial layer

  8. Simulation and Performance Test Technology Development for Semiconductor Radiation Detection Instrument Fabrication

    International Nuclear Information System (INIS)

    Kim, Jong Kyung; Lee, W. G.; Kim, S. Y.; Shin, C. H.; Kim, K. O.; Park, J. M.; Jang, D. Y.; Kang, J. S.

    2010-06-01

    - Analysis on the Absorbed Dose and Electron Generation by Using MCNPX Code - Analysis on the Change of Measured Energy Spectrum As a Function of Bias Voltage Applied in Semiconductor Detector - Comparison of Monte Carlo Simulation Considering the Charge Collection Efficiency and Experimental Result - Development of Semiconductor Sensor Design Code Based on the Graphic User Interface - Analysis on Depth Profile of Ion-implanted Semiconductor Wafer Surface and Naturally Generated SiO2 Insulation Layer Using Auger Electron Spectroscopy - Measurement of AFM Images and Roughness to Abalyze Surface of Semiconductor Wafer with respect to Annealing and Cleaning Process - Measurement of Physical Properties for Semiconductor Detector Surface after CZT Passivation Process - Evaluation of Crystal Structure and Specific Resistance of CZT - Measurement/Analysis on Band Structure of CZT Crystal - Evaluation of Neutron Convertor Layer with respect to Change in Temperature - Measurement/Evaluation of physical characteristics for lattice parameter, specific resistance, and band structure of CZT crystal - Measurement/Evaluation of lattice transition of SiC semiconductor detector after radiation irradiation - Measurement/Evaluation of performance of semiconductor detector with respect to exposure in high temperature environment

  9. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  10. Theory of ferromagnetic (III,Mn)V semiconductors

    Czech Academy of Sciences Publication Activity Database

    Jungwirth, Tomáš; Sinova, J.; Mašek, Jan; Kučera, Jan; MacDonald, A. H.

    2006-01-01

    Roč. 78, - (2006), s. 809-859 ISSN 0034-6861 R&D Projects: GA MŠk LC510; GA ČR GA202/05/0575 Grant - others:EPSRC(GB) GR/S81407/01; U.S. Department of Energy(US) DE-FG03-02ER45958; U.S. Office of Naval research(US) OMR-N000140610122 Institutional research plan: CEZ:AV0Z10100521 Keywords : ferromagnetic semiconductors * (III,Mn)V compounds Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 33.508, year: 2006

  11. The electronic structure of impurities in semiconductors

    CERN Multimedia

    Nylandsted larsen, A; Svane, A

    2002-01-01

    The electronic structure of isolated substitutional or interstitial impurities in group IV, IV-IV, and III-V compound semiconductors will be studied. Mössbauer spectroscopy will be used to investigate the incorporation of the implanted isotopes on the proper lattice sites. The data can be directly compared to theoretical calculations using the LMTO scheme. Deep level transient spectroscopy will be used to identify the band gap levels introduced by metallic impurities, mainly in Si~and~Si$ _{x}$Ge$_{1-x}$. \\\\ \\\\

  12. Study of surface modifications for improved selected metal (II-VI) semiconductor based devices

    Science.gov (United States)

    Blomfield, Christopher James

    Metal-semiconductor contacts are of fundamental importance to the operation of all semiconductor devices. There are many competing theories of Schottky barrier formation but as yet no quantitative predictive model exists to adequately explain metal-semiconductor interfaces. The II-VI compound semiconductors CdTe, CdS and ZnSe have recently come to the fore with the advent of high efficiency photovoltaic cells and short wavelength light emitters. Major problems still exist however in forming metal contacts to these materials with the desired properties. This work presents results which make a significant contribution to the theory of metal/II-VI interface behaviour in terms of Schottky barriers to n-type CdTe, CdS and ZnSe.Predominantly aqueous based wet chemical etchants were applied to the surfaces of CdTe, CdS and ZnSe which were subsequently characterised by X-ray photoelectron spectroscopy. The ionic nature of these II-VI compounds meant that they behaved as insoluble salts of strong bases and weak acids. Acid etchants induced a stoichiometric excess of semiconductor anion at the surface which appeared to be predominantly in the elemental or hydrogenated state. Alkaline etchants conversely induced a stoichiometric excess of semiconductor cation at the surface which appeared to be in an oxidised state.Metal contacts were vacuum-evaporated onto these etched surfaces and characterised by current-voltage and capacitance-voltage techniques. The surface preparation was found to have a clear influence upon the electrical properties of Schottky barriers formed to etched surfaces. Reducing the native surface oxide produced near ideal Schottky diodes. An extended study of Au, Ag and Sb contacts to [mathematical formula] substrates again revealed the formation of several discrete Schottky barriers largely independent of the metal used; for [mathematical formula]. Deep levels measured within this study and those reported in the literature led to the conclusion that Fermi

  13. Semiconductor physics an introduction

    CERN Document Server

    Seeger, Karlheinz

    1999-01-01

    Semiconductor Physics - An Introduction - is suitable for the senior undergraduate or new graduate student majoring in electrical engineering or physics. It will also be useful to solid-state scientists and device engineers involved in semiconductor design and technology. The text provides a lucid account of charge transport, energy transport and optical processes, and a detailed description of many devices. It includes sections on superlattices and quantum well structures, the effects of deep-level impurities on transport, the quantum Hall effect and the calculation of the influence of a magnetic field on the carrier distribution function. This 6th edition has been revised and corrected, and new sections have been added to different chapters.

  14. Signatures of Quantized Energy States in Solution-Processed Ultrathin Layers of Metal-Oxide Semiconductors and Their Devices

    KAUST Repository

    Labram, John G.

    2015-02-13

    Physical phenomena such as energy quantization have to-date been overlooked in solution-processed inorganic semiconducting layers, owing to heterogeneity in layer thickness uniformity unlike some of their vacuum-deposited counterparts. Recent reports of the growth of uniform, ultrathin (<5 nm) metal-oxide semiconductors from solution, however, have potentially opened the door to such phenomena manifesting themselves. Here, a theoretical framework is developed for energy quantization in inorganic semiconductor layers with appreciable surface roughness, as compared to the mean layer thickness, and present experimental evidence of the existence of quantized energy states in spin-cast layers of zinc oxide (ZnO). As-grown ZnO layers are found to be remarkably continuous and uniform with controllable thicknesses in the range 2-24 nm and exhibit a characteristic widening of the energy bandgap with reducing thickness in agreement with theoretical predictions. Using sequentially spin-cast layers of ZnO as the bulk semiconductor and quantum well materials, and gallium oxide or organic self-assembled monolayers as the barrier materials, two terminal electronic devices are demonstrated, the current-voltage characteristics of which resemble closely those of double-barrier resonant-tunneling diodes. As-fabricated all-oxide/hybrid devices exhibit a characteristic negative-differential conductance region with peak-to-valley ratios in the range 2-7.

  15. Quantum control and process tomography of a semiconductor quantum dot hybrid qubit.

    Science.gov (United States)

    Kim, Dohun; Shi, Zhan; Simmons, C B; Ward, D R; Prance, J R; Koh, Teck Seng; Gamble, John King; Savage, D E; Lagally, M G; Friesen, Mark; Coppersmith, S N; Eriksson, Mark A

    2014-07-03

    The similarities between gated quantum dots and the transistors in modern microelectronics--in fabrication methods, physical structure and voltage scales for manipulation--have led to great interest in the development of quantum bits (qubits) in semiconductor quantum dots. Although quantum dot spin qubits have demonstrated long coherence times, their manipulation is often slower than desired for important future applications, such as factoring. Furthermore, scalability and manufacturability are enhanced when qubits are as simple as possible. Previous work has increased the speed of spin qubit rotations by making use of integrated micromagnets, dynamic pumping of nuclear spins or the addition of a third quantum dot. Here we demonstrate a qubit that is a hybrid of spin and charge. It is simple, requiring neither nuclear-state preparation nor micromagnets. Unlike previous double-dot qubits, the hybrid qubit enables fast rotations about two axes of the Bloch sphere. We demonstrate full control on the Bloch sphere with π-rotation times of less than 100 picoseconds in two orthogonal directions, which is more than an order of magnitude faster than any other double-dot qubit. The speed arises from the qubit's charge-like characteristics, and its spin-like features result in resistance to decoherence over a wide range of gate voltages. We achieve full process tomography in our electrically controlled semiconductor quantum dot qubit, extracting high fidelities of 85 per cent for X rotations (transitions between qubit states) and 94 per cent for Z rotations (phase accumulation between qubit states).

  16. Semiconductor annealing

    International Nuclear Information System (INIS)

    Young, J.M.; Scovell, P.D.

    1982-01-01

    A process for annealing crystal damage in ion implanted semiconductor devices in which the device is rapidly heated to a temperature between 450 and 900 0 C and allowed to cool. It has been found that such heating of the device to these relatively low temperatures results in rapid annealing. In one application the device may be heated on a graphite element mounted between electrodes in an inert atmosphere in a chamber. (author)

  17. Si-semiconductor device failure mechanisms

    International Nuclear Information System (INIS)

    Clauss, H.

    1976-12-01

    This report presents investigations on failure mechanisms that may cause defects during production and operation of silicon semiconductor devices. The failure analysis of aluminium metallization defects covers topics such as step coverage, dissolution pits and electromigration. Furthermore, the generation of process induced lattice defects was investigated. Improved processes avoiding those defects were developed. (orig.) [de

  18. Modeling bidirectionally coupled single-mode semiconductor lasers

    International Nuclear Information System (INIS)

    Mulet, Josep; Masoller, Cristina; Mirasso, Claudio R.

    2002-01-01

    We develop a dynamical model suitable for the description of two mutually coupled semiconductor lasers in a face-to-face configuration. Our study considers the propagation of the electric field along the compound system as well as the evolution of the carrier densities within each semiconductor laser. Mutual injection, passive optical feedback, and multiple reflections are accounted for in this framework, although under weak to moderate coupling conditions. We systematically describe the effect of the coupling strength on the spectrum of monochromatic solutions and on the respective dynamical behavior. By assuming single-longitudinal-mode operation, weak mutual coupling and slowly varying approximation, the dynamical model can be reduced to rate equations describing the mutual injection from one laser to its counterpart and vice versa. A good agreement between the complete and simplified models is found for small coupling. For larger coupling, higher-order terms lead to a smaller threshold reduction, reflected itself in the spectrum of the monochromatic solutions and in the dynamics of the optical power

  19. Where the chips fall: environmental health in the semiconductor industry.

    Science.gov (United States)

    Chepesiuk, R

    1999-09-01

    Three recent lawsuits are focusing public attention on the environmental and occupational health effects of the world's largest and fastest growing manufacturing sector-the $150 billion semiconductor industry. The suits allege that exposure to toxic chemicals in semiconductor manufacturing plants led to adverse health effects such as miscarriage and cancer among workers. To manufacture computer components, the semiconductor industry uses large amounts of hazardous chemicals including hydrochloric acid, toxic metals and gases, and volatile solvents. Little is known about the long-term health consequences of exposure to chemicals by semiconductor workers. According to industry critics, the semiconductor industry also adversely impacts the environment, causing groundwater and air pollution and generating toxic waste as a by-product of the semiconductor manufacturing process. In contrast, the U.S. Bureau of Statistics shows the semiconductor industry as having a worker illness rate of about one-third of the average of all manufacturers, and advocates defend the industry, pointing to recent research collaborations and product replacement as proof that semiconductor manufacturers adequately protect both their employees and the environment.

  20. Influence of gamma irradiation on phenolic compounds of minimally processed baby carrots

    Energy Technology Data Exchange (ETDEWEB)

    Hirashima, Fabiana K.; Fabbri, Adriana D.T.; Sagretti, Juliana M.A.; Nunes, Thaise C.F.; Sabato, Suzy F., E-mail: fmayumi@usp.br [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Galvao, Natascha S.; Lanfer-Marquez, Ursula M., E-mail: lanferum@usp.br [Universidade de Sao Paulo (FCF/USP), SP (Brazil). Faculdade de Ciencias Farmaceuticas

    2013-07-01

    Consumption of fresh fruits and vegetables provide several health benefits including risk reduction of oxidative stress-related diseases. These benefits have been associated with bioactive compounds, mainly phenolic compounds. Minimally processed products are a growing segment in food retail establishments due its practicality and convenience without significantly altering fresh-like characteristics. To extend the shelf life of these products, an application of ionizing radiation is an alternative, based on a physical and non-thermal method of preservation. The effect of irradiation on phenolic compounds of minimally processed baby carrots have not been reported in literature yet. The aim of this study was to evaluate the levels of phenolic compounds in baby carrots after the irradiation process. Samples of minimally processed baby carrots were purchased at a local supermarket and irradiated with doses of 0.5 and 1.0 kGy. Phenolic compounds were extracted from shredded carrots with MeOH and analyzed spectrophotometrically by the Folin Ciocalteau method using a gallic acid standard curve. The results showed that the phenolic contents decreased significantly (p<0.05) with increasing radiation dose. In non-irradiated baby carrots (control), the levels of phenolic compounds were about 330 μg eq. gallic acid/g, while irradiated samples with 0.5 kGy, showed an approximately 10% reduction when compared with the control. An irradiation dose of 1.0 kGy caused a loss of 20%. Although the radiation has affected the phenolic content, the process seems to be interesting by maintaining their fresh-like characteristics. (author)

  1. Influence of gamma irradiation on phenolic compounds of minimally processed baby carrots

    International Nuclear Information System (INIS)

    Hirashima, Fabiana K.; Fabbri, Adriana D.T.; Sagretti, Juliana M.A.; Nunes, Thaise C.F.; Sabato, Suzy F.; Galvao, Natascha S.; Lanfer-Marquez, Ursula M.

    2013-01-01

    Consumption of fresh fruits and vegetables provide several health benefits including risk reduction of oxidative stress-related diseases. These benefits have been associated with bioactive compounds, mainly phenolic compounds. Minimally processed products are a growing segment in food retail establishments due its practicality and convenience without significantly altering fresh-like characteristics. To extend the shelf life of these products, an application of ionizing radiation is an alternative, based on a physical and non-thermal method of preservation. The effect of irradiation on phenolic compounds of minimally processed baby carrots have not been reported in literature yet. The aim of this study was to evaluate the levels of phenolic compounds in baby carrots after the irradiation process. Samples of minimally processed baby carrots were purchased at a local supermarket and irradiated with doses of 0.5 and 1.0 kGy. Phenolic compounds were extracted from shredded carrots with MeOH and analyzed spectrophotometrically by the Folin Ciocalteau method using a gallic acid standard curve. The results showed that the phenolic contents decreased significantly (p<0.05) with increasing radiation dose. In non-irradiated baby carrots (control), the levels of phenolic compounds were about 330 μg eq. gallic acid/g, while irradiated samples with 0.5 kGy, showed an approximately 10% reduction when compared with the control. An irradiation dose of 1.0 kGy caused a loss of 20%. Although the radiation has affected the phenolic content, the process seems to be interesting by maintaining their fresh-like characteristics. (author)

  2. Structural properties of III-V zinc-blende semiconductors under pressure

    International Nuclear Information System (INIS)

    Froyen, S.; Cohen, M.L.

    1983-01-01

    The pseudopotential method within the local-density approximation is used to investigate the static and structural properties of some III-V compound semiconductors. Comparisons of calculated total energies as a function of volume and structure yield information about solid-solid phase transformations. At high pressures the results indicate that several metallic structures are lower in energy than the zinc-blende structure. From our results the compounds (AlP, AlAs, GaP, and GaAs) can be divided into two classes. In the Ga compounds, we find a pressure-induced phase transformation to either rocksalt, β-Sn, or NiAs, whereas in the Al compounds rocksalt and NiAs are stabilized with respect to β-Sn. All structures except zinc blende are metallic. We discuss the electronic structure of each phase and show how it relates to structural stability

  3. From Coherently Excited Highly Correlated States to Incoherent Relaxation Processes in Semiconductors

    International Nuclear Information System (INIS)

    Scha''fer, W.; Lo''venich, R.; Fromer, N. A.; Chemla, D. S.

    2001-01-01

    Recent theories of highly excited semiconductors are based on two formalisms, referring to complementary experimental conditions, the real-time nonequilibrium Green's function techniques and the coherently controlled truncation of the many-particle problem. We present a novel many-particle theory containing both of these methods as limiting cases. As a first example of its application, we investigate four-particle correlations in a strong magnetic field including dephasing resulting from the growth of incoherent one-particle distribution functions. Our results are the first rigorous solution concerning formation and decay of four-particle correlations in semiconductors. They are in excellent agreement with experimental data

  4. Non-markovian effects in semiconductor cavity QED: Role of phonon-mediated processes

    DEFF Research Database (Denmark)

    Nielsen, Per Kær; Nielsen, Torben Roland; Lodahl, Peter

    We show theoretically that the non-Markovian nature of the carrier-phonon interaction influences the dynamical properties of a semiconductor cavity QED system considerably, leading to asymmetries with respect to detuning in carrier lifetimes. This pronounced phonon effect originates from the pola......We show theoretically that the non-Markovian nature of the carrier-phonon interaction influences the dynamical properties of a semiconductor cavity QED system considerably, leading to asymmetries with respect to detuning in carrier lifetimes. This pronounced phonon effect originates from...... the polaritonic quasi-particle nature of the carrier-photon system interacting with the phonon reservoir....

  5. Microstructure of III-N semiconductors related to their applications in optoelectronics

    Science.gov (United States)

    Leszczynski, M.; Czernetzki, R.; Sarzynski, M.; Krysko, M.; Targowski, G.; Prystawko, P.; Bockowski, M.; Grzegory, I.; Suski, T.; Domagala, J.; Porowski, S.

    2005-03-01

    There has been more than a decade since Shuji Nakamura from Japanese company Nichia constructed the first blue LED based on structure of (AlGaIn)N semiconductor and eight years since he made the first blue laser diode (LD). This work gives a survey on the current technological status with green/blue/violet/UV optoelectronics based on III-N semiconductors in relation with their microstructure. The following devices are presented: i) Low-power green and blue LEDs, ii) High-power LEDs targeting solid-state white lighting, iii) Low-power violet LDs for high definition DVD market, iv) High-power violet LDs, v) UV LEDs. The discussion will be focused on three main technological problems related to the microstructure of (AlGaIn)N layers in emitters based on III-N semiconductors: i) high density of dislocations in epitaxial layers of GaN on foreign substrates (sapphire, SiC, GaAs), ii), presence of strains, iii) atom segregation in ternary and quaternary compounds.

  6. Semiconductor technology program. Progress briefs

    Science.gov (United States)

    Bullis, W. M.

    1980-01-01

    Measurement technology for semiconductor materials, process control, and devices is reviewed. Activities include: optical linewidth and thermal resistance measurements; device modeling; dopant density profiles; resonance ionization spectroscopy; and deep level measurements. Standardized oxide charge terminology is also described.

  7. Structural defects in cubic semiconductors characterized by aberration-corrected scanning transmission electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Arroyo Rojas Dasilva, Yadira; Kozak, Roksolana; Erni, Rolf; Rossell, Marta D., E-mail: marta.rossell@empa.ch

    2017-05-15

    The development of new electro-optical devices and the realization of novel types of transistors require a profound understanding of the structural characteristics of new semiconductor heterostructures. This article provides a concise review about structural defects which occur in semiconductor heterostructures on the basis of micro-patterned Si substrates. In particular, one- and two-dimensional crystal defects are being discussed which are due to the plastic relaxation of epitaxial strain caused by the misfit of crystal lattices. Besides a few selected examples from literature, we treat in particular crystal defects occurring in GaAs/Si, Ge/Si and β-SiC/Si structures which are studied by high-resolution annular dark-field scanning transmission electron microscopy. The relevance of this article is twofold; firstly, it should provide a collection of data which are of help for the identification and characterization of defects in cubic semiconductors by means of atomic-resolution imaging, and secondly, the experimental data shall provide a basis for advancing the understanding of device characteristics with the aid of theoretical modelling by considering the defective nature of strained semiconductor heterostructures. - Highlights: • The heterogeneous integration of high-quality compound semiconductors remains a challenge. • Lattice defects cause severe degradation of the semiconductor device performances. • Aberration-corrected HAADF-STEM allows atomic-scale characterization of defects. • An overview of lattice defects found in cubic semiconductors is presented. • Theoretical modelling and calculations are needed to determine the defect properties.

  8. Food processing strategies to enhance phenolic compounds bioaccessibility and bioavailability in plant-based foods.

    Science.gov (United States)

    Ribas-Agustí, Albert; Martín-Belloso, Olga; Soliva-Fortuny, Robert; Elez-Martínez, Pedro

    2017-06-13

    Phenolic compounds are important constituents of plant-based foods, as their presence is related to protective effects on health. To exert their biological activity, phenolic compounds must be released from the matrix during digestion in an absorbable form (bioaccessible) and finally absorbed and transferred to the bloodstream (bioavailable). Chemical structure and matrix interactions are some food-related factors that hamper phenolic compounds bioaccessibility and bioavailability, and that can be counteracted by food processing. It has been shown that food processing can induce chemical or physical modifications in food that enhance phenolic compounds bioaccessibility and bioavailability. These changes include: (i) chemical modifications into more bioaccessible and bioavailable forms; (ii) cleavage of covalent or hydrogen bonds or hydrophobic forces that attach phenolic compounds to matrix macromolecules; (iii) damaging microstructural barriers such as cell walls that impede the release from the matrix; and (iv) create microstructures that protect phenolic compounds until they are absorbed. Indeed, food processing can produce degradation of phenolic compounds, however, it is possible to counteract it by modulating the operating conditions in favor of increased bioaccessibility and bioavailability. This review compiles the current knowledge on the effects of processing on phenolic compounds bioaccessibility or bioavailability, while suggesting new guidelines in the search of optimal processing conditions as a step forward towards the design of healthier foods.

  9. Technology of substrates for molecular beam homo epitaxy of wide - gap AII-BVI semiconductors and construction of a simplified setup for this process

    International Nuclear Information System (INIS)

    Mycielski, A.; Szadkowski, A.; Kaliszek, W.

    2000-01-01

    The technology of 'epi-ready' substrate plates (for MBE) of the wide gap AII-BVI semiconductor compounds, i. e. - preparation of the ultra pure elements, synthesis of the source material, crystallization by the physical vapour transport technique, cutting of the oriented plates, mechano-chemical polishing and preparation of the 'epi-ready' surface - is described, as well as the construction of a simplified version of the MBE setup for covering the substrate plates with the homoepitaxial layer. The results of the characterization of the substrate crystals and plates are presented. (author)

  10. Crystal structure of the new diamond-like semiconductor CuMn2InSe4

    Indian Academy of Sciences (India)

    Abstract. The crystal structure of the semiconductor compound CuMn2InSe4 was analysed using X-ray powder ... properties arising from the presence of magnetic ions in the ... by SEM technique, using a Hitachi S2500 microscope equip-.

  11. Deep-level defects in semiconductors: studies by magnetic resonance

    International Nuclear Information System (INIS)

    Ammerlaan, C.A.J.

    1983-01-01

    This work is divided into two parts. In the first one, the following topics are discussed: paramagnetic centers in semiconductors, principles of magnetic resonance, spin-Hamiltonian, g-tensor, hyperfine interaction, magnetic resonance spectrometer. In the second part it is dicussed defects studied by magnetic resonance including vacancy and divacancy in silicon, iron in silicon, nitrogen in diamond and antisite defects in III-V compounds. (A.C.A.S.) [pt

  12. Solid spectroscopy: semiconductors

    International Nuclear Information System (INIS)

    Silva, C.E.T.G. da

    1983-01-01

    Photoemission as technique of study of the semiconductor electronic structure is shortly discussed. Homogeneous and heterogeneous semiconductors, where volume and surface electronic structure, core levels and O and H chemisorption in GaAs, Schottky barrier are treated, respectively. Amorphous semiconductors are also discussed. (L.C.) [pt

  13. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  14. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method of manufacturing a semiconductor device (10) with a semiconductor body (1) which is provided with at least one semiconductor element, wherein on the surface of the semiconductor body (1) a mesa- shaped semiconductor region (2) is formed, a masking layer (3) is

  15. Analysis of impurities in semiconductor by IMA (SIMS)

    International Nuclear Information System (INIS)

    Komori, Junko; Masuko, Yoji; Koyama, Hiroshi

    1988-01-01

    The report outlines the measuring mechanism of SIMS and its applications in the field of semiconductor production. SIMS is the only equipment currently available for micrometer-order analysis and ppb-level impurities detection required for evaluation of semiconductors. In SIMS, sputtering of the sample surface is performed with primary ions and the secondary ions released from the sample are analyzed to identify the atomic species existing in the surface. The sputtering process and ionization process are outlined in the report, though the details of sputtering has not been fully clarified yet. In actual observation, some problems may be caused due to interfering ions and residual ions. In general, various ions including multi-valent ions, cluster ions, molecular ions, hydrogenated/oxygenated ions and hydrocarbon ions are produced in addition to monovalent ions to interfere the atoms under analysis. Interference by these ions can cause serious problems in carrying out depth profile analysis as well as observation of mass spectra. Major applications of SIMS in the field of semiconductor production include the evaluation of silicon surface, light elements, insulating materials and semiconductor devices. Some requirements to be met by further studies are also listed. (N.K.)

  16. Processing of semiconductors and thin film solar cells using electroplating

    Science.gov (United States)

    Madugu, Mohammad Lamido

    The global need for a clean, sustainable and affordable source of energy has triggered extensive research especially in renewable energy sources. In this sector, photovoltaic has been identified as a cheapest, clean and reliable source of energy. It would be of interest to obtain photovoltaic material in thin film form by using simple and inexpensive semiconductor growth technique such as electroplating. Using this growth technique, four semiconductor materials were electroplated on glass/fluorine-doped tin oxide (FTO) substrate from aqueous electrolytes. These semiconductors are indium selenide (In[x]Sey), zinc sulphide (ZnS), cadmium sulphide (CdS) and cadmium telluride (CdTe). In[x]Se[y] and ZnS were incorporated as buffer layers while CdS and CdTe layers were utilised as window and absorber layers respectively. All materials were grown using two-electrode (2E) system except for CdTe which was grown using 3E and 2E systems for comparison. To fully optimise the growth conditions, the as-deposited and annealed layers from all the materials were characterised for their structural, morphological, optical, electrical and defects structures using X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), optical absorption (UV-Vis spectroscopy), photoelectrochemical (PEC) cell measurements, current-voltage (I-V), capacitance-voltage (C-V), DC electrical measurements, ultraviolet photoelectron spectroscopy (UPS) and photoluminescence (PL) techniques. Results show that InxSey and ZnS layers were amorphous in nature and exhibit both n-type and p-type in electrical conduction. CdS layers are n-type in electrical conduction and show hexagonal and cubic phases in both the as-deposited and after annealing process. CdTe layers show cubic phase structure with both n-type and p-type in electrical conduction. CdTe-based solar cell structures with a n-n heterojunction plus large Schottky barrier, as well as multi-layer graded

  17. Methods for enhancing P-type doping in III-V semiconductor films

    Science.gov (United States)

    Liu, Feng; Stringfellow, Gerald; Zhu, Junyi

    2017-08-01

    Methods of doping a semiconductor film are provided. The methods comprise epitaxially growing the III-V semiconductor film in the presence of a dopant, a surfactant capable of acting as an electron reservoir, and hydrogen, under conditions that promote the formation of a III-V semiconductor film doped with the p-type dopant. In some embodiments of the methods, the epitaxial growth of the doped III-V semiconductor film is initiated at a first hydrogen partial pressure which is increased to a second hydrogen partial pressure during the epitaxial growth process.

  18. Identifying the hazard characteristics of powder byproducts generated from semiconductor fabrication processes.

    Science.gov (United States)

    Choi, Kwang-Min; An, Hee-Chul; Kim, Kwan-Sick

    2015-01-01

    Semiconductor manufacturing processes generate powder particles as byproducts which potentially could affect workers' health. The chemical composition, size, shape, and crystal structure of these powder particles were investigated by scanning electron microscopy equipped with an energy dispersive spectrometer, Fourier transform infrared spectrometry, and X-ray diffractometry. The powders generated in diffusion and chemical mechanical polishing processes were amorphous silica. The particles in the chemical vapor deposition (CVD) and etch processes were TiO(2) and Al(2)O(3), and Al(2)O(3) particles, respectively. As for metallization, WO(3), TiO(2), and Al(2)O(3) particles were generated from equipment used for tungsten and barrier metal (TiN) operations. In photolithography, the size and shape of the powder particles showed 1-10 μm and were of spherical shape. In addition, the powders generated from high-current and medium-current processes for ion implantation included arsenic (As), whereas the high-energy process did not include As. For all samples collected using a personal air sampler during preventive maintenance of process equipment, the mass concentrations of total airborne particles were particles less than 10 μm in diameter) using direct-reading aerosol monitor by area sampling were between 0.00 and 0.02 μg/m(3). Although the exposure concentration of airborne particles during preventive maintenance is extremely low, it is necessary to make continuous improvements to the process and work environment, because the influence of chronic low-level exposure cannot be excluded.

  19. Discovery of earth-abundant nitride semiconductors by computational screening and high-pressure synthesis

    Science.gov (United States)

    Hinuma, Yoyo; Hatakeyama, Taisuke; Kumagai, Yu; Burton, Lee A.; Sato, Hikaru; Muraba, Yoshinori; Iimura, Soshi; Hiramatsu, Hidenori; Tanaka, Isao; Hosono, Hideo; Oba, Fumiyasu

    2016-01-01

    Nitride semiconductors are attractive because they can be environmentally benign, comprised of abundant elements and possess favourable electronic properties. However, those currently commercialized are mostly limited to gallium nitride and its alloys, despite the rich composition space of nitrides. Here we report the screening of ternary zinc nitride semiconductors using first-principles calculations of electronic structure, stability and dopability. This approach identifies as-yet-unreported CaZn2N2 that has earth-abundant components, smaller carrier effective masses than gallium nitride and a tunable direct bandgap suited for light emission and harvesting. High-pressure synthesis realizes this phase, verifying the predicted crystal structure and band-edge red photoluminescence. In total, we propose 21 promising systems, including Ca2ZnN2, Ba2ZnN2 and Zn2PN3, which have not been reported as semiconductors previously. Given the variety in bandgaps of the identified compounds, the present study expands the potential suitability of nitride semiconductors for a broader range of electronic, optoelectronic and photovoltaic applications. PMID:27325228

  20. Semiconductor radiation detector

    Science.gov (United States)

    Bell, Zane W.; Burger, Arnold

    2010-03-30

    A semiconductor detector for ionizing electromagnetic radiation, neutrons, and energetic charged particles. The detecting element is comprised of a compound having the composition I-III-VI.sub.2 or II-IV-V.sub.2 where the "I" component is from column 1A or 1B of the periodic table, the "II" component is from column 2B, the "III" component is from column 3A, the "IV" component is from column 4A, the "V" component is from column 5A, and the "VI" component is from column 6A. The detecting element detects ionizing radiation by generating a signal proportional to the energy deposited in the element, and detects neutrons by virtue of the ionizing radiation emitted by one or more of the constituent materials subsequent to capture. The detector may contain more than one neutron-sensitive component.

  1. Treating contaminated organic compounds using the DETOX process

    International Nuclear Information System (INIS)

    Elsberry, K.; Dhooge, P.M.

    1993-01-01

    Waste matrices containing organic compounds, radionuclides, and metals pose difficult problems in waste treatment and disposal when the organic compounds and/or metals are considered to be hazardous. This paper describes the results of bench-scale studies of DETOX applied to the components of liquid mixed wastes, with the goal of establishing parameters for designing a prototype waste treatment unit. Apparent reaction rate orders for organic compounds and the dependence of apparent reaction rate on solution composition and the contact area were measured for vacuum pump oil, scintillation fluids, and trichloroethylene. Reaction rate was superior in chloride-based solutions and was proportional to the contact area above about 20 g/kg loading of organic material. Oxidations in 4-L volume, mixed bench-top reactor have given destruction efficiencies of 0.999999+ g/g for common organic compounds. Reaction rates achieved in the mixed bench-top reactor were one to two orders of magnitude greater than had been achieved in unmixed reactions; a thoroughly mixed reactor should be capable of oxidizing 10 to 100+ g of organic material per L-hr. Results are also presented on the solvation efficiency of DETOX for mercury, cerium, and neodymium, and for removal/destruction of organic compounds sorbed on vermiculite. The next stage of development will be converting the bench-top unit to continuous processing

  2. Nonparametric Bayesian inference for multidimensional compound Poisson processes

    NARCIS (Netherlands)

    Gugushvili, S.; van der Meulen, F.; Spreij, P.

    2015-01-01

    Given a sample from a discretely observed multidimensional compound Poisson process, we study the problem of nonparametric estimation of its jump size density r0 and intensity λ0. We take a nonparametric Bayesian approach to the problem and determine posterior contraction rates in this context,

  3. Precipitate hydrolysis process for the removal of organic compounds from nuclear waste slurries

    Science.gov (United States)

    Doherty, J.P.; Marek, J.C.

    1987-02-25

    A process for removing organic compounds from a nuclear waste slurry comprising reacting a mixture of radioactive waste precipitate slurry and an acid in the presence of a catalytically effective amount of a copper(II) catalyst whereby the organic compounds in the precipitate slurry are hydrolyzed to form volatile organic compounds which are separated from the reacting mixture. The resulting waste slurry, containing less than 10 percent of the original organic compounds, is subsequently blended with high level radioactive sludge land transferred to a vitrification facility for processing into borosilicate glass for long-term storage. 2 figs., 3 tabs.

  4. Semiconductor spintronics

    International Nuclear Information System (INIS)

    Fabian, J.; Abiague, A.M.; Ertler, Ch.; Stano, P.; Zutic, I.

    2007-01-01

    Spintronics refers commonly to phenomena in which the spin of electrons in a solid state environment plays the determining role. In a more narrow sense spintronics is an emerging research field of electronics: spintronics devices are based on a spin control of electronics, or on an electrical and optical control of spin of magnetism. While metal spintronics has already found its niche in the computer industry - giant magnetoresistance systems are used as hard disk read heads - semiconductor spintronics is vet demonstrate its full potential. This review presents selected themes of semiconductor spintronics, introducing important concepts in spin transport, spin transport, spin injection. Silsbee-Johnson spin-charge coupling, and spin-dependent tunneling, as well as spin relaxation and spin dynamics. The most fundamental spin-dependent interaction in nonmagnetic semiconductors is spin-orbit coupling. Depending on the crystal symmetries of the material, as well as on the structural properties of semiconductor based heterostructures, the spin-orbit coupling takes on different functional forms, giving a nice playground of effective spin-orbit Hamiltonians. The effective Hamiltonians for the most relevant classes of materials and heterostructures are derived here from realistic electronic band structure descriptions. Most semiconductor device systems are still theoretical concepts, waiting for experimental demonstrations. A review of selected proposed, and a few demonstrated devices is presented, with detailed description of two important classes: magnetic resonant tunnel structures and bipolar magnetic diodes and transistors. In view of the importance of ferromagnetic semiconductor material, a brief discussion of diluted magnetic semiconductors is included. In most cases the presentation is of tutorial style, introducing the essential theoretical formalism at an accessible level, with case-study-like illustrations of actual experimental results, as well as with brief

  5. Method of manufacturing a semiconductor sensor device and semiconductor sensor device

    NARCIS (Netherlands)

    2009-01-01

    The invention relates to a method of manufacturing a semiconductor sensor device (10) for sensing a substance comprising a plurality of mutually parallel mesa-shaped semiconductor regions (1) which are formed on a surface of a semiconductor body (11) and which are connected at a first end to a first

  6. Printable semiconductor structures and related methods of making and assembling

    Science.gov (United States)

    Nuzzo, Ralph G.; Rogers, John A.; Menard, Etienne; Lee, Keon Jae; Khang; , Dahl-Young; Sun, Yugang; Meitl, Matthew; Zhu, Zhengtao; Ko, Heung Cho; Mack, Shawn

    2013-03-12

    The present invention provides a high yield pathway for the fabrication, transfer and assembly of high quality printable semiconductor elements having selected physical dimensions, shapes, compositions and spatial orientations. The compositions and methods of the present invention provide high precision registered transfer and integration of arrays of microsized and/or nanosized semiconductor structures onto substrates, including large area substrates and/or flexible substrates. In addition, the present invention provides methods of making printable semiconductor elements from low cost bulk materials, such as bulk silicon wafers, and smart-materials processing strategies that enable a versatile and commercially attractive printing-based fabrication platform for making a broad range of functional semiconductor devices.

  7. Summary of Industry-Academia Collaboration Projects on Cluster Ion Beam Process Technology

    International Nuclear Information System (INIS)

    Yamada, Isao; Toyoda, Noriaki; Matsuo, Jiro

    2008-01-01

    Processes employing clusters of ions comprised of a few hundred to many thousand atoms are now being developed into a new field of ion beam technology. Cluster-surface collisions produce important non-linear effects which are being applied to shallow junction formation, to etching and smoothing of semiconductors, metals, and dielectrics, to assisted formation of thin films with nano-scale accuracy, and to other surface modification applications. In 2000, a four year R and D project for development of industrial technology began in Japan under funding from the New Energy and Industrial Technology Development Organization (NEDO). Subjects of the projects are in areas of equipment development, semiconductor surface processing, high accuracy surface processing and high-quality film formation. In 2002, another major cluster ion beam project which emphasized nano-technology applications has started under a contract from the Ministry of Economy and Technology for Industry (METI). This METI project involved development related to size-selected cluster ion beam equipment and processes, and development of GCIB processes for very high rate etching and for zero damage etching of magnetic materials and compound semiconductor materials. This paper describes summery of the results.

  8. Microscopical Studies of Structural and Electronic Properties of Semiconductors

    CERN Multimedia

    2002-01-01

    The electronic and structural properties of point defects in semiconductors, e.g. radiation defects, impurities or passivating defects can excellently be studied by the hyperfine technique of Perturbed Angular Correlation (PAC). The serious limitation of this method, the small number of chemically different radioactive PAC probe atoms can be widely overcome by means of ISOLDE. Providing shortliving isotopes, which represent common dopants as well as suitable PAC probe atoms, the ISOLDE facility enables a much broader application of PAC to problems in semiconductor physics.\\\\ Using the probe atom $^{111m}$ Cd , the whole class of III-V compounds becomes accessible for PAC investigations. First successful experiments in GaAs, InP and GaP have been performed, concerning impurity complex formation and plasma induced defects. In Si and Ge, the electronic properties~-~especially their influence on acceptor-donor interaction~-~could be exemplarily st...

  9. Electrolytic photodissociation of chemical compounds by iron oxide electrodes

    Science.gov (United States)

    Somorjai, Gabor A.; Leygraf, Christofer H.

    1984-01-01

    Chemical compounds can be dissociated by contacting the same with a p/n type semi-conductor diode having visible light as its sole source of energy. The diode consists of low cost, readily available materials, specifically polycrystalline iron oxide doped with silicon in the case of the n-type semi-conductor electrode, and polycrystalline iron oxide doped with magnesium in the case of the p-type electrode. So long as the light source has an energy greater than 2.2 electron volts, no added energy source is needed to achieve dissociation.

  10. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1987-01-01

    In-depth exploration of the implications of carrier populations and Fermi energies examines distribution of electrons in energy bands and impurity levels of semiconductors. Also: kinetics of semiconductors containing excess carriers, particularly in terms of trapping, excitation, and recombination.

  11. Compound process fuel cycle concept

    International Nuclear Information System (INIS)

    Ikegami, Tetsuo

    2005-01-01

    Mass flow of light water reactor spent fuel for a newly proposed nuclear fuel cycle concept 'Compound Process Fuel Cycle' has been studied in order to assess the capacity of the concept for accepting light water reactor spent fuels, taking an example for boiling water reactor mixed oxide spent fuel of 60 GWd/t burn-up and for a fast reactor core of 3 GW thermal output. The acceptable heavy metal of boiling water reactor mixed oxide spent fuel is about 3.7 t/y/reactor while the burn-up of the recycled fuel is about 160 GWd/t and about 1.6 t/y reactor with the recycled fuel burn-up of about 300 GWd/t, in the case of 2 times recycle and 4 times recycle respectively. The compound process fuel cycle concept has such flexibility that it can accept so much light water reactor spent fuels as to suppress the light water reactor spent fuel pile-up if not so high fuel burn-up is expected, and can aim at high fuel burn-up if the light water reactor spent fuel pile-up is not so much. Following distinctive features of the concept have also been revealed. A sort of ideal utilization of boiling water reactor mixed oxide spent fuel might be achieved through this concept, since both plutonium and minor actinide reach equilibrium state beyond 2 times recycle. Changes of the reactivity coefficients during recycles are mild, giving roughly same level of reactivity coefficients as the conventional large scale fast breeder core. Both the radio-activity and the heat generation after 4 year cooling and after 4 times recycle are less than 2.5 times of those of the pre recycle fuel. (author)

  12. Semiconductor detectors with proximity signal readout

    International Nuclear Information System (INIS)

    Asztalos, Stephen J.

    2012-01-01

    Semiconductor-based radiation detectors are routinely used for the detection, imaging, and spectroscopy of x-rays, gamma rays, and charged particles for applications in the areas of nuclear and medical physics, astrophysics, environmental remediation, nuclear nonproliferation, and homeland security. Detectors used for imaging and particle tracking are more complex in that they typically must also measure the location of the radiation interaction in addition to the deposited energy. In such detectors, the position measurement is often achieved by dividing or segmenting the electrodes into many strips or pixels and then reading out the signals from all of the electrode segments. Fine electrode segmentation is problematic for many of the standard semiconductor detector technologies. Clearly there is a need for a semiconductor-based radiation detector technology that can achieve fine position resolution while maintaining the excellent energy resolution intrinsic to semiconductor detectors, can be fabricated through simple processes, does not require complex electrical interconnections to the detector, and can reduce the number of required channels of readout electronics. Proximity electrode signal readout (PESR), in which the electrodes are not in physical contact with the detector surface, satisfies this need

  13. A first-principles study of the electronic structure of the sulvanite compounds

    Energy Technology Data Exchange (ETDEWEB)

    Osorio-Guillen, J.M., E-mail: jorge.osorio@fisica.udea.edu.co [Instituto de Fisica, Universidad de Antioquia, Medellin A.A. 1226 (Colombia); Espinosa-Garcia, W.F. [Instituto de Fisica, Universidad de Antioquia, Medellin A.A. 1226 (Colombia)

    2012-03-15

    We have investigated by means of first-principles total energy calculations the electronic structure of the sulvanite compounds: Cu{sub 3}VS{sub 4}, Cu{sub 3}NbS{sub 4} and Cu{sub 3}TaS{sub 4}; the later is a possible candidate as a p-type transparent conductor with potential applications in solar cells and electrochromic devices. The calculated electronic structure shows that these compounds are indirect band gap semiconductors, with the valence band maximum located at the R-point and the conduction band minimum located at the X-point. The character of the valence band maximum is dominated by Cu d-states and the character of the conduction band minimum is due to the d-states of the group five elements. From the calculated charge density and electron localisation function we can conclude that the sulvanite compounds are polar covalent semiconductors.

  14. Semiconductor laser shearing interferometer

    International Nuclear Information System (INIS)

    Ming Hai; Li Ming; Chen Nong; Xie Jiaping

    1988-03-01

    The application of semiconductor laser on grating shearing interferometry is studied experimentally in the present paper. The method measuring the coherence of semiconductor laser beam by ion etching double frequency grating is proposed. The experimental result of lens aberration with semiconductor laser shearing interferometer is given. Talbot shearing interferometry of semiconductor laser is also described. (author). 2 refs, 9 figs

  15. Gain and Index Dynamics in Semiconductor Lasers

    DEFF Research Database (Denmark)

    Hvam, Jørn Märcher

    Semiconductor optical amplifiers (SOAs) provide ultrafast, i.e. broadband components for optical communication systems. They enter not only as signal generators and amplifiers, but also as nonlinear elements for ultrafast signal processing such as wavelength conversion, switching, and regeneration...... changed character from bulk semiconductor to quantum wells and most recently to quantum dots. By quantum confinement of the carriers, the light-matter interactions can be significantly modified and the optical properties, including dynamics, can be engineered to match the required functionalities...

  16. Studies on applications of functional organic-thin-films for lithography on semiconductor device production

    International Nuclear Information System (INIS)

    Ogawa, Kazufumi

    1988-12-01

    This report describes some experimental results of studies in an attempt to contribute to the development of ultra-fine lithography which is used for the manufacture of semiconductor devices with design rule below 0.5 μm, and contains (1) manufacture of the exposure apparatus, (2) establishment of the resist process technology, and (3) preparation of the resist materials. The author designed and manufactured the KrF excimer laser stepper which is supposed to be most promising for practical uses. In the resist processing technology, the water-soluble contrast enhanced lithography (CEL) process was developed and this process has advantages is that high pattern contrast and large focus depth latitude were easily obtained. Finally, for resist materials, use of Langmuir-Blodgett (LB) films was investigated since the LB technique provides the method to prepare extremely thin organic films which are uniform in molecular level, and the reaction mechanism of the LB films of unsaturated compounds under irradiation with high energy beams was elucidated. (author)

  17. Radiation processing of polyolefins and compounds

    International Nuclear Information System (INIS)

    Barlow, A.; Biggs, J.; Maringer, M.

    1977-01-01

    Many properties of polyethylene and its copolymers are enhanced by crosslinking. This can be accomplished through the use of either peroxides or radiation. Crosslinking with peroxides is performed at elevated temperatures generally under pressure; catalyst residues remain in the product which have an adverse effect on electrical and possibly other properties. Radiation crosslinking, on the other hand, is performed under ambient conditions, is essentially free of pollution and offers lower overall production costs due to increased processing speed. A cost analysis of the two crosslinking processes applied to wire and cable coating is included. The advantages of radiation curing can be negated by processing problems which lead to inadequate product properties. Problems are described which may be encountered in developing a flame retardant, radiation curable compound for wire and cable coating. Of particular concern is the generation of a microporous structure which is accentuated by the presence of flame retardant ingredients and the absence of pressure inherent to the peroxide curing process. The procedures involved in solving these problems are briefly described. (author)

  18. FY 1977 Annual report on Sunshine Project results. Research and development of photovoltaic power generation systems. (Research and development of solar cells of II-VI group compound semiconductor); 1977 nendo taiyoko hatsuden system no kenkyu kaihatsu seika hokokusho. II-VI zoku kagobutsu handotai taiyo denchi no kenkyu kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1978-03-31

    This project is aimed at establishment of techniques for pollution-free production of II-VI group compound semiconductor type solar cells. The research items are (1) measures against aging, (2) methods for production of II-VI group compound semiconductors and for forming their joints, and (3) method for assembling solar cell devices.For the item (1), the aging tests are conducted for sintered film type CdS/CdTe solar cells. The C electrode is found to be less aged than the others. The aging tests for the CdS/Cu{sub 2}S cells indicate that it takes 10 years or longer for the performance to be halved under commercial conditions. For the item (2), the sintered film type CdS/CdTe solar cells can be produced by a mass-producible process of screen printing and belt furnace. This production method is promising for producing the solar cells at low cost. For the item (3), it is found that series resistance of the solar cell devices increases as the assembly area increases, resulting in decreased conversion efficiency. The divided structure of the CdTe layer is desired to avoid the above problem. Dividing each unit device increases intrinsic conversion efficiency, but decreases effective power generation area ratio. It is therefore necessary to improve printing precision. (NEDO)

  19. Nonradiative recombination in semiconductors

    CERN Document Server

    Abakumov, VN; Yassievich, IN

    1991-01-01

    In recent years, great progress has been made in the understandingof recombination processes controlling the number of excessfree carriers in semiconductors under nonequilibrium conditions. As a result, it is now possible to give a comprehensivetheoretical description of these processes. The authors haveselected a number of experimental results which elucidate theunderlying physical problems and enable a test of theoreticalmodels. The following topics are dealt with: phenomenological theory ofrecombination, theoretical models of shallow and deep localizedstates, cascade model of carrier captu

  20. their use as Accelerator in Curing Process of Rubber Compounds

    Directory of Open Access Journals (Sweden)

    S. taghvaee

    2007-06-01

    Full Text Available In some special cases, rubber compounds with high amounts of unsaturated elastomer are recommended with organic sulfur donors instead of mineral sulfurs. In this condition, activated sulfur is produced in situ and curingprocess is facilitated without accelerators. Organic sulfur donor compounds have low thermal stability and in the vulcanization temperature produce free and activated sulfurs. The advantages of these compounds are:1. High effectiveness of curing agent in low quantities in rubber compounds manufacturing.2. Producing activated sulfurs in controlled condition and avoiding the over curing of rubber compounds.In this report the novel synthesis of some derivatives of diamino-disulfides which can be applied as sulfur donors in vulcanization of special rubber compounds is introduced. The key process is reaction of sulfurmonochloride with amines in petroleum ether as solvent in low temperature. Dithio-dimorpholine(DTDM, dithio-dipipyridyl (DTDP, dithio-bis dibutylamine (DTBDB and dithio-bisdiisopropyl amine (DTBDI were prepared according to this method. All products thus obtained were characterized by 1H and 13C-NMR spectroscopies. The effects of accelerating and sulfur donoring of all prepared agents were detected in rubber compounds with natural and synthetic rubber bases. All physical, chemical, reological and mechanical properties of rubber compounds based on prepared sulfur donors were characterized.

  1. Evolutionary process development towards next generation crystalline silicon solar cells : a semiconductor process toolbox application

    Directory of Open Access Journals (Sweden)

    Tous L.

    2012-08-01

    Full Text Available Bulk crystalline Silicon solar cells are covering more than 85% of the world’s roof top module installation in 2010. With a growth rate of over 30% in the last 10 years this technology remains the working horse of solar cell industry. The full Aluminum back-side field (Al BSF technology has been developed in the 90’s and provides a production learning curve on module price of constant 20% in average. The main reason for the decrease of module prices with increasing production capacity is due to the effect of up scaling industrial production. For further decreasing of the price per wattpeak silicon consumption has to be reduced and efficiency has to be improved. In this paper we describe a successive efficiency improving process development starting from the existing full Al BSF cell concept. We propose an evolutionary development includes all parts of the solar cell process: optical enhancement (texturing, polishing, anti-reflection coating, junction formation and contacting. Novel processes are benchmarked on industrial like baseline flows using high-efficiency cell concepts like i-PERC (Passivated Emitter and Rear Cell. While the full Al BSF crystalline silicon solar cell technology provides efficiencies of up to 18% (on cz-Si in production, we are achieving up to 19.4% conversion efficiency for industrial fabricated, large area solar cells with copper based front side metallization and local Al BSF applying the semiconductor toolbox.

  2. A microprocessor based on a two-dimensional semiconductor

    Science.gov (United States)

    Wachter, Stefan; Polyushkin, Dmitry K.; Bethge, Ole; Mueller, Thomas

    2017-04-01

    The advent of microcomputers in the 1970s has dramatically changed our society. Since then, microprocessors have been made almost exclusively from silicon, but the ever-increasing demand for higher integration density and speed, lower power consumption and better integrability with everyday goods has prompted the search for alternatives. Germanium and III-V compound semiconductors are being considered promising candidates for future high-performance processor generations and chips based on thin-film plastic technology or carbon nanotubes could allow for embedding electronic intelligence into arbitrary objects for the Internet-of-Things. Here, we present a 1-bit implementation of a microprocessor using a two-dimensional semiconductor--molybdenum disulfide. The device can execute user-defined programs stored in an external memory, perform logical operations and communicate with its periphery. Our 1-bit design is readily scalable to multi-bit data. The device consists of 115 transistors and constitutes the most complex circuitry so far made from a two-dimensional material.

  3. Magnetic susceptibility of semiconductor melts

    International Nuclear Information System (INIS)

    Kutvitskij, V.A.; Shurygin, P.M.

    1975-01-01

    The temperature dependences chi of various alloys confirm the existence of cluster formations in molten semiconductors, the stability of these formations in melts being considerably affected by the anion nature. The concentrational dependences of the magnetic susceptibility for all the investigated systems exhibit the diamagnetism maxima corresponding to the compound compositions. Heating the melt causes ''smearing'' the maxima, which is related with the cluster structure dissociation. The existence of the maxima concentrational dependence chi corresponding to BiTe and BiSe is found in the isotherms. The non-linear dependence of chi on the composition shows the absence of a single-valued relation between the phase diagram and the chi-diagram for melts

  4. Development and characterization of a semi-conductor laser sensor for real time measurement and identification of atmospheric pollutants

    International Nuclear Information System (INIS)

    Boulos, F.; Zaatar, Y.; Atanas, J.P.; Bechara, J.

    2004-01-01

    Full text.Tunable diode laser absorption spectroscopy (TDLAS) in the near infrared (NIR) using semiconductor lasers of compounds between elements of group III (Ga, Al and In) and group V (P, As and Sb) is being increasingly used in various environmental and industrial process control applications. This technique exploits the unique properties of these laser materials i.e., high coherence, high monochromaticity, low divergence and high brightness to permit rapid sensitive detection with high selectivity and spectral resolution. A computer-interfaced near infrared semiconductor laser sensor has been developed in our laboratory for spectroscopic applications in air pollution monitoring. The sensor can be operated in two configurations: open path free beam coupled to a multiple pass White cell and fiber optic guided beam coupled to an evanescent wave sensor. This paper will present an overview of the system's modulation, sensing and data acquisition methods and some recent measurement results, together with a description of ongoing research and development for the improvement of the system's performance and sensitivity

  5. Elementary steps in electrical doping of organic semiconductors

    KAUST Repository

    Tietze, Max Lutz

    2018-03-15

    Fermi level control by doping is established since decades in inorganic semiconductors and has been successfully introduced in organic semiconductors. Despite its commercial success in the multi-billion OLED display business, molecular doping is little understood, with its elementary steps controversially discussed and mostly-empirical-materials design. Particularly puzzling is the efficient carrier release, despite a presumably large Coulomb barrier. Here we quantitatively investigate doping as a two-step process, involving single-electron transfer from donor to acceptor molecules and subsequent dissociation of the ground-state integer-charge transfer complex (ICTC). We show that carrier release by ICTC dissociation has an activation energy of only a few tens of meV, despite a Coulomb binding of several 100 meV. We resolve this discrepancy by taking energetic disorder into account. The overall doping process is explained by an extended semiconductor model in which occupation of ICTCs causes the classically known reserve regime at device-relevant doping concentrations.

  6. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    Science.gov (United States)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  7. Front-end electronics for multichannel semiconductor detector systems

    CERN Document Server

    Grybos, P

    2010-01-01

    Front-end electronics for multichannel semiconductor detektor systems Volume 08, EuCARD Editorial Series on Accelerator Science and Technology The monograph is devoted to many different aspects related to front-end electronics for semiconductor detector systems, namely: − designing and testing silicon position sensitive detectors for HEP experiments and X-ray imaging applications, − designing and testing of multichannel readout electronics for semiconductor detectors used in X-ray imaging applications, especially for noise minimization, fast signal processing, crosstalk reduction and good matching performance, − optimization of semiconductor detection systems in respect to the effects of radiation damage. The monograph is the result mainly of the author's experience in the above-mentioned areas and it is an attempt of a comprehensive presentation of issues related to the position sensitive detection system working in a single photon counting mode and intended to X-ray imaging applications. The structure...

  8. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  9. Process for the manufacture of a superconductor with an intermetallic compound

    International Nuclear Information System (INIS)

    Wilhelm, M.

    1980-01-01

    A superconductor with a superconducting intermetallic compound consisting of at least two elements can be manufactured by producing a conductor preproduct with a first component containing one element of the compound and a second component consisting of a carrier metal and the remaining element or elements of the alloy containing the compound, and by heat treating the conductor preproduct, so that the compound is formed by the reaction of the element of the first compound with the remaining element or elements of the second compound. In such a superconductor, one tries to increase the effective current density and critical current. The invention states that the heat treatment should be carried out in a hydrogen atmosphere. Superconductors produced by this process can be used for superconductor devices whose magnetic fields have a flux density above 10 Tesla. (orig.) [de

  10. Study on Characteristic of CdZnTe Semiconductor Detectors for Alpha Particle Measurement

    International Nuclear Information System (INIS)

    Kang, Sang Mook; Ha, Jang Ho; Kim, Yong Kyun; Park, Se Hwan; Kim, Han Soo; Chung, Chong Eun

    2005-01-01

    The last 2-3 years have seen continued effort in the development of a wide band gap room-temperature compound semiconductor devices aimed principally at photon imaging covering hard X-rays, synchrotrons, and low to medium energy gamma rays. Especially, among the semiconductor materials of a wide band gap, CdZnTe(CZT) has commonly used X-ray and gammaray detection applications because of the opportunity to achieve and excellent spectral and spatial resolution. It has recently been demonstrated that CZT can be used as an ancillary detector with the ability to detect both alpha particles and X-ray at room temperature. CZT detectors are relatively inexpensive compared with some silicon detectors, and are priced about the same as amorphous silicon and photodiodes which are routinely used for charged particle detection. In this paper, we investigated the use of the CZT semiconductor material as an alpha particles detector

  11. Mg2BIV: Narrow Bandgap Thermoelectric Semiconductors

    Science.gov (United States)

    Kim, Il-Ho

    2018-05-01

    Thermoelectric materials can convert thermal energy directly into electric energy and vice versa. The electricity generation from waste heat via thermoelectric devices can be considered as a new energy source. For instance, automotive exhaust gas and all industrial processes generate an enormous amount of waste heat that can be converted to electricity by using thermoelectric devices. Magnesium compound Mg2BIV (BIV = Si, Ge or Sn) has a favorable combination of physical and chemical properties and can be a good base for the development of new efficient thermoelectrics. Because they possess similar properties to those of group BIV elemental semiconductors, they have been recognized as good candidates for thermoelectric applications. Mg2Si, Mg2Ge and Mg2Sn with an antifluorite structure are narrow bandgap semiconductors with indirect band gaps of 0.77 eV, 0.74 eV, and 0.35 eV, respectively. Mg2BIV has been recognized as a promising material for thermoelectric energy conversion at temperatures ranging from 500 K to 800 K. Compared to other thermoelectric materials operating in the similar temperature range, such as PbTe and filled skutterudites, the important aspects of Mg2BIV are non-toxic and earth-abundant elements. Based on classical thermoelectric theory, the material factor β ( m* / m e)3/2μκ L -1 can be utilized as the criterion for thermoelectric material selection, where m* is the density-of-states effective mass, me is the mass of an electron, μ is the carrier mobility, and κL is the lattice thermal conductivity. The β for magnesium silicides is 14, which is very high compared to 0.8 for iron silicides, 1.4 for manganese silicides, and 2.6 for silicon-germanium alloys. In this paper, basic phenomena of thermoelectricity and transport parameters for thermoelectric materials were briefly introduced, and thermoelectric properties of Mg2BIV synthesized by using a solid-state reaction were reviewed. In addition, various Mg2BIV compounds were discussed

  12. Development of volatile compounds in processed cheese during storage

    DEFF Research Database (Denmark)

    Sunesen, Lars Oddershede; Lund, Pia; Sørensen, J.

    2002-01-01

    The purpose of this work teas to study tire impact of storage conditions, such as light and temperature, on the development of volatile compounds to processed cheese. Cheese in glass containers was stored at 5, 20 or 37 degreesC in light or darkness for up to 1 yr. Dynamic headspace and gas...... chromatography/mass spectrometry leas used for quantifying 28 volatile organic compounds at eight stages during tree storage period. Through principal component analysis, three important storage parameters could be identified. Principal components 1, 2 and 3 reflected storage tinge, conditions of light...

  13. Effects of hydrostatic pressure on the thermoelectric properties of the ɛ-polytype of InSe, GaSe, and InGaSe2 semiconductor compounds: an ab initio study

    Science.gov (United States)

    Elsayed, H.; Olguín, D.; Cantarero, A.

    2017-12-01

    This work presents an ab initio study of the effects of hydrostatic pressure on the Seebeck coefficients and thermoelectric power factors of the ɛ-polytype of InSe, GaSe, and InGaSe2 semiconductor compounds. Our study is performed using the semi-classical Boltzmann theory and the rigid band approach. The electronic band structures of these materials are calculated using the full-potential linearized augmented plane-wave method. The obtained thermoelectric properties are discussed in terms of the results of the electronic structure calculations. As we will show, our calculated Seebeck coefficient values indicate that these materials are good alternatives to other well-studied thermoelectric systems.

  14. Design strategy for air-stable organic semiconductors applicable to high-performance field-effect transistors

    Directory of Open Access Journals (Sweden)

    Kazuo Takimiya et al

    2007-01-01

    Full Text Available Electronic structure of air-stable, high-performance organic field-effect transistor (OFET material, 2,7-dipheneyl[1]benzothieno[3,2-b]benzothiophene (DPh-BTBT, was discussed based on the molecular orbital calculations. It was suggested that the stability is originated from relatively low-lying HOMO level, despite the fact that the molecule contains highly π-extended aromatic core ([1]benzothieno[3,2-b]benzothiophene, BTBT with four fused aromatic rings like naphthacene. This is rationalized by the consideration that the BTBT core is not isoelectronic with naphthacene but with chrysene, a cata-condensed phene with four benzene rings. It is well known that the acene-type compound is unstable among its structural isomers with the same number of benzene rings. Therefore, polycyclic aromatic compounds possessing the phene-substructure will be good candidates for stable organic semiconductors. Considering synthetic easiness, we suggest that the BTBT-substructure is the molecular structure of choice for developing air-stable organic semiconductors.

  15. REDUCTION OF ARSENIC WASTES IN THE SEMICONDUCTOR INDUSTRY

    Science.gov (United States)

    The research described in this report was aimed at initiating and developing processes and process modifications that could be incorporated into semiconductor manufacturing operations to accomplish pollution prevention, especially to accomplish significant reduction in the quanti...

  16. Post-process intensification of photographic silver images, using radioactive compounds

    International Nuclear Information System (INIS)

    1979-01-01

    A method of post-process intensification of silver images on a developed and fixed photographic film or plate is described, comprising the steps of (a) converting silver of the developed film or plate to a radioactive compound by contracting the film or plate with an aqueous alkaline solution of an organo-S 35 compound which reacts selectively with silver in a photographic film or plate; (b) placing the film or plate treated in step (a) in direct contact with a receiver film which is sensitive to beta radiation whereby the receiver film is exposed by radiation from the radioactive compound; and (c) developing and fixing the resulting intensified receiver film. (author)

  17. Solvent vapor annealing of an insoluble molecular semiconductor

    KAUST Repository

    Amassian, Aram

    2010-01-01

    Solvent vapor annealing has been proposed as a low-cost, highly versatile, and room-temperature alternative to thermal annealing of organic semiconductors and devices. In this article, we investigate the solvent vapor annealing process of a model insoluble molecular semiconductor thin film - pentacene on SiO 2 exposed to acetone vapor - using a combination of optical reflectance and two-dimensional grazing incidence X-ray diffraction measurements performed in situ, during processing. These measurements provide valuable and new insight into the solvent vapor annealing process; they demonstrate that solvent molecules interact mainly with the surface of the film to induce a solid-solid transition without noticeable swelling, dissolving or melting of the molecular material. © 2010 The Royal Society of Chemistry.

  18. Study of lead iodide semiconductor crystals doped with silver

    Czech Academy of Sciences Publication Activity Database

    Matuchová, Marie; Žďánský, Karel; Zavadil, Jiří; Maixner, J.; Alexiev, D.; Procházková, Olga

    2006-01-01

    Roč. 9, 1/3 (2006), s. 394-398 ISSN 1369-8001. [DRIP /11./. Beijing, 15.09.2005-19.09.2005] R&D Projects: GA ČR(CZ) GA102/03/0379; GA ČR(CZ) GA102/04/0959; GA AV ČR(CZ) KSK1010104 Institutional research plan: CEZ:AV0Z20670512 Keywords : rare earth compounds * detector circuits * semiconductor technology Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 1.038, year: 2006

  19. EPR of defects in semiconductors: past, present, future

    International Nuclear Information System (INIS)

    Watkins, G.D.

    1999-01-01

    Important physical concepts learned from early EPR studies of defects in silicon are reviewed. Highlighted are the studies of shallow effective-mass-liked donors and acceptors of deep transition element impurities, and of vacancies and interstitials. It is shown that the concepts learned in silicon translate remarkable well to the corresponding defects in the other elemental and compound semiconductors. The introduction of sensitive optical and electrical detection methods and the recent progress in single defects detection insure the continued vital role of EPR in the future

  20. II-VI Narrow-Bandgap Semiconductors for Optoelectronics

    Science.gov (United States)

    Baker, Ian

    The field of narrow-gap II-VI materials is dominated by the compound semiconductor mercury cadmium telluride, (Hg1-x Cd x Te or MCT), which supports a large industry in infrared detectors, cameras and infrared systems. It is probably true to say that HgCdTe is the third most studied semiconductor after silicon and gallium arsenide. Hg1-x Cd x Te is the material most widely used in high-performance infrared detectors at present. By changing the composition x the spectral response of the detector can be made to cover the range from 1 μm to beyond 17 μm. The advantages of this system arise from a number of features, notably: close lattice matching, high optical absorption coefficient, low carrier generation rate, high electron mobility and readily available doping techniques. These advantages mean that very sensitive infrared detectors can be produced at relatively high operating temperatures. Hg1-x Cd x Te multilayers can be readily grown in vapor-phase epitaxial processes. This provides the device engineer with complex doping and composition profiles that can be used to further enhance the electro-optic performance, leading to low-cost, large-area detectors in the future. The main purpose of this chapter is to describe the applications, device physics and technology of II-VI narrow-bandgap devices, focusing on HgCdTe but also including Hg1-x Mn x Te and Hg1-x Zn x Te. It concludes with a review of the research and development programs into third-generation infrared detector technology (so-called GEN III detectors) being performed in centers around the world.

  1. Electronic properties of semiconductor heterostructures

    International Nuclear Information System (INIS)

    Einevoll, G.T.

    1991-02-01

    Ten papers on the electronic properties of semiconductors and semiconductor heterostructures constitute the backbone of this thesis. Four papers address the form and validity of the single-band effective mass approximation for semiconductor heterostructures. In four other papers properties of acceptor states in bulk semiconductors and semiconductor heterostructures are studied using the novel effective bond-orbital model. The last two papers deal with localized excitions. 122 refs

  2. Organic semiconductor crystals.

    Science.gov (United States)

    Wang, Chengliang; Dong, Huanli; Jiang, Lang; Hu, Wenping

    2018-01-22

    Organic semiconductors have attracted a lot of attention since the discovery of highly doped conductive polymers, due to the potential application in field-effect transistors (OFETs), light-emitting diodes (OLEDs) and photovoltaic cells (OPVs). Single crystals of organic semiconductors are particularly intriguing because they are free of grain boundaries and have long-range periodic order as well as minimal traps and defects. Hence, organic semiconductor crystals provide a powerful tool for revealing the intrinsic properties, examining the structure-property relationships, demonstrating the important factors for high performance devices and uncovering fundamental physics in organic semiconductors. This review provides a comprehensive overview of the molecular packing, morphology and charge transport features of organic semiconductor crystals, the control of crystallization for achieving high quality crystals and the device physics in the three main applications. We hope that this comprehensive summary can give a clear picture of the state-of-art status and guide future work in this area.

  3. Semiconductor research capabilities at the Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    1987-02-01

    This document discusses semiconductor research capabilities (advanced materials, processing, packaging) and national user facilities (electron microscopy, heavy-ion accelerators, advanced light source)

  4. Optimization of Processing Technology of Compound Dandelion Wine

    Directory of Open Access Journals (Sweden)

    Wu Jixuan

    2016-01-01

    Full Text Available Exploring dandelion food has been the concern in fields of the food processing and pharmaceutical industry for playing exact curative effect on high-fat-diet induced hepatic steatosis and diuretic activity. Few dandelion foods including drinks and microencapsulation were explored and unilateral dandelion wine were less carried out for its bitter flavour. In tis paper, to optimize the processing technologies of fermented compound wine from dandelion root, the orthogonal experiment design method was used to composite dandelion root powder with glutinous rice and schisandra fruit and optimize the fermenting parameters. Four factors with dandelion content, schisandra content, acidity and sugar content were discussed. The acidity factor was firstly confirmed as 7.0 g/L. The other three factors were confirmed by a series experiments as dandelion 0.55%, schisandra 0.5%, sugar 22%. With nine step processing of mixing substrate, stirring with water, cooking rice, amylase saccharification, pectinase hydrolysis, adjusting juice, fermenting with yeast, fitering, aging, sterilization, a light yellow wine with the special taste with flavour of dandelion, schisandra and rice and less bitter, few index were determined as 14.7% alcohol, 6.85 g/L acidity. A dandelion fermented compound wine with suitable flavour and sanitarian function was developed for enriching the dandelion food.

  5. Very Low-Power Consumption Analog Pulse Processing ASIC for Semiconductor Radiation Detectors

    International Nuclear Information System (INIS)

    Wessendorf, K.O.; Lund, J.C.; Brunett, B.A.; Laguna, G.R.; Clements, J.W.

    1999-01-01

    We describe a very-low power consumption circuit for processing the pulses from a semiconductor radiation detector. The circuit was designed for use with a cadmium zinc telluride (CZT) detector for unattended monitoring of stored nuclear materials. The device is intended to be battery powered and operate at low duty-cycles over a long period of time. This system will provide adequate performance for medium resolution gamma-ray pulse-height spectroscopy applications. The circuit incorporates the functions of a charge sensitive preamplifier, shaping amplifier, and peak sample and hold circuit. An application specific integrated circuit (ASIC) version of the design has been designed, built and tested. With the exception of the input field effect transistor (FET), the circuit is constructed using bipolar components. In this paper the design philosophy and measured performance characteristics of the circuit are described

  6. Atomic-Resolution Spectrum Imaging of Semiconductor Nanowires.

    Science.gov (United States)

    Zamani, Reza R; Hage, Fredrik S; Lehmann, Sebastian; Ramasse, Quentin M; Dick, Kimberly A

    2018-03-14

    Over the past decade, III-V heterostructure nanowires have attracted a surge of attention for their application in novel semiconductor devices such as tunneling field-effect transistors (TFETs). The functionality of such devices critically depends on the specific atomic arrangement at the semiconductor heterointerfaces. However, most of the currently available characterization techniques lack sufficient spatial resolution to provide local information on the atomic structure and composition of these interfaces. Atomic-resolution spectrum imaging by means of electron energy-loss spectroscopy (EELS) in the scanning transmission electron microscope (STEM) is a powerful technique with the potential to resolve structure and chemical composition with sub-angstrom spatial resolution and to provide localized information about the physical properties of the material at the atomic scale. Here, we demonstrate the use of atomic-resolution EELS to understand the interface atomic arrangement in three-dimensional heterostructures in semiconductor nanowires. We observed that the radial interfaces of GaSb-InAs heterostructure nanowires are atomically abrupt, while the axial interface in contrast consists of an interfacial region where intermixing of the two compounds occurs over an extended spatial region. The local atomic configuration affects the band alignment at the interface and, hence, the charge transport properties of devices such as GaSb-InAs nanowire TFETs. STEM-EELS thus represents a very promising technique for understanding nanowire physical properties, such as differing electrical behavior across the radial and axial heterointerfaces of GaSb-InAs nanowires for TFET applications.

  7. Semiconductor spintronics

    CERN Document Server

    Xia, Jianbai; Chang, Kai

    2012-01-01

    Semiconductor Spintronics, as an emerging research discipline and an important advanced field in physics, has developed quickly and obtained fruitful results in recent decades. This volume is the first monograph summarizing the physical foundation and the experimental results obtained in this field. With the culmination of the authors' extensive working experiences, this book presents the developing history of semiconductor spintronics, its basic concepts and theories, experimental results, and the prospected future development. This unique book intends to provide a systematic and modern foundation for semiconductor spintronics aimed at researchers, professors, post-doctorates, and graduate students, and to help them master the overall knowledge of spintronics.

  8. IRIS Toxicological Review of Thallium and Compounds (External Review Draft)

    Science.gov (United States)

    Thallium compounds are used in the semiconductor industry, the manufacture of optic lenses and low-melting glass, low-temperature thermometers, alloys, electronic devices, mercury lamps, fireworks, and imitation germs, and clinically as an imaging agent in the diagnosis of certai...

  9. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  10. Development of the multistep compound process calculation code

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, Toshihiko [Kyushu Univ., Fukuoka (Japan)

    1998-03-01

    A program `cmc` has been developed to calculate the multistep compound (MSC) process by Feshback-Kerman-Koonin. A radial overlap integral in the transition matrix element is calculated microscopically, and comparisons are made for neutron induced {sup 93}Nb reactions. Strengths of the two-body interaction V{sub 0} are estimated from the total MSC cross sections. (author)

  11. Effects of Processing Conditions During Manufacture on Retronasal-Aroma Compounds from a Milk Coffee Drink.

    Science.gov (United States)

    Ikeda, Michio; Akiyama, Masayuki; Hirano, Yuta; Miyazi, Kazuhiro; Kono, Masaya; Imayoshi, Yuriko; Iwabuchi, Hisakatsu; Onodera, Takeshi; Toko, Kiyoshi

    2018-03-01

    To develop a ready-to-drink (RTD) milk coffee retaining the original coffee flavor, the effects of processing conditions during manufacture on retronasal-arma (RA) compounds from the milk coffee were investigated by gas chromatography-mass spectrometry using an RA simulator (RAS). Thirteen of 46 detected compounds in the RAS effluent (RAS compounds) decreased significantly following pH adjustment of coffee (from pH 5.1 to 6.8) and 5 compounds increased. RAS compounds from coffee tended to decrease through the pH adjustment and subsequent sterilization. Significantly higher amounts of 13 RAS compounds were released from the milk coffee produced using a blending-after-sterilization (BAS) process without the pH adjustment than from that using a blending-before-sterilization (BBS) process with the pH adjustment. In BAS-processed milk coffee, significantly lower amounts of 8 high-volatility compounds and 1H-pyrrole were released from coffee containing infusion-sterilized (INF) milk than from coffee containing plate-sterilized (PLT) milk, whereas 3 low-volatility compounds were released significantly more from coffee using PLT milk. Principal component analysis revealed that the effect of the manufacturing process (BAS, BBS, or homemade (blending unsterilized coffee without pH adjustment with sterilized milk)) on milk coffee volatiles was larger than that of the sterilization method (INF or PLT) for milk, and that the sterilization method could result in different RAS volatile characteristics in BAS and homemade processes. In conclusion, a BAS process was found to be superior to a BBS process for the manufacture of an RTD milk coffee that retains volatile characteristics similar to that of a homemade milk coffee. Ready-to-drink (RTD) milk coffee manufactured using the conventional blending-before-sterilization process does not retain its original coffee flavor due to pH adjustment of the coffee during the process. The new blending-after-sterilization (BAS) process

  12. Solution-Processed Wide-Bandgap Organic Semiconductor Nanostructures Arrays for Nonvolatile Organic Field-Effect Transistor Memory.

    Science.gov (United States)

    Li, Wen; Guo, Fengning; Ling, Haifeng; Liu, Hui; Yi, Mingdong; Zhang, Peng; Wang, Wenjun; Xie, Linghai; Huang, Wei

    2018-01-01

    In this paper, the development of organic field-effect transistor (OFET) memory device based on isolated and ordered nanostructures (NSs) arrays of wide-bandgap (WBG) small-molecule organic semiconductor material [2-(9-(4-(octyloxy)phenyl)-9H-fluoren-2-yl)thiophene]3 (WG 3 ) is reported. The WG 3 NSs are prepared from phase separation by spin-coating blend solutions of WG 3 /trimethylolpropane (TMP), and then introduced as charge storage elements for nonvolatile OFET memory devices. Compared to the OFET memory device with smooth WG 3 film, the device based on WG 3 NSs arrays exhibits significant improvements in memory performance including larger memory window (≈45 V), faster switching speed (≈1 s), stable retention capability (>10 4 s), and reliable switching properties. A quantitative study of the WG 3 NSs morphology reveals that enhanced memory performance is attributed to the improved charge trapping/charge-exciton annihilation efficiency induced by increased contact area between the WG 3 NSs and pentacene layer. This versatile solution-processing approach to preparing WG 3 NSs arrays as charge trapping sites allows for fabrication of high-performance nonvolatile OFET memory devices, which could be applicable to a wide range of WBG organic semiconductor materials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Semiconductor electrolyte photovoltaic energy converter

    Science.gov (United States)

    Anderson, W. W.; Anderson, L. B.

    1975-01-01

    Feasibility and practicality of a solar cell consisting of a semiconductor surface in contact with an electrolyte are evaluated. Basic components and processes are detailed for photovoltaic energy conversion at the surface of an n-type semiconductor in contact with an electrolyte which is oxidizing to conduction band electrons. Characteristics of single crystal CdS, GaAs, CdSe, CdTe and thin film CdS in contact with aqueous and methanol based electrolytes are studied and open circuit voltages are measured from Mott-Schottky plots and open circuit photo voltages. Quantum efficiencies for short circuit photo currents of a CdS crystal and a 20 micrometer film are shown together with electrical and photovoltaic properties. Highest photon irradiances are observed with the GaAs cell.

  14. Electrostatic separation for recycling conductors, semiconductors, and nonconductors from electronic waste.

    Science.gov (United States)

    Xue, Mianqiang; Yan, Guoqing; Li, Jia; Xu, Zhenming

    2012-10-02

    Electrostatic separation has been widely used to separate conductors and nonconductors for recycling e-waste. However, the components of e-waste are complex, which can be classified as conductors, semiconductors, and nonconductors according to their conducting properties. In this work, we made a novel attempt to recover the mixtures containing conductors (copper), semiconductors (extrinsic silicon), and nonconductors (woven glass reinforced resin) by electrostatic separation. The results of binary mixtures separation show that the separation of conductor and nonconductor, semiconductor and nonconductor need a higher voltage level while the separation of conductor and semiconductor needs a higher roll speed. Furthermore, the semiconductor separation efficiency is more sensitive to the high voltage level and the roll speed than the conductor separation efficiency. An integrated process was proposed for the multiple mixtures separation. The separation efficiency of conductors and semiconductors can reach 82.5% and 88%, respectively. This study contributes to the efficient recycling of valuable resources from e-waste.

  15. Ultrafast laser-semiconductor interactions

    International Nuclear Information System (INIS)

    Schile, L.A.

    1996-01-01

    Studies of the ultrafast (< 100 fs) interactions of infrared, sub-100 fs laser pulses with IR, photosensitive semiconductor materials InGaAs, InSb, and HgCdTe are reported. Both the carrier dynamics and the associated Terahertz radiation from these materials are discussed. The most recent developments of femtosecond (< 100 fs) Optical Parametric Oscillators (OPO) has extended the wavelength range from the visible to 5.2 μm. The photogenerated semiconductor free carrier dynamics are determined in the 77 to 300 degrees K temperature range using the Transmission Correlation Peak (TCP) method. The electron-phonon scattering times are typically 200 - 600 fs. Depending upon the material composition and substrate on which the IR crystalline materials are deposited, the nonlinear TCP absorption gives recombination rates as fast as 10's of picoseconds. For the HgCdTe, there exists a 400 fs electron-phonon scattering process along with a much longer 3600 fs loss process. Studies of the interactions of these ultrashort laser pulses with semiconductors produce Terahertz (Thz) radiative pulses. With undoped InSb, there is a substantial change in the spectral content of this THz radiation between 80 - 260 degrees K while the spectrum of Te-doped InSb remains nearly unchanged, an effect attributed to its mobility being dominated by impurity scattering. At 80 degrees K, the terahertz radiation from undoped InSb is dependent on wavelength, with both a higher frequency spectrum and much larger amplitudes generated at longer wavelengths. No such effect is observed at 260 degrees K. Finally, new results on the dependence of the emitted THz radiation on the InSb crystal's orientation is presented

  16. Controls on the Environmental Fate of Compounds Controlled by Coupled Hydrologic and Reactive Processes

    Science.gov (United States)

    Hixson, J.; Ward, A. S.; McConville, M.; Remucal, C.

    2017-12-01

    Current understanding of how compounds interact with hydrologic processes or reactive processes have been well established. However, the environmental fate for compounds that interact with hydrologic AND reactive processes is not well known, yet critical in evaluating environmental risk. Evaluations of risk are often simplified to homogenize processes in space and time and to assess processes independently of one another. However, we know spatial heterogeneity and time-variable reactivities complicate predictions of environmental transport and fate, and is further complicated by the interaction of these processes, limiting our ability to accurately predict risk. Compounds that interact with both systems, such as photolytic compounds, require that both components are fully understood in order to predict transport and fate. Release of photolytic compounds occurs through both unintentional releases and intentional loadings. Evaluating risks associated with unintentional releases and implementing best management practices for intentional releases requires an in-depth understanding of the sensitivity of photolytic compounds to external controls. Lampricides, such as 3-trifluoromethyl-4-nitrophenol (TFM), are broadly applied in the Great Lakes system to control the population of invasive sea lamprey. Over-dosing can yield fish kills and other detrimental impacts. Still, planning accounts for time of passage and dilution, but not the interaction of the physical and chemical systems (i.e., storage in the hyporheic zone and time-variable decay rates). In this study, we model a series of TFM applications to test the efficacy of dosing as a function of system characteristics. Overall, our results demonstrate the complexity associated with photo-sensitive compounds through stream-hyporheic systems, and highlight the need to better understand how physical and chemical systems interact to control transport and fate in the environment.

  17. Oxygen and carbon transfer during solidification of semiconductor grade silicon in different processes

    Science.gov (United States)

    Ribeyron, P. J.; Durand, F.

    2000-03-01

    A model is established for comparing the solute distribution resulting from four solidification processes currently applied to semiconductor grade silicon: Czochralski pulling (CZ), floating zone (FZ), 1D solidification and electromagnetic continuous pulling (EMCP). This model takes into account solid-liquid interface exchange, evaporation to or contamination by the gas phase, container dissolution, during steady-state solidification, and in the preliminary preparation of the melt. For simplicity, the transfers are treated in the crude approximation of perfectly mixed liquid and boundary layers. As a consequence, only the axial ( z) distribution can be represented. Published data on oxygen and carbon transfer give a set of acceptable values for the thickness of the boundary layers. In the FZ and EMCP processes, oxygen evaporation can change the asymptotic behaviour of the reference Pfann law. In CZ and in 1D-solidification, a large variety of solute profile curves can be obtained, because they are very sensitive to the balance between crucible dissolution and evaporation. The CZ process clearly brings supplementary degrees of freedom via the geometry of the crucible, important for the dissolution phenomena, and via the rotation rate of the crystal and of the crucible, important for acting on transfer kinetics.

  18. Stability of semiconductor memory characteristics in a radiation environment

    OpenAIRE

    Fetahović, I.; Vujisić, M.; Stanković, K.; Dolićanin, E.

    2015-01-01

    Radiation defects in electronic device can occur in a process of its fabrication or during use. Miniaturization trends in industry and increase in level of integration of electronic components have negative affect on component's behavior in a radiation environment. The aim of this paper is to analyze radiation effects in semiconductor memories and to establish how ionizing radiation influences characteristics and functionality of semiconductor memories. Both the experimental procedure and sim...

  19. Radiative heat transfer analysis in pure water heater used for semiconductor processing

    International Nuclear Information System (INIS)

    Liu, L.H.; Kudo, K.; Mochida, A.; Ogawa, T.; Kadotani, K.

    2004-01-01

    A simplified one-dimensional model is presented to analyze the non-gray radiative transfer in pure water heater used in the rinsing processes within semiconductor production lines, and the ray-tracing method is extended to simulate the radiative heat transfer. To examine the accuracy of the simplified model, the distribution of radiation absorption is determined by the ray-tracing method based the simplified model and compared with the data obtained by three-dimensional non-gray model in combination with Monte Carlo method in reference, and the effects of the water thickness on the radiation absorption are analyzed. The results show that the simplified model has a good accuracy in solving the radiation absorption in the pure water heater. The radiation absorption increases with the water thickness, but when the water thickness is greater than 50 mm, the radiation absorption increases very slowly with the water thickness

  20. Heat and mass transfer in semiconductor melts during single-crystal growth processes

    Science.gov (United States)

    Kakimoto, Koichi

    1995-03-01

    The quality of large semiconductor crystals grown from melts is significantly affected by the heat and mass transfer in the melts. The current understanding of the phenomena, especially melt convection, is reviewed starting from the results of visualization using model fluids or silicon melt, and continuing to the detailed numerical calculations needed for quantitative modeling of processing with solidification. The characteristics of silicon flows are also reviewed by focusing on the Coriolis force in the rotating melt. Descriptions of flow instabilities are included that show the level of understanding of melt convection with a low Prandtl number. Based on hydrodynamics, the origin of the silicon flow structure is reviewed, and it is discussed whether silicon flow is completely turbulent or has an ordered structure. The phase transition from axisymmetric to nonaxisymmetric flow is discussed using different geometries. Additionally, surface-tension-driven flow is reviewed for Czochralski crystal growth systems.

  1. Nuclear Electrical and Optical Studies of Hydrogen in Semiconductors.

    CERN Multimedia

    Dietrich, M; Toulemonde, M

    2002-01-01

    During the last years, the understanding of H and its interaction with dopant atoms in Si, Ge and III-V semiconductors has improved considerably concerning the stability of the formed complexes their structural arrangements, and the implications of this interaction on the electrical properties of the semiconductors " passivation " The perturbed angular correlation technique (PAC) has contributed to the understanding of this phenomena on an atomistic scale using radioactive isotopes provided by ISOLDE. \\\\ \\\\The aim of the proposed experiments is twofold: \\\\ \\\\\\begin{enumerate} \\item The H passivation mechanism of acceptors in GaN and ternary III-V compounds (AlGaAs, GaInP, AlGaN) shall be investigated, using the PAC probe atom $^{111m}$Cd as a 'representative' of group II-B metal acceptors. The problems addressed in these technological important systems are microscopic structure, formation and stability of the hydrogen correlated complexes as function of doping and stoichiometry (i.e. the size of the band gap)...

  2. Studies of Thermophysical Properties of Metals and Semiconductors by Containerless Processing Under Microgravity

    Science.gov (United States)

    Seidel, A.; Soellner, W.; Stenzel, C.

    2012-01-01

    Electromagnetic levitation under microgravity provides unique opportunities for the investigation of liquid metals, alloys and semiconductors, both above and below their melting temperatures, with minimized disturbances of the sample under investigation. The opportunity to perform such experiments will soon be available on the ISS with the EML payload which is currently being integrated. With its high-performance diagnostics systems EML allows to measure various physical properties such as heat capacity, enthalpy of fusion, viscosity, surface tension, thermal expansion coefficient, and electrical conductivity. In studies of nucleation and solidification phenomena the nucleation kinetics, phase selection, and solidification velocity can be determined. Advanced measurement capabilities currently being studied include the measurement and control of the residual oxygen content of the process atmosphere and a complementary inductive technique to measure thermophysical properties.

  3. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  4. Studies of optical properties and applications of some mixed ternary semiconductors

    International Nuclear Information System (INIS)

    Ghosh, P.S.; Ghosh, D.K.; Samanta, L.K.

    1989-01-01

    Refractive indices of some mixed compound semiconductors below the bandgap are presented on the basis of some fundamental parameters and the effect of lattice mismatch on the refractive index step is also studied. The results help to design a variety of opto-electronic devices for the use in optical fiber communication and heterostructure lasers. The calculated values agree well with available experimental values thus justifying the approach. (author)

  5. Semiconductor lasers stability, instability and chaos

    CERN Document Server

    Ohtsubo, Junji

    2017-01-01

    This book describes the fascinating recent advances made concerning the chaos, stability and instability of semiconductor lasers, and discusses their applications and future prospects in detail. It emphasizes the dynamics in semiconductor lasers by optical and electronic feedback, optical injection, and injection current modulation. Applications of semiconductor laser chaos, control and noise, and semiconductor lasers are also demonstrated. Semiconductor lasers with new structures, such as vertical-cavity surface-emitting lasers and broad-area semiconductor lasers, are intriguing and promising devices. Current topics include fast physical number generation using chaotic semiconductor lasers for secure communication, development of chaos, quantum-dot semiconductor lasers and quantum-cascade semiconductor lasers, and vertical-cavity surface-emitting lasers. This fourth edition has been significantly expanded to reflect the latest developments. The fundamental theory of laser chaos and the chaotic dynamics in se...

  6. Development of melting and casting process for Nb-Al intermetallic compounds and mechanical properties

    International Nuclear Information System (INIS)

    Kamata, Kinya; Degawa, Toru; Nagashima, Yoshinori

    1993-01-01

    The shaping methods of Nb-Al intermetallic compounds, especially melting and casting, have considerably different characteristics as compared with those for other metals and alloys. The authors have investigated melting and casting processes for Nb-Al compounds to develop precision casting processes for these intermetallics. Fundamental properties of Nb-Al compound castings have been also investigated for high temperature structural use in this work. An advanced Induction Skull Melting (ISM) furnace has been developed and the advantages of ISM have been recognized as a result of this study. The mechanical properties, such as hardness and compression strength, are dependent upon the Al content in Nb-Al binary compounds

  7. Terahertz semiconductor nonlinear optics

    DEFF Research Database (Denmark)

    Turchinovich, Dmitry; Hvam, Jørn Märcher; Hoffmann, Matthias

    2013-01-01

    In this proceedings we describe our recent results on semiconductor nonlinear optics, investigated using single-cycle THz pulses. We demonstrate the nonlinear absorption and self-phase modulation of strong-field THz pulses in doped semiconductors, using n-GaAs as a model system. The THz...... nonlinearity in doped semiconductors originates from the near-instantaneous heating of free electrons in the ponderomotive potential created by electric field of the THz pulse, leading to ultrafast increase of electron effective mass by intervalley scattering. Modification of effective mass in turn leads...... to a decrease of plasma frequency in semiconductor and produces a substantial modification of THz-range material dielectric function, described by the Drude model. As a result, the nonlinearity of both absorption coefficient and refractive index of the semiconductor is observed. In particular we demonstrate...

  8. Structural, optical and vibrational studies of Na{sup +} doped Cd{sub 0.8}Zn{sub 0.2}S semiconductor compounds

    Energy Technology Data Exchange (ETDEWEB)

    Yellaiah, G., E-mail: johngolluri@yahoo.com; Hadasa, K.; Nagabhushanam, M., E-mail: mamidala_nb@yahoo.com

    2013-12-25

    Graphical abstract: FTIR spectra of Cd{sub 0.8}Zn{sub 0.2}S: N{sub x} (x = 0.2 mol%). Highlights: •The energy band gaps of Cd{sub 0.8}Zn{sub 02}S: Nasamples were estimated. •Density and porosity percentages were calculated. •From the FTIR study CdS and ZnS stretching bonds were detected. -- Abstract: Cd{sub 0.8}Zn{sub 0.2}S semiconductor powders doped with different amounts of sodium have been synthesized by controlled co-precipitation technique. X-ray diffraction (XRD), Scanning electron microscope (SEM), Optical absorption and Fourier transform infrared spectroscope (FTIR) studies have been done on all these samples. XRD studies have revealed that the samples are polycrystalline with an average crystallite size ranging from 29 to 55 nm and they crystallize in the hexagonal form with wurtzite structure. The optical measurements revealed that the samples possess direct band gap and the band gap increases with an increase in the dopant concentration. The vibrational modes of Cd–S and Zn–S were obtained from FTIR studies and found to be at 812–618 cm{sup −1} respectively. Experimental and theoretical (XRD) densities were calculated and analyzed. Density from XRD and porosity in percentage varied from 92% to 94% and 5% to 8% respectively. The elemental analysis of the compounds was done by energy dispersive spectroscopy (EDS) and found that the cadmium, zinc, sulphur and sodium elements were present in the compound as per the composition taken. From the theoretical estimations it is understood that the dopant (Na) occupies the interstitial of CdZnS.

  9. Evaluation of phenolic compounds in mate (Ilex paraguariensis) processed by gamma radiation

    Energy Technology Data Exchange (ETDEWEB)

    Furgeri, C.; Nunes, T.C.F.; Fanaro, G.B. [Instituto de Pesquisas Energeticas Nucleares, IPEN-CNEN/SP, Centro de Tecnologia das Radiacoes-Laboratory de Deteccao de Alimentos Irradiados, Av. Professor Lineu Prestes, 2242, Cidade Universitaria, CEP: 05508-900 Sao Paulo (Brazil); Souza, M.F.F.; Bastos, D.H.M. [Faculdade de Saude Publica, FSP/USP, Departamento de Nutricao-Av. Dr. Arnaldo, 715, CEP: 01246-904 Sao Paulo (Brazil); Villavicencio, A.L.C.H. [Instituto de Pesquisas Energeticas Nucleares, IPEN-CNEN/SP, Centro de Tecnologia das Radiacoes-Laboratory de Deteccao de Alimentos Irradiados, Av. Professor Lineu Prestes, 2242, Cidade Universitaria, CEP: 05508-900 Sao Paulo (Brazil)], E-mail: villavic@ipen.br

    2009-07-15

    The radiation food processing has been demonstrating great effectiveness in the attack of pathogenic agents, while little compromising nutritional value and sensorial properties of foods. The mate (Ilex paraguariensis), widely consumed product in South America, generally in the form of infusions with hot or cold water, calls of chimarrao or terere, it is cited in literature as one of the best sources phenolic compounds. The antioxidants action of these constituent has been related to the protection of the organism against the free radicals, generated in alive, currently responsible for the sprouting of some degenerative illness as cancer, arteriosclerosis, rheumatic arthritis and cardiovascular clutters among others. The objective of that work was to evaluate the action of the processing for gamma radiation in phenolic compounds of terere beverage in the doses of 0, 3, 5, 7 and 10 kGy. The observed results do not demonstrate significant alterations in phenolic compounds of terere beverage processed by gamma radiation.

  10. Evaluation of phenolic compounds in mate (Ilex paraguariensis) processed by gamma radiation

    International Nuclear Information System (INIS)

    Furgeri, C.; Nunes, T.C.F.; Fanaro, G.B.; Souza, M.F.F.; Bastos, D.H.M.; Villavicencio, A.L.C.H.

    2009-01-01

    The radiation food processing has been demonstrating great effectiveness in the attack of pathogenic agents, while little compromising nutritional value and sensorial properties of foods. The mate (Ilex paraguariensis), widely consumed product in South America, generally in the form of infusions with hot or cold water, calls of chimarrao or terere, it is cited in literature as one of the best sources phenolic compounds. The antioxidants action of these constituent has been related to the protection of the organism against the free radicals, generated in alive, currently responsible for the sprouting of some degenerative illness as cancer, arteriosclerosis, rheumatic arthritis and cardiovascular clutters among others. The objective of that work was to evaluate the action of the processing for gamma radiation in phenolic compounds of terere beverage in the doses of 0, 3, 5, 7 and 10 kGy. The observed results do not demonstrate significant alterations in phenolic compounds of terere beverage processed by gamma radiation.

  11. Imaging the motion of electrons across semiconductor heterojunctions

    Science.gov (United States)

    Man, Michael K. L.; Margiolakis, Athanasios; Deckoff-Jones, Skylar; Harada, Takaaki; Wong, E. Laine; Krishna, M. Bala Murali; Madéo, Julien; Winchester, Andrew; Lei, Sidong; Vajtai, Robert; Ajayan, Pulickel M.; Dani, Keshav M.

    2017-01-01

    Technological progress since the late twentieth century has centred on semiconductor devices, such as transistors, diodes and solar cells. At the heart of these devices is the internal motion of electrons through semiconductor materials due to applied electric fields or by the excitation of photocarriers. Imaging the motion of these electrons would provide unprecedented insight into this important phenomenon, but requires high spatial and temporal resolution. Current studies of electron dynamics in semiconductors are generally limited by the spatial resolution of optical probes, or by the temporal resolution of electronic probes. Here, by combining femtosecond pump-probe techniques with spectroscopic photoemission electron microscopy, we imaged the motion of photoexcited electrons from high-energy to low-energy states in a type-II 2D InSe/GaAs heterostructure. At the instant of photoexcitation, energy-resolved photoelectron images revealed a highly non-equilibrium distribution of photocarriers in space and energy. Thereafter, in response to the out-of-equilibrium photocarriers, we observed the spatial redistribution of charges, thus forming internal electric fields, bending the semiconductor bands, and finally impeding further charge transfer. By assembling images taken at different time-delays, we produced a movie lasting a few trillionths of a second of the electron-transfer process in the photoexcited type-II heterostructure—a fundamental phenomenon in semiconductor devices such as solar cells. Quantitative analysis and theoretical modelling of spatial variations in the movie provide insight into future solar cells, 2D materials and other semiconductor devices.

  12. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  13. Defects in semiconductors

    CERN Document Server

    Romano, Lucia; Jagadish, Chennupati

    2015-01-01

    This volume, number 91 in the Semiconductor and Semimetals series, focuses on defects in semiconductors. Defects in semiconductors help to explain several phenomena, from diffusion to getter, and to draw theories on materials' behavior in response to electrical or mechanical fields. The volume includes chapters focusing specifically on electron and proton irradiation of silicon, point defects in zinc oxide and gallium nitride, ion implantation defects and shallow junctions in silicon and germanium, and much more. It will help support students and scientists in their experimental and theoret

  14. Charged Semiconductor Defects Structure, Thermodynamics and Diffusion

    CERN Document Server

    Seebauer, Edmund G

    2009-01-01

    The technologically useful properties of a solid often depend upon the types and concentrations of the defects it contains. Not surprisingly, defects in semiconductors have been studied for many years, in many cases with a view towards controlling their behavior through various forms of "defect engineering." For example, in the bulk, charging significantly affects the total concentration of defects that are available to mediate phenomena such as solid-state diffusion. Surface defects play an important role in mediating surface mass transport during high temperature processing steps such as epitaxial film deposition, diffusional smoothing in reflow, and nanostructure formation in memory device fabrication. Charged Semiconductor Defects details the current state of knowledge regarding the properties of the ionized defects that can affect the behavior of advanced transistors, photo-active devices, catalysts, and sensors. Features: Group IV, III-V, and oxide semiconductors; Intrinsic and extrinsic defects; and, P...

  15. Building Asphalt Pavement with SBS-based Compound Added Using a Dry Process in Greenland

    DEFF Research Database (Denmark)

    Lee, Hosin; Kim, Yongjoo; Geisler, Nivi

    2009-01-01

    PMA where it is formulated to melt and blend with asphalt quickly during a batch mixing process. The main objectives of this study are to (1) build asphalt pavement using asphalt mixtures with SBS-based compound added using a “dry” process at the batch plant and (2) evaluate its performance under......-based compound seemed to affect the asphalt mix to become more flexible under the heavy loads. By adding SBS-based compound to asphalt mixtures using a “dry” process, it is expected that the pavement would become more resistant to rutting than a typical asphalt mixture used in Greenland while enduring its arctic...

  16. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process.

    Science.gov (United States)

    Mehta, Karan K; Orcutt, Jason S; Shainline, Jeffrey M; Tehar-Zahav, Ofer; Sternberg, Zvi; Meade, Roy; Popović, Miloš A; Ram, Rajeev J

    2014-02-15

    We present measurements on resonant photodetectors utilizing sub-bandgap absorption in polycrystalline silicon ring resonators, in which light is localized in the intrinsic region of a p+/p/i/n/n+ diode. The devices, operating both at λ=1280 and λ=1550  nm and fabricated in a complementary metal-oxide-semiconductor (CMOS) dynamic random-access memory emulation process, exhibit detection quantum efficiencies around 20% and few-gigahertz response bandwidths. We observe this performance at low reverse biases in the range of a few volts and in devices with dark currents below 50 pA at 10 V. These results demonstrate that such photodetector behavior, previously reported by Preston et al. [Opt. Lett. 36, 52 (2011)], is achievable in bulk CMOS processes, with significant improvements with respect to the previous work in quantum efficiency, dark current, linearity, bandwidth, and operating bias due to additional midlevel doping implants and different material deposition. The present work thus offers a robust realization of a fully CMOS-fabricated all-silicon photodetector functional across a wide wavelength range.

  17. Low-confinement high-power semiconductor lasers

    NARCIS (Netherlands)

    Buda, M.

    1999-01-01

    This thesis presents the results of studies related to optimisation of high power semiconductor laser diodes using the low confinement concept. This implies a different approach in designing the transversal layer structure before growth and in processing the wafer after growth, for providing the

  18. Minimally processed mixed salad submitted to gamma radiation: effects on bioactive compounds

    International Nuclear Information System (INIS)

    Hirashima, Fabiana K.; Sabato, Susy F.; Lanfer-Marquez, Ursula M.

    2015-01-01

    High consumption of fruits and vegetables has been associated with a lowered incidence of oxidative stress-related diseases due to the presence of bioactive structures. Minimally processed products are a growing segment in food retail establishments because it is associated with practicality and convenience without significantly altering fresh-like characteristics. Low-dose of gamma radiation in combination with minimal processes has shown to be a promising strategy for extending shelf life and maintaining the organoleptic quality of fruits and vegetables. The objective of this study was to evaluate the levels of phenolic compounds, flavonoids, proanthocyanidins and antioxidant activity by 1,1-diphenyl-2-picrylhydrazyl (DPPH•) free radical scavenging and Oxygen Radical Absorbance Capacity (ORAC) method in minimally processed mixed salad before and after different radiation doses. Samples of minimally processed mixed salad (with green and red cabbage and carrot) were purchased at local supermarket and irradiated with doses of 0.5, 1.0, 2.0 and 3.0 kGy. Phenolic compounds, flavonoids, proanthocyanidins and antioxidant activity by DPPH• and ORAC were analyzed on the same extract prepared with MeOH. The results showed that bioactive compounds levels and antioxidant activity decreased significantly (p<0.05) with an increasing on radiation dose. Gamma-rays may affect these compounds and can cause degradation or oxidation, which can explain the drop on levels. Although the radiation has affected the bioactive contents, the process seems to be interesting to maintaining organoleptic characteristics and provide microbiological security at doses up to 2.0 kGy, according to studies conducted by our research group. (author)

  19. Minimally processed mixed salad submitted to gamma radiation: effects on bioactive compounds

    Energy Technology Data Exchange (ETDEWEB)

    Hirashima, Fabiana K.; Sabato, Susy F., E-mail: fmayumi@usp.br [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil); Lanfer-Marquez, Ursula M., E-mail: lanferum@usp.br [Universidade de Sao Paulo (FCF/USP), Sao Paulo, SP (Brazil). Faculdade de Ciencias Farmaceuticas. Dept. de Alimentos e Nutricao Experimental

    2015-07-01

    High consumption of fruits and vegetables has been associated with a lowered incidence of oxidative stress-related diseases due to the presence of bioactive structures. Minimally processed products are a growing segment in food retail establishments because it is associated with practicality and convenience without significantly altering fresh-like characteristics. Low-dose of gamma radiation in combination with minimal processes has shown to be a promising strategy for extending shelf life and maintaining the organoleptic quality of fruits and vegetables. The objective of this study was to evaluate the levels of phenolic compounds, flavonoids, proanthocyanidins and antioxidant activity by 1,1-diphenyl-2-picrylhydrazyl (DPPH•) free radical scavenging and Oxygen Radical Absorbance Capacity (ORAC) method in minimally processed mixed salad before and after different radiation doses. Samples of minimally processed mixed salad (with green and red cabbage and carrot) were purchased at local supermarket and irradiated with doses of 0.5, 1.0, 2.0 and 3.0 kGy. Phenolic compounds, flavonoids, proanthocyanidins and antioxidant activity by DPPH• and ORAC were analyzed on the same extract prepared with MeOH. The results showed that bioactive compounds levels and antioxidant activity decreased significantly (p<0.05) with an increasing on radiation dose. Gamma-rays may affect these compounds and can cause degradation or oxidation, which can explain the drop on levels. Although the radiation has affected the bioactive contents, the process seems to be interesting to maintaining organoleptic characteristics and provide microbiological security at doses up to 2.0 kGy, according to studies conducted by our research group. (author)

  20. Charge transport in organic semiconductors.

    Science.gov (United States)

    Bässler, Heinz; Köhler, Anna

    2012-01-01

    Modern optoelectronic devices, such as light-emitting diodes, field-effect transistors and organic solar cells require well controlled motion of charges for their efficient operation. The understanding of the processes that determine charge transport is therefore of paramount importance for designing materials with improved structure-property relationships. Before discussing different regimes of charge transport in organic semiconductors, we present a brief introduction into the conceptual framework in which we interpret the relevant photophysical processes. That is, we compare a molecular picture of electronic excitations against the Su-Schrieffer-Heeger semiconductor band model. After a brief description of experimental techniques needed to measure charge mobilities, we then elaborate on the parameters controlling charge transport in technologically relevant materials. Thus, we consider the influences of electronic coupling between molecular units, disorder, polaronic effects and space charge. A particular focus is given to the recent progress made in understanding charge transport on short time scales and short length scales. The mechanism for charge injection is briefly addressed towards the end of this chapter.

  1. Heavy ions amorphous semiconductors irradiation study

    International Nuclear Information System (INIS)

    Benmalek, M.

    1978-01-01

    The behavior of amorphous semiconductors (germanium and germanium and arsenic tellurides) under ion bombardment at energies up to 2 MeV was studied. The irradiation induced modifications were followed using electrical parameter changes (resistivity and activation energy) and by means of the transmission electron microscopy observations. The electrical conductivity enhancement of the irradiated samples was interpreted using the late conduction theories in amorphous compounds. In amorphous germanium, Electron Microscopy showed the formations of 'globules', these defects are similar to voids observed in irradiated metals. The displacement cascade theory was used for the interpretation of the irradiation induced defects formation and a coalescence mechanism of growth was pointed out for the vacancy agglomeration [fr

  2. The rates of charge separation and energy destructive charge recombination processes within an organic dyad in presence of metal-semiconductor core shell nanocomposites.

    Science.gov (United States)

    Mandal, Gopa; Bhattacharya, Sudeshna; Das, Subrata; Ganguly, Tapan

    2012-01-01

    Steady state and time resolved spectroscopic measurements were made at the ambient temperature on an organic dyad, 1-(4-Chloro-phenyl)-3-(4-methoxy-naphthalen-1-yl)-propenone (MNCA), where the donor 1-methoxynaphthalene (1 MNT) is connected with the acceptor p-chloroacetophenone (PCA) by an unsaturated olefinic bond, in presence of Ag@TiO2 nanoparticles. Time resolved fluorescence and absorption measurements reveal that the rate parameters associated with charge separation, k(CS), within the dyad increases whereas charge recombination rate k(CR) reduces significantly when the surrounding medium is changed from only chloroform to mixture of chloroform and Ag@TiO2 (noble metal-semiconductor) nanocomposites. The observed results indicate that the dyad being combined with core-shell nanocomposites may form organic-inorganic nanocomposite system useful for developing light energy conversion devices. Use of metal-semiconductor nanoparticles may provide thus new ways to modulate charge recombination processes in light energy conversion devices. From comparison with the results obtained in our earlier investigations with only TiO2 nanoparticles, it is inferred that much improved version of light energy conversion device, where charge-separated species could be protected for longer period of time of the order of millisecond, could be designed by using metal-semiconductor core-shell nanocomposites rather than semiconductor nanoparticles only.

  3. Quasiparticle semiconductor band structures including spin-orbit interactions.

    Science.gov (United States)

    Malone, Brad D; Cohen, Marvin L

    2013-03-13

    We present first-principles calculations of the quasiparticle band structure of the group IV materials Si and Ge and the group III-V compound semiconductors AlP, AlAs, AlSb, InP, InAs, InSb, GaP, GaAs and GaSb. Calculations are performed using the plane wave pseudopotential method and the 'one-shot' GW method, i.e. G(0)W(0). Quasiparticle band structures, augmented with the effects of spin-orbit, are obtained via a Wannier interpolation of the obtained quasiparticle energies and calculated spin-orbit matrix. Our calculations explicitly treat the shallow semicore states of In and Ga, which are known to be important in the description of the electronic properties, as valence states in the quasiparticle calculation. Our calculated quasiparticle energies, combining both the ab initio evaluation of the electron self-energy and the vector part of the pseudopotential representing the spin-orbit effects, are in generally very good agreement with experimental values. These calculations illustrate the predictive power of the methodology as applied to group IV and III-V semiconductors.

  4. Low Temperature Processed Complementary Metal Oxide Semiconductor (CMOS) Device by Oxidation Effect from Capping Layer

    KAUST Repository

    Wang, Zhenwei

    2015-04-20

    In this report, both p- and n-type tin oxide thin-film transistors (TFTs) were simultaneously achieved using single-step deposition of the tin oxide channel layer. The tuning of charge carrier polarity in the tin oxide channel is achieved by selectively depositing a copper oxide capping layer on top of tin oxide, which serves as an oxygen source, providing additional oxygen to form an n-type tin dioxide phase. The oxidation process can be realized by annealing at temperature as low as 190°C in air, which is significantly lower than the temperature generally required to form tin dioxide. Based on this approach, CMOS inverters based entirely on tin oxide TFTs were fabricated. Our method provides a solution to lower the process temperature for tin dioxide phase, which facilitates the application of this transparent oxide semiconductor in emerging electronic devices field.

  5. Study of radiation defects by in-situ measurements of the Hall effect in narrow-gap semiconductors

    International Nuclear Information System (INIS)

    Favre, J.

    1990-01-01

    Semiconducting compounds of II-VI, III-V and IV-VI groups were irradiated in liquid hydrogen by high energy (0.7 to 2.7 MeV) electrons. The Hall coefficient and resistivity variations were measured in situ during irradiation. The doping by irradiation induced defects is of p-type in III-V group compounds, while n-type doping occurs in II-VI and IV-VI group materials. A semiconductor to insulator or reverse transition was observed under irradiation when the chemical potential crossed the band edges. In IV-VI group compounds the two successive transitions take place in initially p-type samples. A metastable behaviour, characteristic to strong compensation, appears in the vicinity of those semiconductor - insulator transitions in IV-VI compounds. The slope of free carrier concentration vs. fluence variation was analyzed. It was compared to defect creation rates, calculated in the framework of a cascade model. The charge state of created defects was deduced in this way. - In IV-VI group compounds, the presence of localized levels degenerated with the conduction band and, in PbTe, of additional defect associated levels in the forbidden gap, was demonstrated. Those results are consistent with the saturation of electron concentration increase at high fluence as well as with the analysis of annealing experiments. - In Hg 1-x Cd x Te compounds, the analysis of electron concentration versus fluence increase indicates that only mercury Frenkel pairs are electrically active. The variation with cadmium content of the defect associated level energy was deduced from the saturation values of the electron concentration [fr

  6. Quadrupole interaction in ternary chalcopyrite semiconductors experiments and theory

    CERN Document Server

    Dietrich, M; Degering, D; Deicher, M; Kortus, J; Magerle, R; Möller, A; Samokhvalov, V; Unterricker, S; Vianden, R

    2000-01-01

    Electric field gradients have been measured at substitutional lattice sites in ternary semiconductors using perturbed gamma - gamma angular correlation spectroscopy. The experimental results for A/sup I/B/sup III/C/sub 2//sup VI/ chalcopyrite structure compounds and Square Operator A/sup II/B/sub 2//sup III/C/sub 4//sup VI/ defect chalcopyrites are compared with ab-initio calculations. The latter were carried out with the WIEN code that uses the full potential linearized augmented plane wave method within a density functional theory. The agreement between experiment and theory is in most cases very good. Furthermore, the anion displacements in AgGaX/sub 2/- compounds (X: S, Se, Te) have been determined theoretically by determining the minimum of the total energy of the electrons in an elementary cell. (20 refs).

  7. Growth of crystalline semiconductor materials on crystal surfaces

    CERN Document Server

    Aleksandrov, L

    2013-01-01

    Written for physicists, chemists, and engineers specialising in crystal and film growth, semiconductor electronics, and various applications of thin films, this book reviews promising scientific and engineering trends in thin films and thin-films materials science. The first part discusses the physical characteristics of the processes occurring during the deposition and growth of films, the principal methods of obtaining semiconductor films and of reparing substrate surfaces on which crystalline films are grown, and the main applications of films. The second part contains data on epitaxial i

  8. Electronic structure of semiconductor interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Herman, F

    1983-02-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered.

  9. Electronic structure of semiconductor interfaces

    International Nuclear Information System (INIS)

    Herman, F.

    1983-01-01

    The study of semiconductor interfaces is one of the most active and exciting areas of current semiconductor research. Because interfaces play a vital role in modern semiconductor technology (integrated circuits, heterojunction lasers, solar cells, infrared detectors, etc.), there is a strong incentive to understand interface properties at a fundamental level and advance existing technology thereby. At the same time, technological advances such as molecular beam epitaxy have paved the way for the fabrication of semiconductor heterojunctions and superlattices of novel design which exhibit unusual electronic, optical, and magnetic properties and offer unique opportunities for fundamental scientific research. A general perspective on this subject is offered treating such topics as the atomic and electronic structure of semiconductor surfaces and interfaces; oxidation and oxide layers; semiconductor heterojunctions and superlattices; rectifying metal-semiconductor contacts; and interface reactions. Recent progress is emphasized and some future directions are indicated. In addition, the role that large-scale scientific computation has played in furthering our theoretical understanding of semiconductor surfaces and interfaces is discussed. Finally, the nature of theoretical models, and the role they play in describing the physical world is considered. (Author) [pt

  10. Transport Imaging of Spatial Distribution of Mobility-Lifetime (Micro Tau) Product in Bulk Semiconductors for Nuclear Radiation Detection

    Science.gov (United States)

    2012-06-01

    reproducibility for currents of 3×10-10 A, and 6×10-10 A. An operating current of 1×10-10 A shows higher variations in the distribution beginning at...York: John Wiley & Sons, 2000. [21] A. Owens and A. Peacock , “Compound semiconductor radiation detectors,” Nucl. Instr. and Meth. A, vol. 531, pp. 18...A. G. Kozorezov, J. K. Wigmore, A. Owens, R. den Hartog, A. Peacock , and H. A. Al-Jawari, “Resolution degradation of semiconductor detectors due to

  11. Physical principles of semiconductor detectors

    International Nuclear Information System (INIS)

    Micek, S.L.

    1979-01-01

    The general properties of semiconductors with respect to the possibilities of their use as the ionization radiation detectors are discussed. Some chosen types of semiconductor junctions and their characteristics are briefly presented. There are also discussed the physical phenomena connected with the formation of barriers in various types of semiconductor counters. Finally, the basic properties of three main types of semiconductor detectors are given. (author)

  12. Semiconductors data handbook

    CERN Document Server

    Madelung, Otfried

    2004-01-01

    This volume Semiconductors: Data Handbook contains frequently used data from the corresponding larger Landolt-Börnstein handbooks in a low price book for the individual scientist working in the laboratory. The Handbook contain important information about a large number of semiconductors

  13. MBE System for Antimonide Based Semiconductor Lasers

    National Research Council Canada - National Science Library

    Lester, Luke

    1999-01-01

    .... SLR-770 inductively coupled plasma (ICP) processing system. The SLR-770 has been invaluable in the study of plasma etching of AlGaAsSb and GaSb-materials that form the backbone of antimonide-based semiconductor lasers...

  14. Crystal structure of the quaternary compounds CuFe2AlSe4 and ...

    Indian Academy of Sciences (India)

    2014-05-29

    May 29, 2014 ... semiconductor compound families of the third-, fourth- and fifth-order derivatives of the .... showed single phases. The powder patterns were ... and tetragonal cells with similar magnitudes to the parent chalcopyrite structures,.

  15. Optical orientation in ferromagnet/semiconductor hybrids

    International Nuclear Information System (INIS)

    Korenev, V L

    2008-01-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin–spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism

  16. Optical orientation in ferromagnet/semiconductor hybrids

    Science.gov (United States)

    Korenev, V. L.

    2008-11-01

    The physics of optical pumping of semiconductor electrons in ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of a ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of a semiconductor. Spin-spin interactions near the ferromagnet/semiconductor interface play a crucial role in the optical readout and the manipulation of ferromagnetism.

  17. Optical Orientation in Ferromagnet/Semiconductor Hybrids

    OpenAIRE

    Korenev, V. L.

    2008-01-01

    The physics of optical pumping of semiconductor electrons in the ferromagnet/semiconductor hybrids is discussed. Optically oriented semiconductor electrons detect the magnetic state of the ferromagnetic film. In turn, the ferromagnetism of the hybrid can be controlled optically with the help of the semiconductor. Spin-spin interactions near the interface ferromagnet/semiconductor play crucial role in the optical readout and the manipulation of ferromagnetism.

  18. EDITORIAL The 23rd Nordic Semiconductor Meeting The 23rd Nordic Semiconductor Meeting

    Science.gov (United States)

    Ólafsson, Sveinn; Sveinbjörnsson, Einar

    2010-12-01

    A Nordic Semiconductor Meeting is held every other year with the venue rotating amongst the Nordic countries of Denmark, Finland, Iceland, Norway and Sweden. The focus of these meetings remains 'original research and science being carried out on semiconductor materials, devices and systems'. Reports on industrial activity have usually featured. The topics have ranged from fundamental research on point defects in a semiconductor to system architecture of semiconductor electronic devices. Proceedings from these events are regularly published as a topical issue of Physica Scripta. All of the papers in this topical issue have undergone critical peer review and we wish to thank the reviewers and the authors for their cooperation, which has been instrumental in meeting the high scientific standards and quality of the series. This meeting of the 23rd Nordic Semiconductor community, NSM 2009, was held at Háskólatorg at the campus of the University of Iceland, Reykjavik, Iceland, 14-17 June 2009. Support was provided by the University of Iceland. Almost 50 participants presented a broad range of topics covering semiconductor materials and devices as well as related material science interests. The conference provided a forum for Nordic and international scientists to present and discuss new results and ideas concerning the fundamentals and applications of semiconductor materials. The meeting aim was to advance the progress of Nordic science and thus aid in future worldwide technological advances concerning technology, education, energy and the environment. Topics Theory and fundamental physics of semiconductors Emerging semiconductor technologies (for example III-V integration on Si, novel Si devices, graphene) Energy and semiconductors Optical phenomena and optical devices MEMS and sensors Program 14 June Registration 13:00-17:00 15 June Meeting program 09:30-17:00 and Poster Session I 16 June Meeting program 09:30-17:00 and Poster Session II 17 June Excursion and dinner

  19. Noise properties of semiconductor waveguides with alternating sections of saturable gain and absorption

    DEFF Research Database (Denmark)

    Öhman, Filip; Bischoff, Svend; Tromborg, Bjarne

    We investigate the dynamical noise properties of saturable semiconductor devices for optical signal processing. A trade-off between noise redistribution and extinction ratio improvement has to be made for all-optical regeneration.......We investigate the dynamical noise properties of saturable semiconductor devices for optical signal processing. A trade-off between noise redistribution and extinction ratio improvement has to be made for all-optical regeneration....

  20. Effect of Germination and Fermentation Process on the Antioxidant Compounds of Quinoa Seeds.

    Science.gov (United States)

    Carciochi, Ramiro Ariel; Galván-D'Alessandro, Leandro; Vandendriessche, Pierre; Chollet, Sylvie

    2016-12-01

    Quinoa (Chenopodium quinoa) seed has gained a great interest in the last years, mainly due to its nutritional properties and its content of antioxidant substances with health-promoting properties in humans. In this work, the effect of germination time and fermentation on the levels of antioxidant compounds (ascorbic acid, tocopherol isomers and phenolic compounds) and antioxidant activity of quinoa seeds was evaluated. Fermentation was carried out naturally by the microorganisms present in the seeds or by inoculation with two Saccharomyces cerevisiae strains (used for baking and brewing). Ascorbic acid and total tocopherols were significantly increased (p ≤ 0.05) after 72 h of germination process in comparison with raw quinoa seeds, whilst fermentation caused a decrease in both types of compounds. Phenolic compounds and antioxidant capacity were improved using both bioprocesses, being this effect more noticeable for germination process (101 % of increase after three days of germination). Germination and fermentation proved to be desirable procedures for producing enriched ingredients with health-promoting antioxidant compounds in a natural way.

  1. Defects in semiconductors

    International Nuclear Information System (INIS)

    Pimentel, C.A.F.

    1983-01-01

    Some problems openned in the study of defects in semiconductors are presented. In particular, a review is made of the more important problems in Si monocrystals of basic and technological interest: microdefects and the presence of oxigen and carbon. The techniques usually utilized in the semiconductor material characterization are emphatized according its potentialities. Some applications of x-ray techniques in the epitaxial shell characterization in heterostructures, importants in electronic optics, are shown. The increase in the efficiency of these defect analysis methods in semiconductor materials with the use of synchrotron x-ray sources is shown. (L.C.) [pt

  2. Development of scintillators on the basis of AIIBVI compounds for radiation instruments used in medical and technical applications

    Directory of Open Access Journals (Sweden)

    Starzhinskiy N. G.

    2009-06-01

    Full Text Available Physico-technological problems of preparation and main properties of scintillation materials based on zinc selenide and other AIIBVI compounds are considered. Effects have been determined of dopant properties on formation processes of complex lattice defects playing the role of luminescence centers. It is shown that such property features as high light output and very low afterglow level, as well as a unique combination of scintillation and semiconductor properties allow application of these materials in different fields of radiation instrument technologies.

  3. Quantum theory of the electronic and optical properties of low-dimensional semiconductor systems

    Science.gov (United States)

    Lau, Wayne Heung

    This thesis examines the electronic and optical properties of low-dimensional semiconductor systems. A theory is developed to study the electron-hole generation-recombination process of type-II semimetallic semiconductor heterojunctions based on a 3 x 3 k·p matrix Hamiltonian (three-band model) and an 8 x 8 k·p matrix Hamiltonian (eight-band model). A novel electron-hole generation and recombination process, which is called activationless generation-recombination process, is predicted. It is demonstrated that the current through the type-II semimetallic semiconductor heterojunctions is governed by the activationless electron-hole generation-recombination process at the heterointerfaces, and that the current-voltage characteristics are essentially linear. A qualitative agreement between theory and experiments is observed. The numerical results of the eight-band model are compared with those of the threeband model. Based on a lattice gas model, a theory is developed to study the influence of a random potential on the ionization equilibrium conditions for bound electron-hole pairs (excitons) in III--V semiconductor heterostructures. It is demonstrated that ionization equilibrium conditions for bound electron-hole pairs change drastically in the presence of strong disorder. It is predicted that strong disorder promotes dissociation of excitons in III--V semiconductor heterostructures. A theory of polariton (photon dressed by phonon) spontaneous emission in a III--V semiconductor doped with semiconductor quantum dots (QDs) or quantum wells (QWs) is developed. For the first time, superradiant and subradiant polariton spontaneous emission phenomena in a polariton-QD (QW) coupled system are predicted when the resonance energies of the two identical QDs (QWs) lie outside the polaritonic energy gap. It is also predicted that when the resonance energies of the two identical QDs (QWs) lie inside the polaritonic energy gap, spontaneous emission of polariton in the polariton

  4. Spin drift and spin diffusion currents in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Idrish Miah, M [Nanoscale Science and Technology Centre and School of Biomolecular and Physical Sciences, Griffith University, Nathan, Brisbane, QLD 4111 (Australia)], E-mail: m.miah@griffith.edu.au

    2008-09-15

    On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  5. Spin drift and spin diffusion currents in semiconductors

    Directory of Open Access Journals (Sweden)

    M Idrish Miah

    2008-01-01

    Full Text Available On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  6. Spin drift and spin diffusion currents in semiconductors

    International Nuclear Information System (INIS)

    Idrish Miah, M

    2008-01-01

    On the basis of a spin drift-diffusion model, we show how the spin current is composed and find that spin drift and spin diffusion contribute additively to the spin current, where the spin diffusion current decreases with electric field while the spin drift current increases, demonstrating that the extension of the spin diffusion length by a strong field does not result in a significant increase in spin current in semiconductors owing to the competing effect of the electric field on diffusion. We also find that there is a spin drift-diffusion crossover field for a process in which the drift and diffusion contribute equally to the spin current, which suggests a possible method of identifying whether the process for a given electric field is in the spin drift or spin diffusion regime. Spin drift-diffusion crossover fields for GaAs are calculated and are found to be quite small. We derive the relations between intrinsic spin diffusion length and the spin drift-diffusion crossover field of a semiconductor for different electron statistical regimes. The findings resulting from this investigation might be important for semiconductor spintronics.

  7. Ab-initio calculations of Co-based diluted magnetic semiconductors Cd 1-xCoxX (X=S, Se, Te)

    KAUST Repository

    Saeed, Yasir; Nazir, Safdar; Shaukat, Ali; Reshak, A. H.

    2010-01-01

    Ab-initio calculations are performed to investigate the structural, electronic and magnetic properties of spin-polarized diluted magnetic semiconductors composed of IIVI compounds Cd1-xCoxX (X=S, Se, Te) at x=0.25. From the calculated results

  8. Semiconductor Laser Measurements Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — The Semiconductor Laser Measurements Laboratory is equipped to investigate and characterize the lasing properties of semiconductor diode lasers. Lasing features such...

  9. Identification of Spatial Fault Patterns in Semiconductor Wafers

    Data.gov (United States)

    National Aeronautics and Space Administration — Abstract The semiconductor industry is constantly searching for new ways to increase the rate of both process development and yield learning. As more data is being...

  10. High brightness semiconductor lasers with reduced filamentation

    DEFF Research Database (Denmark)

    McInerney, John; O'Brien, Peter.; Skovgaard, Peter M. W.

    1999-01-01

    High brightness semiconductor lasers have applications in spectroscopy, fiber lasers, manufacturing and materials processing, medicine and free space communication or energy transfer. The main difficulty associated with high brightness is that, because of COD, high power requires a large aperture...

  11. Characterization and extraction of volatile compounds from pineapple (Ananas comosus L. Merril processing residues

    Directory of Open Access Journals (Sweden)

    Lília Calheiros de Oliveira Barretto

    2013-12-01

    Full Text Available The aim of this study was to extract and identify volatile compounds from pineapple residues generated during concentrated juice processing. Distillates of pineapple residues were obtained using the following techniques: simple hydrodistillation and hydrodistillation by passing nitrogen gas. The volatile compounds present in the distillates were captured by the solid-phase microextraction technique. The volatile compounds were identified in a system of high resolution gas chromatography system coupled with mass spectrometry using a polyethylene glycol polar capillary column as stationary phase. The pineapple residues constituted mostly of esters (35%, followed by ketones (26%, alcohols (18%, aldehydes (9%, acids (3% and other compounds (9%. Odor-active volatile compounds were mainly identified in the distillate obtained using hydrodistillation by passing nitrogen gas, namely decanal, ethyl octanoate, acetic acid, 1-hexanol, and ketones such as γ-hexalactone, γ-octalactone, δ-octalactone, γ-decalactone, and γ-dodecalactone. This suggests that the use of an inert gas and lower temperatures helped maintain higher amounts of flavor compounds. These data indicate that pineapple processing residue contained important volatile compounds which can be extracted and used as aroma enhancing products and have high potential for the production of value-added natural essences.

  12. Handbook of luminescent semiconductor materials

    CERN Document Server

    Bergman, Leah

    2011-01-01

    Photoluminescence spectroscopy is an important approach for examining the optical interactions in semiconductors and optical devices with the goal of gaining insight into material properties. With contributions from researchers at the forefront of this field, Handbook of Luminescent Semiconductor Materials explores the use of this technique to study semiconductor materials in a variety of applications, including solid-state lighting, solar energy conversion, optical devices, and biological imaging. After introducing basic semiconductor theory and photoluminescence principles, the book focuses

  13. Reducing leakage current in semiconductor devices

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Matioli, Elison de Nazareth; Palacios, Tomas Apostol

    2018-03-06

    A semiconductor device includes a first region having a first semiconductor material and a second region having a second semiconductor material. The second region is formed over the first region. The semiconductor device also includes a current blocking structure formed in the first region between first and second terminals of the semiconductor device. The current blocking structure is configured to reduce current flow in the first region between the first and second terminals.

  14. Semiconductor device comprising a pn-heterojunction

    NARCIS (Netherlands)

    2007-01-01

    An electric device is disclosed comprising a pn-heterojunction ( 4 ) formed by a nanowire ( 3 ) of 111 -V semiconductor material and a semiconductor body ( 1 ) comprising a group IV semiconductor material. The nanowire ( 3 ) is positioned in direct contact with the surface ( 2 ) of the semiconductor

  15. Alpha-ray spectrometry at high temperature by using a compound semiconductor detector.

    Science.gov (United States)

    Ha, Jang Ho; Kim, Han Soo

    2013-11-01

    The use of conventional radiation detectors in harsh environments is limited by radiation damage to detector materials and by temperature constraints. We fabricated a wide-band gap semiconductor radiation detector based on silicon carbide. All the detector components were considered for an application in a high temperature environment like a nuclear reactor core. The radiation response, especially to alpha particles, was measured using an (241)Am source at variable operating voltages at room temperature in the air. The temperature on detector was controlled from 30°C to 250°C. The alpha-particle spectra were measured at zero bias operation. Even though the detector is operated at high temperature, the energy resolution as a function of temperature is almost constant within 3.5% deviation. Copyright © 2013 Elsevier Ltd. All rights reserved.

  16. Depletion field focusing in semiconductors

    NARCIS (Netherlands)

    Prins, M.W.J.; Gelder, Van A.P.

    1996-01-01

    We calculate the three-dimensional depletion field profile in a semiconductor, for a planar semiconductor material with a spatially varying potential upon the surface, and for a tip-shaped semiconductor with a constant surface potential. The nonuniform electric field gives rise to focusing or

  17. Quantum confined laser devices optical gain and recombination in semiconductors

    CERN Document Server

    Blood, Peter

    2015-01-01

    The semiconductor laser, invented over 50 years ago, has had an enormous impact on the digital technologies that now dominate so many applications in business, commerce and the home. The laser is used in all types of optical fibre communication networks that enable the operation of the internet, e-mail, voice and skype transmission. Approximately one billion are produced each year for a market valued at around $5 billion. Nearly all semiconductor lasers now use extremely thin layers of light emitting materials (quantum well lasers). Increasingly smaller nanostructures are used in the form of quantum dots. The impact of the semiconductor laser is surprising in the light of the complexity of the physical processes that determine the operation of every device. This text takes the reader from the fundamental optical gain and carrier recombination processes in quantum wells and quantum dots, through descriptions of common device structures to an understanding of their operating characteristics. It has a consistent...

  18. Various processes occurring in strong interactions between heavy ions: Compound nucleus formation, incomplete fusion, and quasifission

    International Nuclear Information System (INIS)

    Lefort, M.

    1975-01-01

    This paper deals with the problem of various deep processes occurring when two complex nuclei enter in collision. It is suggested that very deep inelastic processes may lead to either a compound nucleus or a composite system which shortly decays into two fission fragments (quasifission process). Particularly for heavy projectiles and targets, the predominant Coulomb potential inhibits the compound nucleus formation for low l waves. Then a critical angular momentum can be defined as the limit below which both processes (quasifission and compound nucleus formation) occur. For the heaviest nuclei, nearly all l waves below l) contribute to the quasifission phenomenon

  19. Hazard rate model and statistical analysis of a compound point process

    Czech Academy of Sciences Publication Activity Database

    Volf, Petr

    2005-01-01

    Roč. 41, č. 6 (2005), s. 773-786 ISSN 0023-5954 R&D Projects: GA ČR(CZ) GA402/04/1294 Institutional research plan: CEZ:AV0Z10750506 Keywords : couting process * compound process * Cox regression model * intensity Subject RIV: BB - Applied Statistics, Operational Research Impact factor: 0.343, year: 2005

  20. Crystal Growth of Ternary Compound Semiconductors in Low Gravity Environment

    Science.gov (United States)

    Su, Ching-Hua

    2014-01-01

    A low gravity material experiment will be performed in the Material Science Research Rack (MSRR) on International Space Station (ISS). There are two sections of the flight experiment: (I) crystal growth of ZnSe and related ternary compounds, such as ZnSeS and ZnSeTe, by physical vapor transport (PVT) and (II) melt growth of CdZnTe by directional solidification. The main objective of the project is to determine the relative contributions of gravity-driven fluid flows to the compositional distribution, incorporation of impurities and defects, and deviation from stoichiometry observed in the grown crystals as results of buoyancy-driven convection and growth interface fluctuations caused by irregular fluid-flows on Earth. The investigation consists of extensive ground-based experimental and theoretical research efforts and concurrent flight experimentation. This talk will focus on the ground-based studies on the PVT crystal growth of ZnSe and related ternary compounds. The objectives of the ground-based studies are (1) obtain the experimental data and conduct the analyses required to define the optimum growth parameters for the flight experiments, (2) perfect various characterization techniques to establish the standard procedure for material characterization, (3) quantitatively establish the characteristics of the crystals grown on Earth as a basis for subsequent comparative evaluations of the crystals grown in a low-gravity environment and (4) develop theoretical and analytical methods required for such evaluations. ZnSe and related ternary compounds have been grown by vapor transport technique with real time in-situ non-invasive monitoring techniques. The grown crystals have been characterized extensively by various techniques to correlate the grown crystal properties with the growth conditions.

  1. Analysis of small-signal intensity modulation of semiconductor ...

    Indian Academy of Sciences (India)

    Computer simulation of the model is applied to 1.55-µm ... Semiconductor laser; small-signal modulation; modulation response; gain suppression. ... originates from intraband relaxation processes of charge carriers that extend for times as ...

  2. Role of 3d electrons in formation of ionic-covalent bonds in II-VI based ternary compounds

    International Nuclear Information System (INIS)

    Lawniczak-Jablonska, K.; Iwanowski, R.J.; Perera, R.C.C.

    1997-01-01

    In the II-VI compounds doped with transition metals (diluted magnetic semiconductors) a substitution of cation by the introduced magnetic ion leads to hybridization of its 3d states with the sp states of the host semiconductor. The degree of hybridization of the 3d states and its interaction with the host material band states has been a subject of numerous discussions. Inner shell absorption spectroscopy provides very useful means of electronic structure analysis in a wide variety of systems. Due to its selectivity for atomic species and the selection rules for electron transitions, the soft X-ray absorption technique offers quite unique opportunity to measure directly the site-selective local density of the unoccupied d states in the compounds studied. Results are reported for ZnS compounds with Mn, Fe, Co or Ni substitutions for Zn

  3. Electrodes for Semiconductor Gas Sensors

    Science.gov (United States)

    Lee, Sung Pil

    2017-01-01

    The electrodes of semiconductor gas sensors are important in characterizing sensors based on their sensitivity, selectivity, reversibility, response time, and long-term stability. The types and materials of electrodes used for semiconductor gas sensors are analyzed. In addition, the effect of interfacial zones and surface states of electrode–semiconductor interfaces on their characteristics is studied. This study describes that the gas interaction mechanism of the electrode–semiconductor interfaces should take into account the interfacial zone, surface states, image force, and tunneling effect. PMID:28346349

  4. Graphene-based hybrid structures combined with functional materials of ferroelectrics and semiconductors.

    Science.gov (United States)

    Jie, Wenjing; Hao, Jianhua

    2014-06-21

    Fundamental studies and applications of 2-dimensional (2D) graphene may be deepened and broadened via combining graphene sheets with various functional materials, which have been extended from the traditional insulator of SiO2 to a versatile range of dielectrics, semiconductors and metals, as well as organic compounds. Among them, ferroelectric materials have received much attention due to their unique ferroelectric polarization. As a result, many attractive characteristics can be shown in graphene/ferroelectric hybrid systems. On the other hand, graphene can be integrated with conventional semiconductors and some newly-discovered 2D layered materials to form distinct Schottky junctions, yielding fascinating behaviours and exhibiting the potential for various applications in future functional devices. This review article is an attempt to illustrate the most recent progress in the fabrication, operation principle, characterization, and promising applications of graphene-based hybrid structures combined with various functional materials, ranging from ferroelectrics to semiconductors. We focus on mechanically exfoliated and chemical-vapor-deposited graphene sheets integrated in numerous advanced devices. Some typical hybrid structures have been highlighted, aiming at potential applications in non-volatile memories, transparent flexible electrodes, solar cells, photodetectors, and so on.

  5. Problems and progress in radiation physics of semiconductors

    International Nuclear Information System (INIS)

    Vinetskij, V.L.

    1982-01-01

    A survey of the current status of radiation physics of semiconductors comprises the analysis of some new problems and poses the statement of concern. The essential difference between the probability of interstitial-vacancy pair occurrence W(T) in elastic collisions and the generally accepted step distribution with a typical ''threshold'' energy Tsub(d) is indicated. The role of diffusion and reaction evolution of primary defects leading to specific properties of the cluster formation process is shown. Special features of defect formation in spatially inhomogeneous semiconductors, in particular for elastic stresses present, are described. Among most important advances in the radiation physics of semiconductors there are the discovery of non-activation motion of the ''extra'' atom in silicon, the observation of a low activation energy value for the vacancy diffusion, the understanding of subthreshold mechanism of defect formation and radiation-induced diffusion, the effects of laser annealing of defects and oriented crystallization

  6. A microfluidic device for open loop stripping of volatile organic compounds.

    Science.gov (United States)

    Cvetković, Benjamin Z; Dittrich, Petra S

    2013-03-01

    The detection of volatile organic compounds is of great importance for assessing the quality of water. In this contribution, we describe a miniaturized stripping device that allows fast online detection of organic solvents in water. The core component is a glass microfluidic chip that facilitates the creation of an annular-flowing stream of water and nitrogen gas. Volatile compounds are transferred efficiently from the water into the gas phase along the microfluidic pathway at room temperature within less than 5 s. Before exiting the microchip, the liquid phase is separated from the enriched gas phase by incorporating side capillaries through which the hydrophilic water phase is withdrawn. The gas phase is conveniently collected at the outlet reservoir by tubing. Finally, a semiconductor gas sensor analyzes the concentration of (volatile) organic compounds in the nitrogen gas. The operation and use of the stripping device is demonstrated for the organic solvents THF, 1-propanol, toluene, ethylbenzene, benzaldehyde, and methanol. The mobile, inexpensive, and continuously operating system with liquid flow rates in the low range of microliters per minute can be connected to other detectors or implemented in chemical production line for process control.

  7. Flexible Electronics: Integration Processes for Organic and Inorganic Semiconductor-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Fábio F. Vidor

    2015-07-01

    Full Text Available Flexible and transparent electronics have been studied intensively during the last few decades. The technique establishes the possibility of fabricating innovative products, from flexible displays to radio-frequency identification tags. Typically, large-area polymeric substrates such as polypropylene (PP or polyethylene terephthalate (PET are used, which produces new requirements for the integration processes. A key element for flexible and transparent electronics is the thin-film transistor (TFT, as it is responsible for the driving current in memory cells, digital circuits or organic light-emitting devices (OLEDs. In this paper, we discuss some fundamental concepts of TFT technology. Additionally, we present a comparison between the use of the semiconducting organic small-molecule pentacene and inorganic nanoparticle semiconductors in order to integrate TFTs suitable for flexible electronics. Moreover, a technique for integration with a submicron resolution suitable for glass and foil substrates is presented.

  8. Materials for n-type organic electronics: synthesis and properties of fluoroarene-thiophene semiconductors

    Science.gov (United States)

    Facchetti, Antonio; Yoon, Myung-Han; Katz, Howard E.; Marks, Tobin J.

    2003-11-01

    Recent progress in the field of organic electronics is due to a fruitful combination of both innovative molecular design and promising low-cost material/device assembly. Targeting the first strategy, we present here the general synthesis of fluoroarene-containing thiophene-based semiconductors and the study of their properties with respect to the corresponding fluorine-free hole-transporting analogues. The new compounds have been characterized by elemental analysis, mass spectrometry, and 1H- and 19F NMR. The dramatic influence of fluorine substitution and molecular architecture has been investigated by solution/film optical absorption, fluorescence emission, and cyclic voltammetry. Single crystal data for all of the oligomers have been obtained and will be presented. Film microstructure and morphology of this new class of materials have been studied by XRD and SEM. Particular emphasis will be posed on the solution-processable oligomers and polymers.

  9. Effect of pressure on some physical properties of gallium based semiconductors

    International Nuclear Information System (INIS)

    Vyas, P S; Thakore, B Y; Jani, A R; Gajjar, P N

    2012-01-01

    The gallium based semiconductor compounds are very useful materials for optical spectroscopy and optoelectronic applications, we have studied the effect of pressure on various physical properties like total energy, static bulk modulus, energy band gap at the point X on the Jones-zone face, pressure derivative of bulk modulus and equation of state of gallium based binary compounds GaSb, GaAs, GaP and GaN using pseudopotential theory beyond second order with our well established single parametric model potential. We have incorporated Nagy's static local field correction function to include exchange and correlation effects. The results are compared with those obtained using few other local field correction functions. The present results agree satisfactorily with available experimental and other such theoretical data confirming the application.

  10. Hydrogen-related effects in crystalline semiconductors

    International Nuclear Information System (INIS)

    Haller, E.E.

    1988-08-01

    Recent experimental and theoretical information regarding the states of hydrogen in crystalline semiconductors is reviewed. The abundance of results illustrates that hydrogen does not preferentially occupy a few specific lattice sites but that it binds to native defects and impurities, forming a large variety of neutral and electrically active complexes. The study of hydrogen passivated shallow acceptors and donors and of partially passivated multivalent acceptors has yielded information on the electronic and real space structure and on the chemical composition of these complexes. Infrared spectroscopy, ion channeling, hydrogen isotope substitution and electric field drift experiments have shown that both static trigonal complexes as well as centers with tunneling hydrogen exist. Total energy calculations indicate that the charge state of the hydrogen ion which leads to passivation dominates, i.e., H + in p-type and H/sup /minus// in n-type crystals. Recent theoretical calculations indicate that is unlikely for a large fraction of the atomic hydrogen to exist in its neutral state, a result which is consistent with the total absence of any Electron Paramagnetic Resonance (EPR) signal. An alternative explanation for this result is the formation of H 2 . Despite the numerous experimental and theoretical results on hydrogen-related effects in Ge and Si there remains a wealth of interesting physics to be explored, especially in compound and alloy semiconductors. 6 refs., 6 figs

  11. Comprehensive and fully self-consistent modeling of modern semiconductor lasers

    International Nuclear Information System (INIS)

    Nakwaski, W.; Sarzał, R. P.

    2016-01-01

    The fully self-consistent model of modern semiconductor lasers used to design their advanced structures and to understand more deeply their properties is given in the present paper. Operation of semiconductor lasers depends not only on many optical, electrical, thermal, recombination, and sometimes mechanical phenomena taking place within their volumes but also on numerous mutual interactions between these phenomena. Their experimental investigation is quite complex, mostly because of miniature device sizes. Therefore, the most convenient and exact method to analyze expected laser operation and to determine laser optimal structures for various applications is to examine the details of their performance with the aid of a simulation of laser operation in various considered conditions. Such a simulation of an operation of semiconductor lasers is presented in this paper in a full complexity of all mutual interactions between the above individual physical processes. In particular, the hole-burning effect has been discussed. The impacts on laser performance introduced by oxide apertures (their sizes and localization) have been analyzed in detail. Also, some important details concerning the operation of various types of semiconductor lasers are discussed. The results of some applications of semiconductor lasers are shown for successive laser structures. (paper)

  12. Plastic Deformation as a Means to Achieve Stretchable Polymer Semiconductors

    Science.gov (United States)

    O'Connor, Brendan

    Developing intrinsically stretchable semiconductors will seamlessly transition traditional devices into a stretchable platform. Polymer semiconductors are inherently soft materials due to the weak van der Waal intermolecular bonding allowing for flexible devices. However, these materials are not typically stretchable and when large strains are applied they either crack or plastically deform. Here, we study the use of repeated plastic deformation as a means of achieving stretchable films. In this talk, critical aspects of polymer semiconductor material selection, morphology and interface properties will be discussed that enable this approach of achieving stretchable films. We show that one can employ high performance donor-acceptor polymer semiconductors that are typically brittle through proper polymer blending to significantly increase ductility to achieve stretchable films. We demonstrate a polymer blend film that can be repeatedly deformed over 65%, while maintaining charge mobility consistently above 0.15 cm2/Vs. During the stretching process we show that the films follow a well-controlled repeated deformation pattern for over 100 stretching cycles.

  13. First-principles calculations of two cubic fluoropervskite compounds: RbFeF3 and RbNiF3

    International Nuclear Information System (INIS)

    Mubarak, A.A.; Al-Omari, Saleh

    2015-01-01

    We present first-principles calculations of the structural, elastic, electronic, magnetic and optical properties for RbFeF 3 and RbNiF 3 . The full-potential linear augmented plan wave (FP-LAPW) method within the density functional theory was utilized to perform the present calculations. We employed the generalized gradient approximation as exchange-correlation potential. It was found that the calculated analytical lattice parameters agree with previous studies. The analysis of elastic constants showed that the present compounds are elastically stable and anisotropic. Moreover, both compounds are classified as a ductile compound. The calculations of the band structure and density functional theory revealed that the RbFeF 3 compound has a half-metallic behavior while the RbNiF 3 compound has a semiconductor behavior with indirect (M–Γ) band gap. The ferromagnetic behavior was studied for both compounds. The optical properties were calculated for the radiation of up to 40 eV. A beneficial optics technology is predicted as revealed from the optical spectra. - Highlights: • RbFeF 3 and RbNiCl 3 compounds are elastically stable. • RbFeF 3 and RbNiCl 3 compounds are classified as a ductile compound. • The RbFeF 3 compound has a half-metallic behavior while the RbNiF 3 compound has a semiconductor behavior. • The optical properties were calculated for the radiation of up to 40 eV

  14. Crystallographic study of the intermediate compounds SbZn, Sb3Zn4 and Sb2Zn3

    International Nuclear Information System (INIS)

    Adjadj, Fouzia; Belbacha, El-djemai; Bouharkat, Malek; Kerboub, Abdellah

    2006-01-01

    The processes of development of semiconductor ceramics made up of bismuth, antimony and zinc often require during their preparation to know the nature of the involved phases. For that, it is always essential to refer to the diagrams of balance between phases of the binary systems or ternary. We presented in this work the study by X-rays diffraction relating to the intermediate compounds SbZn, Sb 3 Zn 4 and Sb 2 Zn 3 . The analysis by X-rays is often useful to give supplement the results of the other experimental methods

  15. High-z semiconductor nuclear radiation detectors for room-temperature gamma-ray spectrometry

    International Nuclear Information System (INIS)

    Bornand, Bernard; Friant, Alain.

    1978-09-01

    A bibliographical review (182 articles of periodicals, conferences, reports, thesis and french patents) is presented, as addendum of the report CEA-BIB-210 (1974) on high-Z semiconductor compounds used as materials for the gamma and X-ray detection and spectrometry. This publication reviews issues from 1974 to 1977. References and summaries (in french) are incorporated into 182 bibliograhical notices. Index for authors, corporate authors, documents and periodicals, and subjects is included [fr

  16. Metrology needs and challenges for the semiconductor industry

    International Nuclear Information System (INIS)

    Schroeder, Kenneth; Ashkenaz, Scott; Hankinson, Matt

    2001-01-01

    The aggressively shrinking process window drives the semiconductor manufacturer to examine, refine, and control all aspects of the manufacturing process. Process budgets leave little room for error contribution. Budget management, and ultimately achieving the goal, requires an understanding of the constituent components, and development of mitigation strategies. We present some of the challenges facing our industry and strategies that we are taking to address them

  17. Defect identification in semiconductors with positron annihilation: experiment and theory

    Science.gov (United States)

    Tuomisto, Filip

    2015-03-01

    Positron annihilation spectroscopy is a very powerful technique for the detection, identification and quantification of vacancy-type defects in semiconductors. In the past decades, it has been used to reveal the relationship between opto-electronic properties and specific defects in a wide variety of materials - examples include parasitic yellow luminescence in GaN, dominant acceptor defects in ZnO and broad-band absorption causing brown coloration in natural diamond. In typical binary compound semiconductors, the selective sensitivity of the technique is rather strongly limited to cation vacancies that possess significant open volume and suitable charge (negative of neutral). On the other hand, oxygen vacancies in oxide semiconductors are a widely debated topic. The properties attributed to oxygen vacancies include the inherent n-type conduction, poor p-type dopability, coloration (absorption), deep level luminescence and non-radiative recombination, while the only direct experimental evidence of their existence has been obtained on the crystal surface. We will present recent advances in combining state-of-the-art positron annihilation experiments and ab initio computational approaches. The latter can be used to model both the positron lifetime and the electron-positron momentum distribution - quantities that can be directly compared with experimental results. We have applied these methods to study vacancy-type defects in III-nitride semiconductors (GaN, AlN, InN) and oxides such as ZnO, SnO2, In2O3andGa2O3. We will show that cation-vacancy-related defects are important compensating centers in all these materials when they are n-type. In addition, we will show that anion (N, O) vacancies can be detected when they appear as complexes with cation vacancies.

  18. Thermodynamic concepts in semiconductor quantum dot technology

    International Nuclear Information System (INIS)

    Shchukin, V.

    2001-01-01

    Major trends of the modern civilization are related to the changing of the industrial society into an information and knowledge-based society. This transformation is to a large extent based on the modern information and communication technology. The nobel prize-2000 in physics is a remarkable recognition of an extremely high significance of this kind of technology. The nobel prize has been awarded with one half jointly to Zhores I. Alferov and Herbert Kroemer for developing semiconductor heterostructures used in high-speed- and opto-electronics and one half to Jack St. Clair Kilby for this part in the invention of the integrated circuit. The development of the semiconductor heterostructures technology requires a profound understanding of the basic growth mechanisms involved in any technological process, including any type of epitaxy, either the liquid phase epitaxy (LPE), or the metalorganic vapor phase epitaxy (MOVPE), or the molecular beam epitaxy (MBE). Starting from this pioneering works on semiconductor heterostructures till present time, Professor Zh. Alferov has always paid much attention to complex and comprehensive study of the subject. This covers the growth - as well as the post-growth technology including the theoretical modeling of the technology, the characterization of the heterostructures, and the device design. Such complex approach has master mined the scientific and technological success of Abraham loffe Institute in the area of semiconductor heterostructures, and later, nano structures. (Orig../A.B.)

  19. Self-assembling peptide semiconductors

    Science.gov (United States)

    Tao, Kai; Makam, Pandeeswar; Aizen, Ruth; Gazit, Ehud

    2017-01-01

    Semiconductors are central to the modern electronics and optics industries. Conventional semiconductive materials bear inherent limitations, especially in emerging fields such as interfacing with biological systems and bottom-up fabrication. A promising candidate for bioinspired and durable nanoscale semiconductors is the family of self-assembled nanostructures comprising short peptides. The highly ordered and directional intermolecular π-π interactions and hydrogen-bonding network allow the formation of quantum confined structures within the peptide self-assemblies, thus decreasing the band gaps of the superstructures into semiconductor regions. As a result of the diverse architectures and ease of modification of peptide self-assemblies, their semiconductivity can be readily tuned, doped, and functionalized. Therefore, this family of electroactive supramolecular materials may bridge the gap between the inorganic semiconductor world and biological systems. PMID:29146781

  20. Semiconductors for plasmonics and metamaterials

    DEFF Research Database (Denmark)

    Naik, G.V.; Boltasseva, Alexandra

    2010-01-01

    Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals with semiconduct......Plasmonics has conventionally been in the realm of metal-optics. However, conventional metals as plasmonic elements in the near-infrared (NIR) and visible spectral ranges suffer from problems such as large losses and incompatibility with semiconductor technology. Replacing metals...... with semiconductors can alleviate these problems if only semiconductors could exhibit negative real permittivity. Aluminum doped zinc oxide (AZO) is a low loss semiconductor that can show negative real permittivity in the NIR. A comparative assessment of AZO-based plasmonic devices such as superlens and hyperlens...... with their metal-based counterparts shows that AZO-based devices significantly outperform at a wavelength of 1.55 µm. This provides a strong stimulus in turning to semiconductor plasmonics at the telecommunication wavelengths. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)....

  1. Optical properties of hybrid semiconductor-metal structures

    Energy Technology Data Exchange (ETDEWEB)

    Kreilkamp, L.E.; Pohl, M.; Akimov, I.A.; Yakovlev, D.R.; Bayer, M. [Experimentelle Physik 2, Technische Universitaet Dortmund, 44221 Dortmund (Germany); Belotelov, V.I.; Zvezdin, A.K. [A.M. Prokhorov General Physics Institute, Russian Academy of Sciences, 119992 Moscow (Russian Federation); Karczewski, G.; Wojtowicz, T. [Institute of Physics, Polish Academy of Sciences, 02668 Warsaw (Poland); Rudzinski, A.; Kahl, M. [Raith GmbH, Konrad-Adenauer-Allee 8, 44263 Dortmund (Germany)

    2012-07-01

    We study the optical properties of hybrid nanostructures comprising a semiconductor CdTe quantum well (QW) separated by a thin CdMgTe cap layer of 40 nm from a patterned gold film. The CdTe/CdMgTe QW structure with a well width of 10nm was grown by molecular beam epitaxy. The one-dimensional periodic gold films on top were made using e-beam lithography and lift-off process. The investigated structures can be considered as plasmonic crystals because the metal films attached to the semiconductor are patterned with a period in the range from 475 to 600 nm, which is comparable to the surface plasmon-polariton (SPP) wavelength. Angle dependent reflection spectra at room temperature clearly show plasmonic resonances. PL spectra taken at low temperatures of about 10 K under below- and above-barrier illumination show significant modifications compared to the unstructured QW sample. The number of emission lines and their position shift change depending on the excitation energy. The role of exciton-SPP coupling and Schottky barrier at the semiconductor-metal interface are discussed.

  2. TXRF applications for semiconductor materials and process characterization

    International Nuclear Information System (INIS)

    Zaitz, M.A.

    2000-01-01

    In the past 30 years, the semiconductor industry has undergone a dramatic evolution in technology which now has become part of our daily lives. The density of transistors on a chip has grown exponentially, approximately doubling every 18 months or increasing 3200 times. Early chips from the 1970's had about 2300 components on them compared to 7.5 million on today's sophisticated microprocessors. It is an exhausting pace with no let up in sight. Traditional materials are no longer keeping pace. Smaller and smaller circuits require alternative materials and processes. New materials such as high k and low k dielectric are being evaluated to replace silicon dioxide both as a gate material and as an insulator. Copper wiring which has less resistance thereby increasing signal speed is well into manufacturing. Other technologies such as SOI (silicon on insulator) are good candidates to win the battle of speed and performance. To keep this pace of phenomenal creativity going, material characterization and process development needs novel and innovative techniques. The versatility of total reflection x-ray florescence (TXRF) makes it an ideal analytical instrument for research and development studies for ultra trace metal analysis. TXRF can easily measure the surfaces of thin metallic films, but also both low and high K dielectric materials for ultra trace contamination levels. The multiple element capability provides accurate quantitative data over a wide range of elements. Nontraditional elements such as argon which is easily trapped in films during the sputter deposition process are easily detected by TXRF. Advances in light element; Al, Na, Mg, are providing information that was very difficult and time consuming to obtain by other analytical techniques. TXRF analysis on wafers show aluminum contamination patterns from a brush clean study and an ion implanted, shallow doped study. The silicon wafer is the perfect carrier for a TXRF analysis- smooth and highly polished for

  3. Impact of nano particles on semiconductor manufacturing

    NARCIS (Netherlands)

    Wali, F.; Knotter, D.M.; Kuper, F.G.

    2008-01-01

    Semiconductor industry faces a continuous challenge to decrease the transistor size as well as to increase the yield by eliminating defect sources. One of the sources of particle defects is ultra pure water used in different production tools at different stages of processing. In this paper, particle

  4. Spin physics in semiconductors

    CERN Document Server

    2017-01-01

    This book offers an extensive introduction to the extremely rich and intriguing field of spin-related phenomena in semiconductors. In this second edition, all chapters have been updated to include the latest experimental and theoretical research. Furthermore, it covers the entire field: bulk semiconductors, two-dimensional semiconductor structures, quantum dots, optical and electric effects, spin-related effects, electron-nuclei spin interactions, Spin Hall effect, spin torques, etc. Thanks to its self-contained style, the book is ideally suited for graduate students and researchers new to the field.

  5. Fabrication of prototypes of Ge(li) semiconductor detector

    International Nuclear Information System (INIS)

    Santos, W.M.S.; Marti, G.V.; Rizzo, P.; Barros, S. de.

    1987-01-01

    The fabrication process of Ge(Li) semiconductor detector prototypes, from specific chemical treatments of doped monocrystal with receptor impurities (p + semicondutor) is presented. The detector characteristics, such as resulotion and operation tension are shown. (M.C.K.) [pt

  6. Oxide semiconductors

    CERN Document Server

    Svensson, Bengt G; Jagadish, Chennupati

    2013-01-01

    Semiconductors and Semimetals has distinguished itself through the careful selection of well-known authors, editors, and contributors. Originally widely known as the ""Willardson and Beer"" Series, it has succeeded in publishing numerous landmark volumes and chapters. The series publishes timely, highly relevant volumes intended for long-term impact and reflecting the truly interdisciplinary nature of the field. The volumes in Semiconductors and Semimetals have been and will continue to be of great interest to physicists, chemists, materials scientists, and device engineers in academia, scient

  7. Semiconductor statistics

    CERN Document Server

    Blakemore, J S

    1962-01-01

    Semiconductor Statistics presents statistics aimed at complementing existing books on the relationships between carrier densities and transport effects. The book is divided into two parts. Part I provides introductory material on the electron theory of solids, and then discusses carrier statistics for semiconductors in thermal equilibrium. Of course a solid cannot be in true thermodynamic equilibrium if any electrical current is passed; but when currents are reasonably small the distribution function is but little perturbed, and the carrier distribution for such a """"quasi-equilibrium"""" co

  8. Problems of linear electron (polaron) transport theory in semiconductors

    CERN Document Server

    Klinger, M I

    1979-01-01

    Problems of Linear Electron (Polaron) Transport Theory in Semiconductors summarizes and discusses the development of areas in electron transport theory in semiconductors, with emphasis on the fundamental aspects of the theory and the essential physical nature of the transport processes. The book is organized into three parts. Part I focuses on some general topics in the theory of transport phenomena: the general dynamical theory of linear transport in dissipative systems (Kubo formulae) and the phenomenological theory. Part II deals with the theory of polaron transport in a crystalline semicon

  9. Band-engineering of TiO2 as a wide-band gap semiconductor using organic chromophore dyes

    Science.gov (United States)

    Wahyuningsih, S.; Kartini, I.; Ramelan, A. H.; Saputri, L. N. M. Z.; Munawaroh, H.

    2017-07-01

    Bond-engineering as applied to semiconductor materials refers to the manipulation of the energy bands in order to control charge transfer processes in a device. When the device in question is a photoelectrochemical cell, the charges affected by drift become the focus of the study. The ideal band gap of semiconductors for enhancement of photocatalyst activity can be lowered to match with visible light absorption and the location of conduction Band (CB) should be raised to meet the reducing capacity. Otherwise, by the addition of the chromofor organic dyes, the wide-band gab can be influences by interacation resulting between TiO2 surface and the dyes. We have done the impruvisation wide-band gap of TiO2 by the addition of organic chromophore dye, and the addition of transition metal dopand. The TiO2 morphology influence the light absorption as well as the surface modification. The organic chromophore dye was syntesized by formation complexes compound of Co(PAR)(SiPA)(PAR)= 4-(2-piridylazoresorcinol), SiPA = Silyl propil amine). The result showed that the chromophore groups adsorbed onto TiO2 surface can increase the visible light absorption of wide-band gab semiconductor. Initial absorption of a chromophore will affect light penetration into the material surfaces. The use of photonic material as a solar cell shows this phenomenon clearly from the IPCE (incident photon to current conversion efficiency) measurement data. Organic chromophore dyes of Co(PAR)(SiPA) exhibited the long wavelength absorption character compared to the N719 dye (from Dyesol).

  10. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    Science.gov (United States)

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  11. Organic semiconductors in a spin

    CERN Document Server

    Samuel, I

    2002-01-01

    A little palladium can go a long way in polymer-based light-emitting diodes. Inorganic semiconductors such as silicon and gallium arsenide are essential for countless applications in everyday life, ranging from PCs to CD players. However, while they offer unrivalled computational speed, inorganic semiconductors are also rigid and brittle, which means that they are less suited to applications such as displays and flexible electronics. A completely different class of materials - organic semiconductors - are being developed for these applications. Organic semiconductors have many attractive features: they are easy to make, they can emit visible light, and there is tremendous scope for tailoring their properties to specific applications by changing their chemical structure. Research groups and companies around the world have developed a wide range of organic-semiconductor devices, including transistors, light-emitting diodes (LEDs), solar cells and lasers. (U.K.)

  12. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1992-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups: Classical semiconductor diode detectors and semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported

  13. Classification of methods for measuring current-voltage characteristics of semiconductor devices

    Directory of Open Access Journals (Sweden)

    Iermolenko Ia. O.

    2014-06-01

    Full Text Available It is shown that computer systems for measuring current-voltage characteristics are very important for semiconductor devices production. The main criteria of efficiency of such systems are defined. It is shown that efficiency of such systems significantly depends on the methods for measuring current-voltage characteristics of semiconductor devices. The aim of this work is to analyze existing methods for measuring current-voltage characteristics of semiconductor devices and to create the classification of these methods in order to specify the most effective solutions in terms of defined criteria. To achieve this aim, the most common classifications of methods for measuring current-voltage characteristics of semiconductor devices and their main disadvantages are considered. Automated and manual, continuous, pulse, mixed, isothermal and isodynamic methods for measuring current-voltage characteristics are analyzed. As a result of the analysis and generalization of existing methods the next classification criteria are defined: the level of automation, the form of measurement signals, the condition of semiconductor device during the measurements, and the use of mathematical processing of the measurement results. With the use of these criteria the classification scheme of methods for measuring current-voltage characteristics of semiconductor devices is composed and the most effective methods are specified.

  14. Statistical features of pre-compound processes in nuclear reactions

    International Nuclear Information System (INIS)

    Hussein, M.S.; Rego, R.A.

    1983-04-01

    Several statistical aspects of multistep compound processes are discussed. The connection between the cross-section auto-correlation function and the average number of maxima is emphasized. The restrictions imposed by the non-zero value of the energy step used in measuring the excitation fuction and the experimental error are discussed. Applications are made to the system 25 Mg( 3 He,p) 27 Al. (Author) [pt

  15. Nonlinear Elasticity of Doped Semiconductors

    Science.gov (United States)

    2017-02-01

    AFRL-RY-WP-TR-2016-0206 NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS Mark Dykman and Kirill Moskovtsev Michigan State University...2016 4. TITLE AND SUBTITLE NONLINEAR ELASTICITY OF DOPED SEMICONDUCTORS 5a. CONTRACT NUMBER FA8650-16-1-7600 5b. GRANT NUMBER 5c. PROGRAM...vibration amplitude. 15. SUBJECT TERMS semiconductors , microresonators, microelectromechanical 16. SECURITY CLASSIFICATION OF: 17. LIMITATION OF

  16. Physics of semiconductor lasers

    CERN Document Server

    Mroziewicz, B; Nakwaski, W

    2013-01-01

    Written for readers who have some background in solid state physics but do not necessarily possess any knowledge of semiconductor lasers, this book provides a comprehensive and concise account of fundamental semiconductor laser physics, technology and properties. The principles of operation of these lasers are therefore discussed in detail with the interrelations between their design and optical, electrical and thermal properties. The relative merits of a large number of laser structures and their parameters are described to acquaint the reader with the various aspects of the semiconductor l

  17. Tuning polymorphism and orientation in organic semiconductor thin films via post-deposition processing.

    Science.gov (United States)

    Hiszpanski, Anna M; Baur, Robin M; Kim, Bumjung; Tremblay, Noah J; Nuckolls, Colin; Woll, Arthur R; Loo, Yueh-Lin

    2014-11-05

    Though both the crystal structure and molecular orientation of organic semiconductors are known to impact charge transport in thin-film devices, separately accessing different polymorphs and varying the out-of-plane molecular orientation is challenging, typically requiring stringent control over film deposition conditions, film thickness, and substrate chemistry. Here we demonstrate independent tuning of the crystalline polymorph and molecular orientation in thin films of contorted hexabenzocoronene, c-HBC, during post-deposition processing without the need to adjust deposition conditions. Three polymorphs are observed, two of which have not been previously reported. Using our ability to independently tune the crystal structure and out-of-plane molecular orientation in thin films of c-HBC, we have decoupled and evaluated the effects that molecular packing and orientation have on device performance in thin-film transistors (TFTs). In the case of TFTs comprising c-HBC, polymorphism and molecular orientation are equally important; independently changing either one affects the field-effect mobility by an order of magnitude.

  18. Synthesis of a new compound - Sr2CuO2CO3

    International Nuclear Information System (INIS)

    Fomichev, D.V.; Khardanov, A.L.; Antipov, E.V.; Kovba, L.M.

    1990-01-01

    A new compound of Sr 2 CuO 2 CO 3 composition, being an intermediate product of solid phase synthesis in air in SrCo 3 -CuO system at T 2 CuO 2 CO 3 have low resistance at room temperature and semiconductor type conductivity

  19. Semiconductor radiation detection systems

    CERN Document Server

    2010-01-01

    Covers research in semiconductor detector and integrated circuit design in the context of medical imaging using ionizing radiation. This book explores other applications of semiconductor radiation detection systems in security applications such as luggage scanning, dirty bomb detection and border control.

  20. IRIS Toxicological Review of Thallium and Compounds ...

    Science.gov (United States)

    Thallium compounds are used in the semiconductor industry, the manufacture of optic lenses and low-melting glass, low-temperature thermometers, alloys, electronic devices, mercury lamps, fireworks, and imitation germs, and clinically as an imaging agent in the diagnosis of certain tumors. EPA's assessment of noncancer health effects and carcinogenic potential of thallium compounds was last prepared and added to the IRIS database between 1988 and 1990. The IRIS program is preparing an assessment that will incorporate current health effects information available for thallium and compounds, and current risk assessment methods. The IRIS assessment for thallium compounds will consist of a Toxicological Review and IRIS Summary. The Toxicological Review is a critical review of the physiochemical and toxicokinetic properties of a chemical, and its toxicity in humans and experimental systems. The assessment will present reference values for the noncancer effects of thallium compounds (RfD and Rfc), and a cancer assessment. The Toxicological Review and IRIS Summary have been subject to Agency review, Interagency review, and external scientific peer review. The final product will reflect the Agency opinion on the overall toxicity of thallium and compounds. EPA is undertaking an Integrated Risk Information System (IRIS) health assessment for thallium and compounds. IRIS is an EPA database containing Agency scientific positions on potential adverse human health effec

  1. Nature of radiative recombination processes in layered semiconductor PbCdI{sub 2} nanostructural scintillation material

    Energy Technology Data Exchange (ETDEWEB)

    Bukivskii, A.P. [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Gnatenko, Yu.P., E-mail: yuriygnatenko@ukr.net [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Piryatinskii, Yu.P. [Institute of Physics of the National Academy of Sciences of Ukraine, 03028 Kyiv (Ukraine); Gamernyk, R.V. [Lviv National University, 8 Kyryl o and Mefodiy Str., 29005 Lviv (Ukraine)

    2017-05-15

    We report on the efficient photoluminescence (PL) and radioluminescence (RL) of the PbI{sub 2} nanoclusters (NCLs), which are naturally formed in the nanostructured Pb{sub 1-X}Cd{sub x}I{sub 2} alloys (X=0.70). Here, we carried out the studies of the nature of radiative recombination processes in the NCLs of various sizes by measuring PL temperature evolution. Our results indicate that at low temperatures the PL is mainly caused by exciton emission and recombination of donor-acceptor pairs, generated in volume of large NCLs. The broad bands, which are associated with the deep intrinsic surface states, including self-trapped excitons (STEs), are dominant in the PL spectra at higher temperature (>100 K). Our work shows that the nature of emission, associated with RL bands is analogous to that for PL bands. It was shown that the investigated nanostructured material is strongly radiation-resistant. Thus, the Pb{sub 1-X}Cd{sub X}I{sub 2} alloys can be considered as new effective layered semiconductor nanostructured materials which can be suitable for the elaboration of perspective semiconductor scintillators. These nanomaterials have promising prospects for applications in new generations of devices for biomedical diagnostics and industrial imaging applications. - Highlights: •The intense PL and RL of nanostructural PbCdI{sub 2} alloys were observed. •The nature of recombination processes of the nanoscintillators was established. •The low temperature PL is caused by exciton and donor-acceptor pairs recombination. •The broad PL bands are due to the deep intrinsic states formed on the NCLs surface. •The PL associated with STEs for NCLs of different sizes was analyzed in detail. •It was shown that the nature of PL and RL spectra is same.

  2. Semiconductor terahertz technology devices and systems at room temperature operation

    CERN Document Server

    Carpintero, G; Hartnagel, H; Preu, S; Raisanen, A

    2015-01-01

    Key advances in Semiconductor Terahertz (THz) Technology now promises important new applications enabling scientists and engineers to overcome the challenges of accessing the so-called "terahertz gap".  This pioneering reference explains the fundamental methods and surveys innovative techniques in the generation, detection and processing of THz waves with solid-state devices, as well as illustrating their potential applications in security and telecommunications, among other fields. With contributions from leading experts, Semiconductor Terahertz Technology: Devices and Systems at Room Tempe

  3. Semiconductor detectors in nuclear and particle physics

    International Nuclear Information System (INIS)

    Rehak, P.; Gatti, E.

    1995-01-01

    Semiconductor detectors for elementary particle physics and nuclear physics in the energy range above 1 GeV are briefly reviewed. In these two fields semiconductor detectors are used mainly for the precise position sensing. In a typical experiment, the position of a fast charged particle crossing a relatively thin semiconductor detector is measured. The position resolution achievable by semiconductor detectors is compared with the resolution achievable by gas filled position sensing detectors. Semiconductor detectors are divided into two groups; (i) classical semiconductor diode detectors and (ii) semiconductor memory detectors. Principles of the signal formation and the signal read-out for both groups of detectors are described. New developments of silicon detectors of both groups are reported. copyright 1995 American Institute of Physics

  4. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  5. Governing processes for reactive nitrogen compounds in the European atmosphere

    Directory of Open Access Journals (Sweden)

    O. Hertel

    2012-12-01

    Full Text Available Reactive nitrogen (Nr compounds have different fates in the atmosphere due to differences in the governing processes of physical transport, deposition and chemical transformation. Nr compounds addressed here include reduced nitrogen (NHx: ammonia (NH3 and its reaction product ammonium (NH4+, oxidized nitrogen (NOy: nitrogen monoxide (NO + nitrogen dioxide (NO2 and their reaction products as well as organic nitrogen compounds (organic N. Pollution abatement strategies need to take into account the differences in the governing processes of these compounds when assessing their impact on ecosystem services, biodiversity, human health and climate. NOx (NO + NO2 emitted from traffic affects human health in urban areas where the presence of buildings increases the residence time in streets. In urban areas this leads to enhanced exposure of the population to NOx concentrations. NOx emissions generally have little impact on nearby ecosystems because of the small dry deposition rates of NOx. These compounds need to be converted into nitric acid (HNO3 before removal through deposition is efficient. HNO3 sticks quickly to any surface and is thereby either dry deposited or incorporated into aerosols as nitrate (NO3. In contrast to NOx compounds, NH3 has potentially high impacts on ecosystems near the main agricultural sources of NH3 because of its large ground-level concentrations along with large dry deposition rates. Aerosol phase NH4+ and NO3 contribute significantly to background PM2.5 and PM10 (mass of aerosols with an aerodynamic diameter of less than 2.5 and 10 μm, respectively with an impact on radiation balance as well as potentially on human

  6. Magnetic and structural characterization of the semiconductor FeIn2Se4

    International Nuclear Information System (INIS)

    Torres, T.; Sagredo, V.; Chalbaud, L.M. de; Attolini, G.; Bolzoni, F.

    2006-01-01

    Plate-like single crystals of magnetic semiconductor FeIn 2 Se 4 were grown with a chemical vapour transport technique. The X-ray powder diffraction analyses suggest that the compound crystallize in the hexagonal structure with space group P3m1. We have performed dc magnetization measurements at different magnetic fields on the diluted magnetic semiconductor FeIn 2 Se 4 . Low field magnetizations measurements shows irreversibility in the DC magnetization, as evidenced by field cooled and zero field cooled measurements below 17 K, suggesting a spin-glass like behaviour. The high-temperature susceptibility data follow a typical Curie-Weiss law with θ=-183±2 K which suggest the presence of predominant antiferromagnetic interactions with high degree of frustration. The randomness and frustration necessary for spin-glass behaviour are explained in a manner compatible with the cation and charge ordering present in the material

  7. Homogeneous Discrete Time Alternating Compound Renewal Process: A Disability Insurance Application

    Directory of Open Access Journals (Sweden)

    Guglielmo D’Amico

    2015-01-01

    Full Text Available Discrete time alternating renewal process is a very simple tool that permits solving many real life problems. This paper, after the presentation of this tool, introduces the compound environment in the alternating process giving a systematization to this important tool. The claim costs for a temporary disability insurance contract are presented. The algorithm and an example of application are also provided.

  8. Foreword: Focus on Superconductivity in Semiconductors

    Directory of Open Access Journals (Sweden)

    Yoshihiko Takano

    2008-01-01

    Full Text Available Since the discovery of superconductivity in diamond, much attention has been given to the issue of superconductivity in semiconductors. Because diamond has a large band gap of 5.5 eV, it is called a wide-gap semiconductor. Upon heavy boron doping over 3×1020 cm−3, diamond becomes metallic and demonstrates superconductivity at temperatures below 11.4 K. This discovery implies that a semiconductor can become a superconductor upon carrier doping. Recently, superconductivity was also discovered in boron-doped silicon and SiC semiconductors. The number of superconducting semiconductors has increased. In 2008 an Fe-based superconductor was discovered in a research project on carrier doping in a LaCuSeO wide-gap semiconductor. This discovery enhanced research activities in the field of superconductivity, where many scientists place particular importance on superconductivity in semiconductors.This focus issue features a variety of topics on superconductivity in semiconductors selected from the 2nd International Workshop on Superconductivity in Diamond and Related Materials (IWSDRM2008, which was held at the National Institute for Materials Science (NIMS, Tsukuba, Japan in July 2008. The 1st workshop was held in 2005 and was published as a special issue in Science and Technology of Advanced Materials (STAM in 2006 (Takano 2006 Sci. Technol. Adv. Mater. 7 S1.The selection of papers describe many important experimental and theoretical studies on superconductivity in semiconductors. Topics on boron-doped diamond include isotope effects (Ekimov et al and the detailed structure of boron sites, and the relation between superconductivity and disorder induced by boron doping. Regarding other semiconductors, the superconducting properties of silicon and SiC (Kriener et al, Muranaka et al and Yanase et al are discussed, and In2O3 (Makise et al is presented as a new superconducting semiconductor. Iron-based superconductors are presented as a new series of high

  9. Powder metallurgical processing of magnetostrictive materials based on rare earth-iron intermetallic compounds

    International Nuclear Information System (INIS)

    Malekzadeh, M.

    1978-01-01

    Procedures are described for fabrication of high density rare earth-iron magnetostrictive compounds by powder metallurgical techniques. The fabrication involves a sequence of steps which includes preparing the pre-alloyed compounds, pulverizing them into a fine powder, compacting in suitable sizes and shapes, and sintering. Samples prepared by these procedures are carefully characterized by scanning electron microscopy, x-ray diffraction, dilatometry, and magnetic measurements. Process steps are found to exert important influences upon densities, microstructure and magnetic properties attained after densification. Investigations on a number of these process steps, including milling time and medium, sintering, and magnetic powder alignment are described

  10. Chemomics-based marker compounds mining and mimetic processing for exploring chemical mechanisms in traditional processing of herbal medicines, a continuous study on Rehmanniae Radix.

    Science.gov (United States)

    Zhou, Li; Xu, Jin-Di; Zhou, Shan-Shan; Shen, Hong; Mao, Qian; Kong, Ming; Zou, Ye-Ting; Xu, Ya-Yun; Xu, Jun; Li, Song-Lin

    2017-12-29

    Exploring processing chemistry, in particular the chemical transformation mechanisms involved, is a key step to elucidate the scientific basis in traditional processing of herbal medicines. Previously, taking Rehmanniae Radix (RR) as a case study, the holistic chemome (secondary metabolome and glycome) difference between raw and processed RR was revealed by integrating hyphenated chromatographic techniques-based targeted glycomics and untargeted metabolomics. Nevertheless, the complex chemical transformation mechanisms underpinning the holistic chemome variation in RR processing remain to be extensively clarified. As a continuous study, here a novel strategy by combining chemomics-based marker compounds mining and mimetic processing is proposed for further exploring the chemical mechanisms involved in herbal processing. First, the differential marker compounds between raw and processed herbs were rapidly discovered by untargeted chemomics-based mining approach through multivariate statistical analysis of the chemome data obtained by integrated metabolomics and glycomics analysis. Second, the marker compounds were mimetically processed under the simulated physicochemical conditions as in the herb processing, and the final reaction products were chemically characterized by targeted chemomics-based mining approach. Third, the main chemical transformation mechanisms involved were clarified by linking up the original marker compounds and their mimetic processing products. Using this strategy, a set of differential marker compounds including saccharides, glycosides and furfurals in raw and processed RR was rapidly found, and the major chemical mechanisms involved in RR processing were elucidated as stepwise transformations of saccharides (polysaccharides, oligosaccharides and monosaccharides) and glycosides (iridoid glycosides and phenethylalcohol glycosides) into furfurals (glycosylated/non-glycosylated hydroxymethylfurfurals) by deglycosylation and/or dehydration. The

  11. Zinc Alloys for the Fabrication of Semiconductor Devices

    Science.gov (United States)

    Ryu, Yungryel; Lee, Tae S.

    2009-01-01

    ZnBeO and ZnCdSeO alloys have been disclosed as materials for the improvement in performance, function, and capability of semiconductor devices. The alloys can be used alone or in combination to form active photonic layers that can emit over a range of wavelength values. Materials with both larger and smaller band gaps would allow for the fabrication of semiconductor heterostructures that have increased function in the ultraviolet (UV) region of the spectrum. ZnO is a wide band-gap material possessing good radiation-resistance properties. It is desirable to modify the energy band gap of ZnO to smaller values than that for ZnO and to larger values than that for ZnO for use in semiconductor devices. A material with band gap energy larger than that of ZnO would allow for the emission at shorter wavelengths for LED (light emitting diode) and LD (laser diode) devices, while a material with band gap energy smaller than that of ZnO would allow for emission at longer wavelengths for LED and LD devices. The amount of Be in the ZnBeO alloy system can be varied to increase the energy bandgap of ZnO to values larger than that of ZnO. The amount of Cd and Se in the ZnCdSeO alloy system can be varied to decrease the energy band gap of ZnO to values smaller than that of ZnO. Each alloy formed can be undoped or can be p-type doped using selected dopant elements, or can be n-type doped using selected dopant elements. The layers and structures formed with both the ZnBeO and ZnCdSeO semiconductor alloys - including undoped, p-type-doped, and n-type-doped types - can be used for fabricating photonic and electronic semiconductor devices for use in photonic and electronic applications. These devices can be used in LEDs, LDs, FETs (field effect transistors), PN junctions, PIN junctions, Schottky barrier diodes, UV detectors and transmitters, and transistors and transparent transistors. They also can be used in applications for lightemitting display, backlighting for displays, UV and

  12. Instantaneous amplitude and frequency dynamics of coherent wave mixing in semiconductor quantum wells

    International Nuclear Information System (INIS)

    Chemla, D.S.

    1993-01-01

    This article reviews recent investigations of nonlinear optical processes in semiconductors. Section II discusses theory of coherent wave mixing in semiconductors, with emphasis on resonant excitation with only one exciton state. Section III reviews recent experimental investigations of amplitude and phase of coherent wave-mixing resonant with quasi-2d excitons in GaAs quantum wells

  13. Semiconductors bonds and bands

    CERN Document Server

    Ferry, David K

    2013-01-01

    As we settle into this second decade of the twenty-first century, it is evident that the advances in micro-electronics have truly revolutionized our day-to-day lifestyle. The technology is built upon semiconductors, materials in which the band gap has been engineered for special values suitable to the particular application. This book, written specifically for a one semester course for graduate students, provides a thorough understanding of the key solid state physics of semiconductors. It describes how quantum mechanics gives semiconductors unique properties that enabled the micro-electronics revolution, and sustain the ever-growing importance of this revolution.

  14. Identification of deep trap energies and influences of oxygen plasma ashing on semiconductor carrier lifetime

    International Nuclear Information System (INIS)

    Koprowski, A; Humbel, O; Plappert, M; Krenn, H

    2015-01-01

    We have performed an analytical study of the effects of oxygen plasma ashing processes in semiconductor device fabrication and its impact on minority carrier lifetime in high voltage semiconductor devices. Our work includes a critical background study of life time killing mechanisms by deep traps imparted into the semiconductor by barrel plasma ashing. The Elymat technique provides the opportunity to measure lifetime and diffusion length of minority carriers and surface photo voltage (SPV) measurement was used to analyse influences of process parameters such as photoresist, time budget and positioning in the process chamber. It was shown that in microwave plasma processes the diffusion length changes severely with tempering at 200 °C, whereas RF-plasma processes show a significant process time-dependence. Batch tools in general suffer from a strong first wafer effect which could be correlated with the static electrical parameters of the semiconductor devices. The trap identities were detected by using deep level transient spectroscopy and the chemical species of the traps has been proven by inductive coupled plasma mass spectrometry. The deep-bandgap trap energies are reliable fingerprints of the chosen process parameters such as process time and of resist-influences. By microwave plasma processes intrinsic Fe and FeB-complex levels were identified and a good agreement with the SPV-measurement and electrical device characteristic was shown. RF-plasma processes impart levels attributed to Pt levels and an additional level, which could be identified as a trap level probably forming a complex of Pt and H. (paper)

  15. Mechanisms of Current Transfer in Electrodeposited Layers of Submicron Semiconductor Particles

    Science.gov (United States)

    Zhukov, N. D.; Mosiyash, D. S.; Sinev, I. V.; Khazanov, A. A.; Smirnov, A. V.; Lapshin, I. V.

    2017-12-01

    Current-voltage ( I- V) characteristics of conductance in multigrain layers of submicron particles of silicon, gallium arsenide, indium arsenide, and indium antimonide have been studied. Nanoparticles of all semiconductors were obtained by processing initial single crystals in a ball mill and applied after sedimentation onto substrates by means of electrodeposition. Detailed analysis of the I- V curves of electrodeposited layers shows that their behavior is determined by the mechanism of intergranular tunneling emission from near-surface electron states of submicron particles. Parameters of this emission process have been determined. The proposed multigrain semiconductor structures can be used in gas sensors, optical detectors, IR imagers, etc.

  16. Room-temperature ductile inorganic semiconductor

    Science.gov (United States)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  17. Flexible photonic-crystal Fano filters based on transferred semiconductor nanomembranes

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Weidong; Yang Hongjun; Qiang Zexuan; Chen Li; Yang Weiquan; Chuwongin, Santhad; Zhao Deyin [Department of Electrical Engineering, NanoFAB Center, University of Texas at Arlington, TX 76019 (United States); Ma Zhenqiang; Qin Guoxuan; Pang Huiqing, E-mail: wzhou@uta.ed, E-mail: mazq@engr.wisc.ed [Department of Electrical and Computer Engineering, University of Wisconsin-Madison, WI 53706 (United States)

    2009-12-07

    Crystalline semiconductor nanomembranes (NMs), which are transferable, stackable, bondable and manufacturable, offer unprecedented opportunities for unique and novel device applications. We report and review here nanophotonic devices based on stacked semiconductor NMs that were built on Si, glass and flexible PET substrates. Photonic-crystal Fano resonance based surface-normal optical filters and broadband reflectors have been demonstrated with unique angle and polarization properties. Such a low temperature NM stacking process can lead to a paradigm shift on silicon photonic integration and inorganic flexible photonics.

  18. Experimental study on the characteristics of semiconductor opening switch

    CERN Document Server

    Su Jian Cang; Ding Yong Zhong; Song Zhi Min; Ding Zhen Jie; Liu Guo Zhi

    2002-01-01

    An experimental set-up is developed to measure the characteristics of semiconductor opening switch (SOS). The parameters, such as interruption impedance, current int eruption time, voltage gain, pulse duration and energy transfer efficiency, are studied experimentally. The experimental results show that forward pumping time and reverse pumping time are important parameters for semiconductor opening switches. The influences of forward pumping time and reverse pumping time on interruption time, voltage gain, and energy transfer efficiency are obtained. In the interruption process, the impedance variation is divided into three phases: that is rapid increasing phase, slow change phase and completely interruption phase

  19. Effect of processing and storage time on the contents of organosulfur compounds in pickled blanched garlic.

    Science.gov (United States)

    Beato, Victor Manuel; Sánchez, Antonio Higinio; de Castro, Antonio; Montaño, Alfredo

    2012-04-04

    The influence of processing, with and without fermentation, on the contents of organosulfur compounds, namely, γ-glutamyl peptides, S-alk(en)yl-L-cysteine sulfoxides (ACSOs), and S-allyl-L-cysteine (SAC), in pickled blanched garlic was evaluated. For each processing type, the effect of the preservation method and storage time was also analyzed. Blanching in hot water (90 °C for 5 min) hardly affected the individual organosulfur compound content. The fermentation and packing steps negatively affected the levels of all compounds except for SAC. The content of this compound increased during storage at room temperature whereas γ-glutamyl peptides and ACSOs were degraded to various extents. The pasteurization treatment itself had no significant effect on the concentrations of organosulfur compounds. Use of the corresponding fermentation brine in the case of the fermented product in conjunction with refrigerated storage was found to be the best method to preserve the levels of organosulfur compounds in pickled garlic stored for up to one year.

  20. Ionic-Liquid-Mediated Extraction and Separation Processes for Bioactive Compounds: Past, Present, and Future Trends.

    Science.gov (United States)

    Ventura, Sónia P M; E Silva, Francisca A; Quental, Maria V; Mondal, Dibyendu; Freire, Mara G; Coutinho, João A P

    2017-05-24

    Ionic liquids (ILs) have been proposed as promising media for the extraction and separation of bioactive compounds from the most diverse origins. This critical review offers a compilation on the main results achieved by the use of ionic-liquid-based processes in the extraction and separation/purification of a large range of bioactive compounds (including small organic extractable compounds from biomass, lipids, and other hydrophobic compounds, proteins, amino acids, nucleic acids, and pharmaceuticals). ILs have been studied as solvents, cosolvents, cosurfactants, electrolytes, and adjuvants, as well as used in the creation of IL-supported materials for separation purposes. The IL-based processes hitherto reported, such as IL-based solid-liquid extractions, IL-based liquid-liquid extractions, IL-modified materials, and IL-based crystallization approaches, are here reviewed and compared in terms of extraction and separation performance. The key accomplishments and future challenges to the field are discussed, with particular emphasis on the major lacunas found within the IL community dedicated to separation processes and by suggesting some steps to overcome the current limitations.