WorldWideScience

Sample records for compact coherent euv

  1. Imaging and Patterning on Nanometer Scale Using Coherent EUV Light

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Fiedorowicz, H.; Bartnik, A.; Marconi, M.C.; Menoni, C.S.; Rocca, J.J.

    2010-01-01

    Extreme ultraviolet (EUV) covers wavelength range from about 5 nm to 50 nm. That is why EUV is especially applicable for imaging and patterning on nanometer scale length. In the paper periodic nanopatterning realized by interference lithography and high resolution holographic nanoimaging performed in a Gabor in-line scheme are presented. In the experiments a compact table top EUV laser was used. Preliminary studies on using a laser plasma EUV source for nanoimaging are presented as well. (author)

  2. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  3. Enabling laboratory EUV research with a compact exposure tool

    Science.gov (United States)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  4. Coherent states for quantum compact groups

    CERN Document Server

    Jurco, B

    1996-01-01

    Coherent states are introduced and their properties are discussed for all simple quantum compact groups. The multiplicative form of the canonical element for the quantum double is used to introduce the holomorphic coordinates on a general quantum dressing orbit and interpret the coherent state as a holomorphic function on this orbit with values in the carrier Hilbert space of an irreducible representation of the corresponding quantized enveloping algebra. Using Gauss decomposition, the commutation relations for the holomorphic coordinates on the dressing orbit are derived explicitly and given in a compact R--matrix formulation (generalizing this way the q--deformed Grassmann and flag manifolds). The antiholomorphic realization of the irreducible representations of a compact quantum group (the analogue of the Borel--Weil construction) are described using the concept of coherent state. The relation between representation theory and non--commutative differential geometry is suggested.}

  5. Coherent states for quantum compact groups

    International Nuclear Information System (INIS)

    Jurco, B.; Stovicek, P.; CTU, Prague

    1996-01-01

    Coherent states are introduced and their properties are discussed for simple quantum compact groups A l , B l , C l and D l . The multiplicative form of the canonical element for the quantum double is used to introduce the holomorphic coordinates on a general quantum dressing orbit. The coherent state is interpreted as a holomorphic function on this orbit with values in the carrier Hilbert space of an irreducible representation of the corresponding quantized enveloping algebra. Using Gauss decomposition, the commutation relations for the holomorphic coordinates on the dressing orbit are derived explicitly and given in a compact R-matrix formulation (generalizing this way the q-deformed Grassmann and flag manifolds). The antiholomorphic realization of the irreducible representations of a compact quantum group (the analogue of the Borel-Weil construction) is described using the concept of coherent state. The relation between representation theory and non-commutative differential geometry is suggested. (orig.)

  6. Uncovering New Thermal and Elastic Properties of Nanostructured Materials Using Coherent EUV Light

    Science.gov (United States)

    Hernandez Charpak, Jorge Nicolas

    Advances in nanofabrication have pushed the characteristic dimensions of nanosystems well below 100nm, where physical properties are often significantly different from their bulk counterparts, and accurate models are lacking. Critical technologies such as thermoelectrics for energy harvesting, nanoparticle-mediated thermal therapy, nano-enhanced photovoltaics, and efficient thermal management in integrated circuits depend on our increased understanding of the nanoscale. However, traditional microscopic characterization tools face fundamental limits at the nanoscale. Theoretical efforts to build a fundamental picture of nanoscale thermal dynamics lack experimental validation and still struggle to account for newly reported behaviors. Moreover, precise characterization of the elastic behavior of nanostructured systems is needed for understanding the unique physics that become apparent in small-scale systems, such as thickness-dependent or fabrication-dependent elastic properties. In essence, our ability to fabricate nanosystems has outstripped our ability to understand and characterize them. In my PhD thesis, I present the development and refinement of coherent extreme ultraviolet (EUV) nanometrology, a novel tool used to probe material properties at the intrinsic time- and length-scales of nanoscale dynamics. By extending ultrafast photoacoustic and thermal metrology techniques to very short probing wavelengths using tabletop coherent EUV beams from high-harmonic upconversion (HHG) of femtosecond lasers, coherent EUV nanometrology allows for a new window into nanoscale physics, previously unavailable with traditional techniques. Using this technique, I was able to probe both thermal and acoustic dynamics in nanostructured systems with characteristic dimensions below 50nm with high temporal (sub-ps) and spatial (size and spacing of the nanoscale heat sources with the phonon spectrum of a material. This makes our technique one of the only experimental routes to

  7. Coherent states for quantum compact groups

    Energy Technology Data Exchange (ETDEWEB)

    Jurco, B. [European Organization for Nuclear Research, Geneva (Switzerland). Theory Div.; Stovicek, P. [Ceske Vysoke Uceni Technicke, Prague (Czech Republic). Dept. of Mathematics]|[CTU, Prague (Czech Republic). Doppler Inst.

    1996-12-01

    Coherent states are introduced and their properties are discussed for simple quantum compact groups A{sub l}, B{sub l}, C{sub l} and D{sub l}. The multiplicative form of the canonical element for the quantum double is used to introduce the holomorphic coordinates on a general quantum dressing orbit. The coherent state is interpreted as a holomorphic function on this orbit with values in the carrier Hilbert space of an irreducible representation of the corresponding quantized enveloping algebra. Using Gauss decomposition, the commutation relations for the holomorphic coordinates on the dressing orbit are derived explicitly and given in a compact R-matrix formulation (generalizing this way the q-deformed Grassmann and flag manifolds). The antiholomorphic realization of the irreducible representations of a compact quantum group (the analogue of the Borel-Weil construction) is described using the concept of coherent state. The relation between representation theory and non-commutative differential geometry is suggested. (orig.)

  8. Compact XFEL and AMO sciences: SACLA and SCSS

    International Nuclear Information System (INIS)

    Yabashi, M; Tanaka, H; Tanaka, T; Tomizawa, H; Nagasono, M; Ishikawa, T; Harries, J R; Hikosaka, Y; Hishikawa, A; Nagaya, K; Saito, N; Shigemasa, E; Yamanouchi, K; Ueda, K; Togashi, T

    2013-01-01

    The concept, design and performance of Japan's compact free-electron laser (FEL) facilities, the SPring-8 Compact SASE Source test accelerator (SCSS) and SPring-8 Angstrom Compact free electron LAser (SACLA), and their applications in mainly atomic, molecular and optical science are reviewed. At SCSS, intense, ultrafast FEL pulses at extreme ultraviolet (EUV) wavelengths have been utilized for investigating various multi-photon processes in atoms, molecules and clusters by means of ion and electron spectroscopy. The quantum optical effect superfluorescence has been observed with EUV excitation. A pump–probe technique combining FEL pulses with near infrared laser pulses has been realized to study the ultrafast dynamics of atoms, molecules and clusters in the sub-picosecond regime. At SACLA, deep inner-shell multi-photon ionization by intense x-ray FEL pulses has been investigated. The development of seeded FEL sources for producing transversely and temporally coherent light, as well as the expected impact on advanced science are discussed. (invited paper)

  9. Photoionization of atoms and molecules by intense EUV-FEL pulses and FEL seeded by high-order harmonic of ultrashort laser pulses

    International Nuclear Information System (INIS)

    Iwasaki, Atsushi; Owada, Shigeki; Yamanouchi, Kaoru; Sato, Takahiro; Nagasono, Mitsuru; Yabashi, Makina; Ishikawa, Tetsuya; Togashi, Tadashi; Takahashi, Eiji J.; Midorikawa, Katsumi; Aoyama, Makoto; Yamakawa, Koichi; Kannari, Fumihiko; Yagishita, Akira

    2012-01-01

    The advantages of SPring-8 Compact SASE Source as a light source for spectroscopic measurements in the extreme ultraviolet (EUV) wavelength region are introduced by referring to our recent study of non-linear photoionization processes of He, in which the absolute two-photon ionization cross sections of He at four different wavelengths in the 54 - 62 nm region were determined using intense pulses of the free-election laser (FEL). In addition, our recent effort to generate intense full-coherent EUV light pulses are introduced, in which significant amplification of the 13th harmonic of ultrashort laser pulses at 800 nm was achieved by FEL seeded with the 13th harmonic. (author)

  10. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    Science.gov (United States)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  11. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  12. Nanoimaging using soft X-ray and EUV laser-plasma sources

    Science.gov (United States)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  13. Ultra-compact coherent receiver with serial interface for pluggable transceiver.

    Science.gov (United States)

    Itoh, Toshihiro; Nakajima, Fumito; Ohno, Tetsuichiro; Yamanaka, Shogo; Soma, Shunichi; Saida, Takashi; Nosaka, Hideyuki; Murata, Koichi

    2014-09-22

    An ultra-compact integrated coherent receiver with a volume of 1.3 cc using a quad-channel transimpedance amplifier (TIA)-IC chip with a serial peripheral interface (SPI) is demonstrated for the first time. The TIA with the SPI and photodiode (PD) bias circuits, a miniature dual polarization optical hybrid, an octal-PD and small optical coupling system enabled the realization of the compact receiver. Measured transmission performance with 32 Gbaud dual-polarization quadrature phase shift keying signal is equivalent to that of the conventional multi-source agreement-based integrated coherent receiver with dual channel TIA-ICs. By comparing the bit-error rate (BER) performance with that under continuous SPI access, we also confirmed that there is no BER degradation caused by SPI interface access. Such an ultra-compact receiver is promising for realizing a new generation of pluggable transceivers.

  14. A compact, coherent light source system architecture

    Science.gov (United States)

    Biedron, S. G.; Dattoli, G.; DiPalma, E.; Einstein, J.; Milton, S. V.; Petrillo, V.; Rau, J. V.; Sabia, E.; Spassovsky, I. P.; van der Slot, P. J. M.

    2016-09-01

    Our team has been examining several architectures for short-wavelength, coherent light sources. We are presently exploring the use and role of advanced, high-peak power lasers for both accelerating the electrons and generating a compact light source with the same laser. Our overall goal is to devise light sources that are more accessible by industry and in smaller laboratory settings. Although we cannot and do not want to compete directly with sources such as third-generation light sources or that of national-laboratory-based free-electron lasers, we have several interesting schemes that could bring useful and more coherent, short-wavelength light source to more researchers. Here, we present and discuss several results of recent simulations and our future steps for such dissemination.

  15. Absorption and Emission of EUV Radiation by the Local ISM

    Science.gov (United States)

    Paresce, F.

    1984-01-01

    The Berkeley extreme ultraviolet radiation (EUV) telescope flown on the Apollo Soyuz mission in July, 1975 established the existence of a measurable flux of EUV (100 lambda or = or = 1000 A) originating from sources outside the solar system. White dwarfs, flare stars and cataclysmic variables were dicovered to be relatively intense compact sources of EUV photons. Moreover, this and other subsequent experiments have strongly suggested the presence of a truly diffuse component of the FUV radiation field possibly due to thermal emission from hot interstellar gas located in the general vicinity of the Sun. Closer to the H1, 912 A edge, the effect of a few hot O and B stars has been shown to be very important in establishing the interstellar flux density. All these results imply that the local interstellar medium (ISM) is immersed in a non-negligible EUV radiation field which, because of the strong coupling between EUV photons and matter, will play a crucial role in determining its physical structure. The available information on the local ISM derived from the limited EUV observations carried out so far is assembled and analyzed. These include measurements of the spectra of bright EUV sources that reveal clear evidence of H photo absorption at lambda 400 A and of the He ionization edge at 228 A.

  16. Coherence techniques at extreme ultraviolet wavelengths

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chang [Univ. of California, Berkeley, CA (United States)

    2002-01-01

    The renaissance of Extreme Ultraviolet (EUV) and soft x-ray (SXR) optics in recent years is mainly driven by the desire of printing and observing ever smaller features, as in lithography and microscopy. This attribute is complemented by the unique opportunity for element specific identification presented by the large number of atomic resonances, essentially for all materials in this range of photon energies. Together, these have driven the need for new short-wavelength radiation sources (e.g. third generation synchrotron radiation facilities), and novel optical components, that in turn permit new research in areas that have not yet been fully explored. This dissertation is directed towards advancing this new field by contributing to the characterization of spatial coherence properties of undulator radiation and, for the first time, introducing Fourier optical elements to this short-wavelength spectral region. The first experiment in this dissertation uses the Thompson-Wolf two-pinhole method to characterize the spatial coherence properties of the undulator radiation at Beamline 12 of the Advanced Light Source. High spatial coherence EUV radiation is demonstrated with appropriate spatial filtering. The effects of small vertical source size and beamline apertures are observed. The difference in the measured horizontal and vertical coherence profile evokes further theoretical studies on coherence propagation of an EUV undulator beamline. A numerical simulation based on the Huygens-Fresnel principle is performed.

  17. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  18. Optical, UV, and EUV Oscillations of SS Cygni in Outburst

    Science.gov (United States)

    Mauche, Christopher W.

    2004-07-01

    I provide a review of observations in the optical, UV (HST), and EUV (EUVE and Chandra LETG) of the rapid periodic oscillations of nonmagnetic, disk-accreting, high mass-accretion rate cataclysmic variables (CVs), with particular emphasis on the dwarf nova SS Cyg in outburst. In addition, I drawn attention to a correlation, valid over nearly six orders of magnitude in frequency, between the frequencies of the quasi-periodic oscillations (QPOs) of white dwarf, neutron star, and black hole binaries. This correlation identifies the high frequency quasi-coherent oscillations (so-called ``dwarf nova oscillations'') of CVs with the kilohertz QPOs of low mass X-ray binaries (LMXBs), and the low frequency and low coherence QPOs of CVs with the horizontal branch oscillations (or the broad noise component identified as such) of LMXBs. Assuming that the same mechanisms produce the QPOs of white dwarf, neutron star, and black hole binaries, this correlation has important implications for QPO models.

  19. Diagnostic system for EUV radiation measurements from dense xenon plasma generated by MPC

    International Nuclear Information System (INIS)

    Petrov, Yu.V.; Garkusha, I.E.; Solyakov, D.G.; Marchenko, A.K.; Chebotarev, V.V.; Ladygina, M.S.; Staltsov, V.V.; Yelisyeyev, D.V.; Hassanein, A.

    2011-01-01

    Magnetoplasma compressor (MPC) of compact geometry has been designed and tested as a source of EUV radiation. In present paper diagnostic system for registration of EUV radiation is described. It was applied for radiation measurements in different operation modes of MPC. The registration system was designed on the base of combination of different types of AXUV photodiodes. Possibility to minimize the influence of electrons and ions flows from dense plasma stream on AXUV detector performance and results of the measurements has been discussed.

  20. Radiometry for the EUV lithography; Radiometrie fuer die EUV-Lithographie

    Energy Technology Data Exchange (ETDEWEB)

    Scholze, Frank [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Arbeitsgruppe ' EUV-Radiometrie' ; Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Puls, Jana; Stadelhoff, Christian

    2014-12-15

    The EUV reflectrometry at the PTB storage BESSY I and BESSY II is described. Results on the reflectivities of some EUV mirrors are presented. Finally the spectral sensitivities of different photodiodes used as EUV detectors are presented. (HSI)

  1. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    Science.gov (United States)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  2. Coherent change detection and interferometric ISAR measurements in the folded compact range

    Energy Technology Data Exchange (ETDEWEB)

    Sorensen, K.W.

    1996-08-01

    A folded compact range configuration has been developed ant the Sandia National Laboratories` compact range antenna and radar-cross- section measurement facility as a means of performing indoor, environmentally-controlled, far-field simulations of synthetic aperture radar (SAR) measurements of distributed target samples (i.e. gravel, sand, etc.). The folded compact range configuration has previously been used to perform coherent-change-detection (CCD) measurements, which allow disturbances to distributed targets on the order of fractions of a wavelength to be detected. This report describes follow-on CCD measurements of other distributed target samples, and also investigates the sensitivity of the CCD measurement process to changes in the relative spatial location of the SAR sensor between observations of the target. Additionally, this report describes the theoretical and practical aspects of performing interferometric inverse-synthetic-aperture-radar (IFISAR) measurements in the folded compact range environment. IFISAR measurements provide resolution of the relative heights of targets with accuracies on the order of a wavelength. Several examples are given of digital height maps that have been generated from measurements performed at the folded compact range facility.

  3. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  4. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    International Nuclear Information System (INIS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Guersel, Selmiye Alkan; Scherer, Guenther G.; Wokaun, Alexander

    2007-01-01

    Nanostructures of the thermoresponsive poly(N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 deg. C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures

  5. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    Science.gov (United States)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  6. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  7. A COHERENT MATRIX MODEL FOR THE CONSOLIDATION AND COMPACTION OF AN EXCIPIENT WITH MAGNESIUM STEARATE

    NARCIS (Netherlands)

    RIEPMA, KA; VROMANS, H; LERK, CF

    1993-01-01

    This paper reports that magnesium stearate sensitivity of brittle materials is not directly related to the degree of fragmentation during compression. A coherent matrix of magnesium stearate, created by the process of dry blending, is highly sustained during consolidation and compaction of the

  8. EUVS Sounding Rocket Payload

    Science.gov (United States)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  9. Uncertainties in (E)UV model atmosphere fluxes

    Science.gov (United States)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  10. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    Science.gov (United States)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  11. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    Science.gov (United States)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  12. Spectroscopic studies of xenon EUV emission in the 40-80 nm wavelength range using an absolutely calibrated monochromator

    Energy Technology Data Exchange (ETDEWEB)

    Merabet, H [Mathematic and Sciences Unit, Dhofar University, Salalah 211, Sultanate of (Oman); Bista, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Bruch, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Fuelling, S [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States)

    2007-03-01

    We have measured and identified numerous Extreme UltraViolet (EUV) radiative line structures arising from xenon (Xe) ions in charge state q = 1 to 10 in the wavelength range 40-80 nm. To obtain reasonable intensities of different charged Xe ions, we have used a compact microwave plasma source which was designed and developed at the Lawrence Berkeley National Laboratory (LBNL). The EUV emission of the ECR plasma has been measured by a 1.5 m grazing incidence monochromator that was absolutely calibrated in the 10-80 nm wavelength range using well known and calibrated EUV light at the Advanced Light Source (ALS), LBNL. This calibration has enabled us to determine absolute intensities of previously measured EUV radiative lines in the wavelengths regions investigated for different ionization stages of Xe. In addition, emission spectra of xenon ions for corresponding measured lines have been calculated. The calculations have been carried out within the relativistic Hartree-Fock (HF) approximation. Results of calculations are found to be in good agreement with current and available experimental and theoretical data.

  13. Towards a contamination-tolerant EUV power sensor

    NARCIS (Netherlands)

    Veldhoven, J. van; Putten, M. van; Nieuwkoop, E.; Huijser, T.; Maas, D.J.

    2015-01-01

    In EUV Lithography short-, mid- and long-term control over in-band EUV power is needed for high-yield IC production. Existing sensors can be unstable over time due to contamination and/or degradation. TNO goal: to conceive a stable EUV power sensor. Sensitive to in-band EUV, negligible degradation,

  14. Coherent addition of high power broad-area laser diodes with a compact VBG V-shaped external Talbot cavity

    Science.gov (United States)

    Liu, Bo; Braiman, Yehuda

    2018-05-01

    We introduced a compact V-shaped external Talbot cavity for phase locking of high power broad-area laser diodes. The length of compact cavity is ∼25 mm. Near diffraction-limit coherent addition of 10 broad-area laser diodes indicated that high quality phase locking was achieved. We measured the near-field emission mode of each individual broad-area laser diode with different feedback, such as a volume Bragg grating and a high reflection mirror. We found out that the best result of phase locking broad-area laser diodes was achieved by the compact V-shaped external Talbot cavity with volume Bragg grating feedback.

  15. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  16. EUV microexposures at the ALS using the 0.3-NA MET projection optics

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Goldberg, Kenneth A.; Anderson, Erik; Cain, Jason P.; Denham, Paul; Hoef, Brian; Jackson, Keith; Morlens, Anne-Sophie; Rekawa, Seno; Dean, Kim

    2005-01-01

    The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory's Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development. The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to σ=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings. Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm

  17. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  18. Update on EUV radiometry at PTB

    Science.gov (United States)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  19. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    Science.gov (United States)

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  20. Latest developments on EUV reticle and pellicle research and technology at TNO

    Science.gov (United States)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  1. Ionospheric Change and Solar EUV Irradiance

    Science.gov (United States)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  2. Analytical techniques for mechanistic characterization of EUV photoresists

    Science.gov (United States)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  3. Study of statistical properties of hybrid statistic in coherent multi-detector compact binary coalescences Search

    OpenAIRE

    Haris, K; Pai, Archana

    2015-01-01

    In this article, we revisit the problem of coherent multi-detector search of gravitational wave from compact binary coalescence with Neutron stars and Black Holes using advanced interferometers like LIGO-Virgo. Based on the loss of optimal multi-detector signal-to-noise ratio (SNR), we construct a hybrid statistic as a best of maximum-likelihood-ratio(MLR) statistic tuned for face-on and face-off binaries. The statistical properties of the hybrid statistic is studied. The performance of this ...

  4. Solar EUV irradiance for space weather applications

    Science.gov (United States)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  5. Surface roughness control by extreme ultraviolet (EUV) radiation

    Science.gov (United States)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  6. Design and fabrication of advanced EUV diffractive elements

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2003-11-16

    As extreme ultraviolet (EUV) lithography approaches commercial reality, the development of EUV-compatible diffractive structures becomes increasingly important. Such devices are relevant to many aspects of EUV technology including interferometry, illumination, and spectral filtering. Moreover, the current scarcity of high power EUV sources makes the optical efficiency of these diffractive structures a paramount concern. This fact has led to a strong interest in phase-enhanced diffractive structures. Here we describe recent advancements made in the fabrication of such devices.

  7. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  8. EUV laser produced and induced plasmas for nanolithography

    Science.gov (United States)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  9. Spectroscopic modeling for tungsten EUV spectra

    International Nuclear Information System (INIS)

    Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Suzuki, Chihiro; Morita, Shigeru; Goto, Motoshi; Sasaki, Akira; Nakamura, Nobuyuki; Yamamoto, Norimasa; Koike, Fumihiro

    2014-01-01

    We have constructed an atomic model for tungsten extreme ultraviolet (EUV) spectra to reconstruct characteristic spectral feature of unresolved transition array (UTA) observed at 4-7 nm for tungsten ions. In the tungsten atomic modeling, we considered fine-structure levels with the quantum principal number n up to 6 as the atomic structure and calculated the electron-impact collision cross sections by relativistic distorted-wave method, using HULLAC atomic code. We measured tungsten EUV spectra in Large Helical Device (LHD) and Compact Electron Beam Ion Trap device (CoBIT) and compared them with the model calculation. The model successfully explain series of emission peaks at 1.5-3.5 nm as n=5-4 and 6-4 transitions of W"2"4"+ - W"3"2"+ measured in CoBIT and LHD and the charge state distributions were estimated for LHD plasma. The UTA feature observed at 4-7 nm was also successfully reconstructed with our model. The peak at ∼5 nm is produced mainly by many 4f-4d transition of W"2"2"+ - W"3"5"+ ions, and the second peak at ∼6 nm is produced by 4f-4d transition of W"2"5"+ - W"2"8"+ ions, and 4d-4p inner-shell transitions, 4p"54d"n"+"1 - 4p"64d"n, of W"2"9"+ - W"3"5"+ ions. These 4d-4p inner-shell transitions become strong since we included higher excited states such as 4p"54d"n4f state, which ADAS atomic data set does not include for spectroscopic modeling with fine structure levels. (author)

  10. Analysis and characterization of contamination in EUV reticles

    Science.gov (United States)

    Okoroanyanwu, Uzodinma; Dittmar, Kornelia; Fahr, Torsten; Wallow, Tom; La Fontaine, Bruno; Wood, Obert; Holfeld, Christian; Bubke, Karsten; Peters, Jan-Hendrik

    2010-04-01

    A host of complementary imaging techniques (Scanning Electron Microscopy), surface analytical technique (Auger Electron Spectroscopy, AES), chemical analytical and speciation techniques (Grazing Incidence Reflectance Fourier-Transform Infrared Spectroscopy, GIR-FTIR; and Raman spectroscopy) have been assessed for their sensitivity and effectiveness in analyzing contamination on three EUV reticles that were contaminated to varying degrees. The first reticle was contaminated as a result of its exposure experience on the SEMATECH EUV Micro Exposure Tool (MET) at Lawrence Berkeley National Laboratories, where it was exposed to up to 80 hours of EUV radiation. The second reticle was a full-field reticle, specifically designed to monitor molecular contamination, and exposed to greater than 1600J/cm2 of EUV radiation on the ASML Alpha Demo Tool (ADT) in Albany Nanotech in New York. The third reticle was intentionally contaminated with hydrocarbons in the Microscope for Mask Imaging and Contamination Studies (MIMICS) tool at the College of Nanoscale Sciences of State University of New York at Albany. The EUV reflectivities of some of these reticles were measured on the Advanced Light Source EUV Reflectomer at Lawrence Berkeley National Laboratories and PTB Bessy in Berlin, respectively. Analysis and characterization of thin film contaminants on the two EUV reticles exposed to varying degrees of EUV radiation in both MET and ADT confirm that the two most common contamination types are carbonization and surface oxidation, mostly on the exposed areas of the reticle, and with the MET being significantly more susceptible to carbon contamination than the ADT. While AES in both surface scanning and sputter mode is sensitive and efficient in analyzing thin contaminant films (of a few nanometers), GIRFTIR is sensitive to thick films (of order of a 100 nm or more on non-infra-red reflecting substrates), Raman spectroscopy is not compatible with analyzing such contaminants because of

  11. First environmental data from the EUV engineering test stand

    Science.gov (United States)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  12. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  13. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  14. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  15. e-beam induced EUV photomask repair: a perfect match

    Science.gov (United States)

    Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K.

    2010-05-01

    Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1. Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than the conventional 193nm photomask materials which expand the field of critical defect types even further. In this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32. Furthermore this process will be applied on real EUV mask defects and the success of these repairs confirmed by wafer prints.

  16. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  17. Extreme Ultraviolet (EUV) induced surface chemistry on Ru

    NARCIS (Netherlands)

    Liu, Feng; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    EUV photon induced surface chemistry can damage multilayer mirrors causing reflectivity loss and faster degradation. EUV photo chemistry involves complex processes including direct photon induced surface chemistry and secondary electron radiation chemistry. Current cleaning techniques include dry

  18. Nonlinear momentum compaction and coherent synchrotron radiation at the metrology light source. Low-α commissioning and development

    International Nuclear Information System (INIS)

    Ries, Markus

    2014-01-01

    Short pulses of synchrotron radiation are becoming an increasingly demanded tool in various fields of science. The generation of short synchrotron radiation pulses can be accomplished by different accelerator-based approaches such as free electron lasers, energy recovery linacs or electron storage rings. Linear accelerator driven free electron lasers are capable of generating intense pulses in the femtosecond regime at moderate repetition rates. In comparison, electron storage rings generate pulses of lower intensity with the advantage of large repetition rates. However, electron storage rings rely on radiation emitted by the same bunch(es) every turn, which are present in an equilibrium state. Thus making the electron storage ring a yet unchallenged source of short synchrotron radiation pulses in terms of stability and reproducibility. In addition, storage rings are capable to serve a large number of users simultaneously. In general, it is possible to distinguish the user community of short pulses at electron storage rings. The first user group is interested in time-resolution applying incoherent synchrotron radiation up to the X-ray regime. The second user group makes use of coherent synchrotron radiation emitted by short bunches at wavelengths large compared to the bunch dimensions, which commonly applies up to the THz-regime. Both user groups are interested in the high average power and stability available at electron storage rings. However, there is a current limitation for stable short bunch operation of electron storage rings, which is due to an instability driven by the emission of coherent synchrotron radiation. The subject of this thesis is the operation of an electron storage ring at a low momentum compaction to generate short electron bunches as a source for coherent synchrotron radiation. For this purpose the Metrology Light Source is ideally suited, as it is the first light source designed with the ability to adjust the three leading orders of the

  19. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  20. EUV tools: hydrogen gas purification and recovery strategies

    Science.gov (United States)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  1. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  2. Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism

    KAUST Repository

    Jiang, Jing; Zhang, Ben; Yu, Mufei; Li, Li; Neisser, Mark; Sung Chun, Jun; Giannelis, Emmanuel P.; Ober, Christopher K.

    2015-01-01

    © 2015 SPST. In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be achieved. Oxide nanoparticle EUV (ONE) resists stablized by organic ligands were originally proposed by Ober et al. Recently this work attracts more and more attention due to its extraordinanry EUV sensitivity. This new class of photoresist utilizes ligand cleavage with a ligand exchange mechanism to switch its solubilty for dual-tone patterning. Therefore, ligand selection of the nanoparticles is extremely important to its EUV performance.

  3. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  4. A problem to be solved for tungsten diagnostics through EUV spectroscopy in fusion devices

    International Nuclear Information System (INIS)

    Morita, S.; Murakami, I.; Sakaue, H.A.; Dong, C.F.; Goto, M.; Kato, D.; Oishi, T.; Huang, X.L.; Wang, E.H.

    2013-01-01

    Tungsten spectra have been observed from Large Helical Device (LHD) in extreme ultraviolet (EUV) wavelength ranges of 10-650Å. When the electron temperature is less than 2keV, the EUV spectra from plasma core are dominated by unresolved transition array (UTA) composing of a lot of spectral lines, e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W"+"2"4"-"+"3"3 in 15-35Å. In order to understand the UTA spectrum, the EUV spectra measured from LHD plasmas are compared to those measured from Compact electron Beam Ion Trap (CoBIT), in which the electron beam is operated with monoenergetic energy of E_e ≤ 2keV. The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The collisional-radiative (C-R) model has been developed to explain the UTA spectra from LHD in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database to examine the ionization balance determined by ionization and recombination rate coefficients. If the electron temperature is higher than 2keV, Zn-like WXLV (W"4"4"+) and Cu-like WXLVI (W"4"5"+) spectra can be observed in LHD. Such ions of W"4"4"+ and W"4"5"+ can exhibit much simpler atomic configuration compared to other ionization stages of tungsten. Quantitative analysis of the tungsten density is attempted for the first time on the radial profile of Zn-like WXLV (W"4"4"+) 4p-4s transition measured at 60.9Å, based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center of LHD is reasonably obtained. Finally, the present problem for tungsten diagnostics in fusion plasmas is summarized. (author)

  5. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  6. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  7. Characterization of laser-produced plasma EUV light

    International Nuclear Information System (INIS)

    Mizoguchi, Hakaru; Endo, Akira; Takabayashi, Yuichi; Sasaki, Akira; Komori, Hiroshi; Suganuma, Takashi

    2005-01-01

    Resolution of optical microlithography process becomes smaller and smaller. Wavelength of the light source for these optical lithography reduced from KrF, ArF to F2 to meet the resolution requirement. Recently EUV is spotlighted as promising candidate for next generation lithography light source. This paper summarizes the requirement and studies of experiments and simulation to improve the convention efficiency of EUV light source. (author)

  8. Development of high power pumping system for capillary discharge EUV laser

    International Nuclear Information System (INIS)

    Sakai, Yusuke; Komatsu, Takanori; Watanabe, Masato; Okino, Akitoshi; Hotta, Eiki

    2008-01-01

    Development of high power pumping system for capillary discharge soft X-ray laser is reported. The pulsed power system consists of a 2.2 μF LC generator, a 2:54 step-up transformer and a 3 nF water capacitor. Taking advantage of high efficiency configuration, step-up ratio of water capacitor voltage to LC generator initial voltage is about 40 times. Consequently, obtained water capacitor voltage reaches about 450 kV when LC generator was charged to 12.5 kV. As a consequent, possibility of charging a water capacitor to 1 MV is demonstrated. With this extremely compact system, discharge current could be increased to nearly 100 kA through moderately long capillary, which leads to generation of high-density and high-temperature plasma column in order to realize EUV laser. (author)

  9. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  10. A compact, quasi-monochromatic laser-plasma EUV source based on a double-stream gas-puff target at 13.8 nm wavelength

    Czech Academy of Sciences Publication Activity Database

    Wachulak, P.W.; Bartnik, A.; Fiedorowicz, H.; Feigl, T.; Jarocki, R.; Kostecki, J.; Rudawski, P.; Sawicka, Magdalena; Szczurek, M.; Szczurek, A.; Zawadzki, Z.

    2010-01-01

    Roč. 100, č. 3 (2010), 461-469 ISSN 0946-2171 Institutional research plan: CEZ:AV0Z10100523 Keywords : laser-plasma * EUV source * gas puff target * elliptical multi- layer * mirror * table-top setup Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.239, year: 2010

  11. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with transdimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.

  12. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-08-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub{angstrom}-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed.

  13. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  14. Development of a EUV Test Facility at the Marshall Space Flight Center

    Science.gov (United States)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  15. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    Science.gov (United States)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  16. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  17. Coherent states in quaternionic quantum mechanics

    Science.gov (United States)

    Adler, Stephen L.; Millard, Andrew C.

    1997-05-01

    We develop Perelomov's coherent states formalism to include the case of a quaternionic Hilbert space. We find that, because of the closure requirement, an attempted quaternionic generalization of the special nilpotent or Weyl group reduces to the normal complex case. For the case of the compact group SU(2), however, coherent states can be formulated using the quaternionic half-integer spin matrices of Finkelstein, Jauch, and Speiser, giving a nontrivial quaternionic analog of coherent states.

  18. Performance of 100-W HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  19. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  20. Pathway to a compact SASE FEL device

    Science.gov (United States)

    Dattoli, G.; Di Palma, E.; Petrillo, V.; Rau, Julietta V.; Sabia, E.; Spassovsky, I.; Biedron, S. G.; Einstein, J.; Milton, S. V.

    2015-10-01

    Newly developed high peak power lasers have opened the possibilities of driving coherent light sources operating with laser plasma accelerated beams and wave undulators. We speculate on the combination of these two concepts and show that the merging of the underlying technologies could lead to new and interesting possibilities to achieve truly compact, coherent radiator devices.

  1. Pathway to a compact SASE FEL device

    Energy Technology Data Exchange (ETDEWEB)

    Dattoli, G., E-mail: giuseppe.dattoli@enea.it [ENEA – Centro Ricerche Frascati, Via Enrico Fermi 45, 00044 Frascati, Rome (Italy); Di Palma, E. [ENEA – Centro Ricerche Frascati, Via Enrico Fermi 45, 00044 Frascati, Rome (Italy); Petrillo, V. [Università degli Studi di Milano, via Celoria 16, 20133 Milano (Italy); Rau, Julietta V. [Istituto di Struttura della Materia, ISM-CNR, Via del Fosso del Cavaliere, 100-00133 Rome (Italy); Sabia, E.; Spassovsky, I. [ENEA – Centro Ricerche Frascati, Via Enrico Fermi 45, 00044 Frascati, Rome (Italy); Biedron, S.G.; Einstein, J.; Milton, S.V. [CSU – Colorado State University, Fort Collins, CO (United States)

    2015-10-21

    Newly developed high peak power lasers have opened the possibilities of driving coherent light sources operating with laser plasma accelerated beams and wave undulators. We speculate on the combination of these two concepts and show that the merging of the underlying technologies could lead to new and interesting possibilities to achieve truly compact, coherent radiator devices.

  2. Mix-and-match considerations for EUV insertion in N7 HVM

    Science.gov (United States)

    Chen, Xuemei; Gabor, Allen; Samudrala, Pavan; Meyers, Sheldon; Hosler, Erik; Johnson, Richard; Felix, Nelson

    2017-03-01

    An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.

  3. Integrated approach to improving local CD uniformity in EUV patterning

    Science.gov (United States)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  4. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  5. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    Science.gov (United States)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  6. The EUVE Mission at UCB: Squeezing More From Less

    Science.gov (United States)

    Stroozas, B. A.; Cullison, J. L.; McDonald, K. E.; Nevitt, R.; Malina, R. F.

    2000-05-01

    With 8 years on orbit, and over three years in an outsourced mode at U.C. Berkeley (UCB), NASA's Extreme Ultraviolet Explorer (EUVE) continues to be a highly mature and productive scientific mission. The EUVE satellite is extremely stable and exhibits little degradation in its original scientific capabilities, and science data return continues to be at the >99% level. The Project's very small, dedicated, innovative, and relatively cheap ( \\$1 million/year) support team at UCB continues to validate the success of NASA's outsourcing "experiment" while providing a very high science-per-dollar return on NASA's investment with no significant additional risk to the flight systems. The EUVE mission still has much more to offer in terms of important and exciting scientific discoveries as well as mission operations innovations. To highlight this belief the EUVE team at UCB continues to find creative ways to do more with less -- to squeeze the maximum out of available funds -- in NASA's "cheaper, better, faster" environment. This paper provides an overview of the EUVE mission's past, current, and potential future efforts toward automating and integrating its multi-functional data processing systems in proposal management, observation planning, mission operations and engineering, and the processing, archival, and delivery of raw telemetry and science data products. The paper will also discuss the creative allocation of the Project's few remaining personnel resources who support both core mission functions and new innovations, while at the same time minimizing overall risk and stretching the available budget. This work is funded through NASA/UCB Cooperative Agreement NCC5-138.

  7. Atomic hydrogen cleaning of EUV multilayer optics

    Science.gov (United States)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  8. A robust and coherent network statistic for detecting gravitational waves from inspiralling compact binaries in non-Gaussian noise

    CERN Document Server

    Bose, S

    2002-01-01

    The robust statistic proposed by Creighton (Creighton J D E 1999 Phys. Rev. D 60 021101) and Allen et al (Allen et al 2001 Preprint gr-gc/010500) for the detection of stationary non-Gaussian noise is briefly reviewed. We compute the robust statistic for generic weak gravitational-wave signals in the mixture-Gaussian noise model to an accuracy higher than in those analyses, and reinterpret its role. Specifically, we obtain the coherent statistic for detecting gravitational-wave signals from inspiralling compact binaries with an arbitrary network of earth-based interferometers. Finally, we show that excess computational costs incurred owing to non-Gaussianity is negligible compared to the cost of detection in Gaussian noise.

  9. FIRST SIMULTANEOUS OBSERVATION OF AN H{alpha} MORETON WAVE, EUV WAVE, AND FILAMENT/PROMINENCE OSCILLATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Asai, Ayumi; Isobe, Hiroaki [Unit of Synergetic Studies for Space, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Ishii, Takako T.; Kitai, Reizaburo; Ichimoto, Kiyoshi; UeNo, Satoru; Nagata, Shin' ichi; Morita, Satoshi; Nishida, Keisuke; Shibata, Kazunari [Kwasan and Hida Observatories, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Shiota, Daikou [Advanced Science Institute, RIKEN, Wako, Saitama 351-0198 (Japan); Oi, Akihito [College of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Akioka, Maki, E-mail: asai@kwasan.kyoto-u.ac.jp [Hiraiso Solar Observatory, National Institute of Information and Communications Technology, Hitachinaka, Ibaraki 311-1202 (Japan)

    2012-02-15

    We report on the first simultaneous observation of an H{alpha} Moreton wave, the corresponding EUV fast coronal waves, and a slow and bright EUV wave (typical EIT wave). We observed a Moreton wave, associated with an X6.9 flare that occurred on 2011 August 9 at the active region NOAA 11263, in the H{alpha} images taken by the Solar Magnetic Activity Research Telescope at Hida Observatory of Kyoto University. In the EUV images obtained by the Atmospheric Imaging Assembly on board the Solar Dynamic Observatory we found not only the corresponding EUV fast 'bright' coronal wave, but also the EUV fast 'faint' wave that is not associated with the H{alpha} Moreton wave. We also found a slow EUV wave, which corresponds to a typical EIT wave. Furthermore, we observed, for the first time, the oscillations of a prominence and a filament, simultaneously, both in the H{alpha} and EUV images. To trigger the oscillations by the flare-associated coronal disturbance, we expect a coronal wave as fast as the fast-mode MHD wave with the velocity of about 570-800 km s{sup -1}. These velocities are consistent with those of the observed Moreton wave and the EUV fast coronal wave.

  10. Well-defined EUV wave associated with a CME-driven shock

    Science.gov (United States)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  11. Coherent states in quaternionic quantum mechanics

    International Nuclear Information System (INIS)

    Adler, S.L.; Millard, A.C.

    1997-01-01

    We develop Perelomov close-quote s coherent states formalism to include the case of a quaternionic Hilbert space. We find that, because of the closure requirement, an attempted quaternionic generalization of the special nilpotent or Weyl group reduces to the normal complex case. For the case of the compact group SU(2), however, coherent states can be formulated using the quaternionic half-integer spin matrices of Finkelstein, Jauch, and Speiser, giving a nontrivial quaternionic analog of coherent states. copyright 1997 American Institute of Physics

  12. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    Science.gov (United States)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  13. EUV sources for the alpha-tools

    Science.gov (United States)

    Pankert, Joseph; Apetz, Rolf; Bergmann, Klaus; Damen, Marcel; Derra, Günther; Franken, Oliver; Janssen, Maurice; Jonkers, Jeroen; Klein, Jürgen; Kraus, Helmar; Krücken, Thomas; List, Andreas; Loeken, Micheal; Mader, Arnaud; Metzmacher, Christof; Neff, Willi; Probst, Sven; Prümmer, Ralph; Rosier, Oliver; Schwabe, Stefan; Seiwert, Stefan; Siemons, Guido; Vaudrevange, Dominik; Wagemann, Dirk; Weber, Achim; Zink, Peter; Zitzen, Oliver

    2006-03-01

    In this paper, we report on the recent progress of the Philips Extreme UV source. The Philips source concept is based on a discharge plasma ignited in a Sn vapor plume that is ablated by a laser pulse. Using rotating electrodes covered with a regenerating tin surface, the problems of electrode erosion and power scaling are fundamentally solved. Most of the work of the past year has been dedicated to develop a lamp system which is operating very reliably and stable under full scanner remote control. Topics addressed were the development of the scanner interface, a dose control system, thermo-mechanical design, positional stability of the source, tin handling, and many more. The resulting EUV source-the Philips NovaTin(R) source-can operate at more than 10kW electrical input power and delivers 200W in-band EUV into 2π continuously. The source is very small, so nearly 100% of the EUV radiation can be collected within etendue limits. The lamp system is fully automated and can operate unattended under full scanner remote control. 500 Million shots of continuous operation without interruption have been realized, electrode lifetime is at least 2 Billion shots. Three sources are currently being prepared, two of them will be integrated into the first EUV Alpha Demonstration tools of ASML. The debris problem was reduced to a level which is well acceptable for scanner operation. First, a considerable reduction of the Sn emission of the source has been realized. The debris mitigation system is based on a two-step concept using a foil trap based stage and a chemical cleaning stage. Both steps were improved considerably. A collector lifetime of 1 Billion shots is achieved, after this operating time a cleaning would be applied. The cleaning step has been verified to work with tolerable Sn residues. From the experimental results, a total collector lifetime of more than 10 Billion shots can be expected.

  14. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  15. Compact compressive arc and beam switchyard for energy recovery linac-driven ultraviolet free electron lasers

    Science.gov (United States)

    Akkermans, J. A. G.; Di Mitri, S.; Douglas, D.; Setija, I. D.

    2017-08-01

    High gain free electron lasers (FELs) driven by high repetition rate recirculating accelerators have received considerable attention in the scientific and industrial communities in recent years. Cost-performance optimization of such facilities encourages limiting machine size and complexity, and a compact machine can be realized by combining bending and bunch length compression during the last stage of recirculation, just before lasing. The impact of coherent synchrotron radiation (CSR) on electron beam quality during compression can, however, limit FEL output power. When methods to counteract CSR are implemented, appropriate beam diagnostics become critical to ensure that the target beam parameters are met before lasing, as well as to guarantee reliable, predictable performance and rapid machine setup and recovery. This article describes a beam line for bunch compression and recirculation, and beam switchyard accessing a diagnostic line for EUV lasing at 1 GeV beam energy. The footprint is modest, with 12 m compressive arc diameter and ˜20 m diagnostic line length. The design limits beam quality degradation due to CSR both in the compressor and in the switchyard. Advantages and drawbacks of two switchyard lines providing, respectively, off-line and on-line measurements are discussed. The entire design is scalable to different beam energies and charges.

  16. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    Science.gov (United States)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  17. PROJECTION EFFECTS IN CORONAL DIMMINGS AND ASSOCIATED EUV WAVE EVENT

    Energy Technology Data Exchange (ETDEWEB)

    Dissauer, K.; Temmer, M.; Veronig, A. M.; Vanninathan, K. [IGAM/Institute of Physics, University of Graz, Universitätsplatz 5/II, A-8010 Graz (Austria); Magdalenić, J., E-mail: karin.dissauer@uni-graz.at [Solar-Terrestrial Center of Excellence-SIDC, Royal Observatory of Belgium, Av. Circulaire 3, B-1180 Brussels (Belgium)

    2016-10-20

    We investigate the high-speed ( v > 1000 km s{sup −1}) extreme-ultraviolet (EUV) wave associated with an X1.2 flare and coronal mass ejection (CME) from NOAA active region 11283 on 2011 September 6 (SOL2011-09-06T22:12). This EUV wave features peculiar on-disk signatures; in particular, we observe an intermittent “disappearance” of the front for 120 s in Solar Dynamics Observatory ( SDO )/AIA 171, 193, 211 Å data, whereas the 335 Å filter, sensitive to hotter plasmas ( T ∼ 2.5 MK), shows a continuous evolution of the wave front. The eruption was also accompanied by localized coronal dimming regions. We exploit the multi-point quadrature position of SDO and STEREO-A , to make a thorough analysis of the EUV wave evolution, with respect to its kinematics and amplitude evolution and reconstruct the SDO line-of-sight (LOS) direction of the identified coronal dimming regions in STEREO-A . We show that the observed intensities of the dimming regions in SDO /AIA depend on the structures that are lying along their LOS and are the combination of their individual intensities, e.g., the expanding CME body, the enhanced EUV wave, and the CME front. In this context, we conclude that the intermittent disappearance of the EUV wave in the AIA 171, 193, and 211 Å filters, which are channels sensitive to plasma with temperatures below ∼2 MK is also caused by such LOS integration effects. These observations clearly demonstrate that single-view image data provide us with limited insight to correctly interpret coronal features.

  18. Nanometer-scale ablation using focused, coherent extreme ultraviolet/soft x-ray light

    Science.gov (United States)

    Menoni, Carmen S [Fort Collins, CO; Rocca, Jorge J [Fort Collins, CO; Vaschenko, Georgiy [San Diego, CA; Bloom, Scott [Encinitas, CA; Anderson, Erik H [El Cerrito, CA; Chao, Weilun [El Cerrito, CA; Hemberg, Oscar [Stockholm, SE

    2011-04-26

    Ablation of holes having diameters as small as 82 nm and having clean walls was obtained in a poly(methyl methacrylate) on a silicon substrate by focusing pulses from a Ne-like Ar, 46.9 nm wavelength, capillary-discharge laser using a freestanding Fresnel zone plate diffracting into third order is described. Spectroscopic analysis of light from the ablation has also been performed. These results demonstrate the use of focused coherent EUV/SXR light for the direct nanoscale patterning of materials.

  19. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    Science.gov (United States)

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  20. Compact, High Energy 2-micron Coherent Doppler Wind Lidar Development for NASA's Future 3-D Winds Measurement from Space

    Science.gov (United States)

    Singh, Upendra N.; Koch, Grady; Yu, Jirong; Petros, Mulugeta; Beyon, Jeffrey; Kavaya, Michael J.; Trieu, Bo; Chen, Songsheng; Bai, Yingxin; Petzar, paul; hide

    2010-01-01

    This paper presents an overview of 2-micron laser transmitter development at NASA Langley Research Center for coherent-detection lidar profiling of winds. The novel high-energy, 2-micron, Ho:Tm:LuLiF laser technology developed at NASA Langley was employed to study laser technology currently envisioned by NASA for future global coherent Doppler lidar winds measurement. The 250 mJ, 10 Hz laser was designed as an integral part of a compact lidar transceiver developed for future aircraft flight. Ground-based wind profiles made with this transceiver will be presented. NASA Langley is currently funded to build complete Doppler lidar systems using this transceiver for the DC-8 aircraft in autonomous operation. Recently, LaRC 2-micron coherent Doppler wind lidar system was selected to contribute to the NASA Science Mission Directorate (SMD) Earth Science Division (ESD) hurricane field experiment in 2010 titled Genesis and Rapid Intensification Processes (GRIP). The Doppler lidar system will measure vertical profiles of horizontal vector winds from the DC-8 aircraft using NASA Langley s existing 2-micron, pulsed, coherent detection, Doppler wind lidar system that is ready for DC-8 integration. The measurements will typically extend from the DC-8 to the earth s surface. They will be highly accurate in both wind magnitude and direction. Displays of the data will be provided in real time on the DC-8. The pulsed Doppler wind lidar of NASA Langley Research Center is much more powerful than past Doppler lidars. The operating range, accuracy, range resolution, and time resolution will be unprecedented. We expect the data to play a key role, combined with the other sensors, in improving understanding and predictive algorithms for hurricane strength and track. 1

  1. Characterization of EUV induced carbon films using laser-generated surface acoustic waves

    NARCIS (Netherlands)

    Chen, Juequan; Lee, Christopher James; Louis, Eric; Bijkerk, Frederik; Kunze, Reinhard; Schmidt, Hagen; Schneider, Dieter; Moors, Roel

    2009-01-01

    The deposition of carbon layers on the surfaces of optics exposed to extreme ultraviolet (EUV) radiation has been observed in EUV lithography. It has become of critical importance to detect the presence of the carbon layer in the order of nanometer thickness due to carbon's extremely strong

  2. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  3. High-Resolution EUV Spectroscopy of White Dwarfs

    Science.gov (United States)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  4. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  5. Negative-tone imaging with EUV exposure toward 13nm hp

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  6. Physical processes in EUV sources for microlithography

    International Nuclear Information System (INIS)

    Banine, V Y; Swinkels, G H P M; Koshelev, K N

    2011-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil very high demands both technical and cost oriented. The EUVL tool operates at a wavelength of 13.5 nm, which requires the following new developments. - The light production mechanism changes from conventional lamps and lasers to relatively high-temperature emitting plasmas. - The light transport, mainly refractive for deep ultraviolet (DUV), should be reflective for EUV. - The source specifications as derived from the customer requirements on wafer throughput mean that the output EUV source power has to be hundreds of watts. This in its turn means that tens to hundreds of kilowatts of dissipated power has to be managed in a relatively small volume. - In order to keep lithography costs as low as possible, the lifetime of the components should be as long as possible and at least of the order of thousands of hours. This poses a challenge for the sources, namely how to design and manufacture components robust enough to withstand the intense environment of high heat dissipation, flows of several keV ions as well as the atomic and particular debris within the source vessel. - As with all lithography tools, the imaging requirements demand a narrow illumination bandwidth. Absorption of materials at EUV wavelengths is extreme with extinguishing lengths of the order of tens of nanometres, so the balance between high transmission and spectral purity requires careful engineering. All together, EUV lithography sources present technological challenges in various fields of physics such as plasma, optics and material science. These challenges are being tackled by the source manufacturers and investigated extensively in the research facilities around the world. An overview of the published results on the topic as well as the analyses of the physical processes behind the proposed solutions will be presented in this paper. (topical review)

  7. TESIS experiment on EUV imaging spectroscopy of the Sun

    Science.gov (United States)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  8. Special issue on compact x-ray sources

    Science.gov (United States)

    Hooker, Simon; Midorikawa, Katsumi; Rosenzweig, James

    2014-04-01

    Journal of Physics B: Atomic, Molecular and Optical Physics is delighted to announce a forthcoming special issue on compact x-ray sources, to appear in the winter of 2014, and invites you to submit a paper. The potential for high-brilliance x- and gamma-ray sources driven by advanced, compact accelerators has gained increasing attention in recent years. These novel sources—sometimes dubbed 'fifth generation sources'—will build on the revolutionary advance of the x-ray free-electron laser (FEL). New radiation sources of this type have widespread applications, including in ultra-fast imaging, diagnostic and therapeutic medicine, and studies of matter under extreme conditions. Rapid advances in compact accelerators and in FEL techniques make this an opportune moment to consider the opportunities which could be realized by bringing these two fields together. Further, the successful development of compact radiation sources driven by compact accelerators will be a significant milestone on the road to the development of high-gradient colliders able to operate at the frontiers of particle physics. Thus the time is right to publish a peer-reviewed collection of contributions concerning the state-of-the-art in: advanced and novel acceleration techniques; sophisticated physics at the frontier of FELs; and the underlying and enabling techniques of high brightness electron beam physics. Interdisciplinary research connecting two or more of these fields is also increasingly represented, as exemplified by entirely new concepts such as plasma based electron beam sources, and coherent imaging with fs-class electron beams. We hope that in producing this special edition of Journal of Physics B: Atomic, Molecular and Optical Physics (iopscience.iop.org/0953-4075/) we may help further a challenging mission and ongoing intellectual adventure: the harnessing of newly emergent, compact advanced accelerators to the creation of new, agile light sources with unprecedented capabilities

  9. EUV spectrum of highly charged tungsten ions in electron beam ion trap

    International Nuclear Information System (INIS)

    Sakaue, H.A.; Kato, D.; Murakami, I.; Nakamura, N.

    2016-01-01

    We present spectra of highly charged tungsten ions in the extreme ultra-violet (EUV) by using electron beam ion traps. The electron energy dependence of spectra was investigated for electron energy from 540 to 1370 eV. Previously unreported lines were presented in the EUV range, and comparing the wavelengths with theoretical calculations identified them. (author)

  10. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  11. Studies of EUV contamination mitigation

    Science.gov (United States)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  12. Highly Stable, Large Format EUV Imager, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Higher detection efficiency and better radiation tolerance imagers are needed for the next generation of EUV instruments. Previously, CCD technology has demonstrated...

  13. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    Science.gov (United States)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  14. EUV-VUV photochemistry in the upper atmospheres of Titan and the early Earth

    Science.gov (United States)

    Imanaka, H.; Smith, M. A.

    2010-12-01

    Titan, the organic-rich moon of Saturn, possesses a thick atmosphere of nitrogen, globally covered with organic haze layers. The recent Cassini’s INMS and CAPS observations clearly demonstrate the importance of complex organic chemistry in the ionosphere. EUV photon radiation is the major driving energy source there. Our previous laboratory study of the EUV-VUV photolysis of N2/CH4 gas mixtures demonstrates a unique role of nitrogen photoionization in the catalytic formation of complex hydrocarbons in Titan’s upper atmosphere (Imanaka and Smith, 2007, 2009). Such EUV photochemistry could also have played important roles in the formation of complex organic molecules in the ionosphere of the early Earth. It has been suggested that the early Earth atmosphere may have contained significant amount of reduced species (CH4, H2, and CO) (Kasting, 1990, Pavlov et al., 2001, Tian et al., 2005). Recent experimental study, using photon radiation at wavelengths longer than 110 nm, demonstrates that photochemical organic haze could have been generated from N2/CO2 atmospheres with trace amounts of CH4 or H2 (Trainer et al., 2006, Dewitt et al., 2009). However, possible EUV photochemical processes in the ionosphere are not well understood. We have investigated the effect of CO2 in the possible EUV photochemical processes in simulated reduced early Earth atmospheres. The EUV-VUV photochemistry using wavelength-tunable synchrotron light between 50 - 150 nm was investigated for gas mixtures of 13CO2/CH4 (= 96.7/3.3) and N2/13CO2/CH4 (= 90/6.7/3.3). The onsets of unsaturated hydrocarbon formation were observed at wavelengths shorter than the ionization potentials of CO2 and N2, respectively. This correlation indicates that CO2 can play a similar catalytic role to N2 in the formation of heavy organic species, which implies that EUV photochemistry might have significant impact on the photochemical generation of organic haze layers in the upper atmosphere of the early Earth.

  15. Ultra-broadband ptychography with self-consistent coherence estimation from a high harmonic source

    Science.gov (United States)

    Odstrčil, M.; Baksh, P.; Kim, H.; Boden, S. A.; Brocklesby, W. S.; Frey, J. G.

    2015-09-01

    With the aim of improving imaging using table-top extreme ultraviolet sources, we demonstrate coherent diffraction imaging (CDI) with relative bandwidth of 20%. The coherence properties of the illumination probe are identified using the same imaging setup. The presented methods allows for the use of fewer monochromating optics, obtaining higher flux at the sample and thus reach higher resolution or shorter exposure time. This is important in the case of ptychography when a large number of diffraction patterns need to be collected. Our microscopy setup was tested on a reconstruction of an extended sample to show the quality of the reconstruction. We show that high harmonic generation based EUV tabletop microscope can provide reconstruction of samples with a large field of view and high resolution without additional prior knowledge about the sample or illumination.

  16. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    Science.gov (United States)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  17. Complex EUV imaging reflectometry: spatially resolved 3D composition determination and dopant profiling with a tabletop 13nm source

    Science.gov (United States)

    Porter, Christina L.; Tanksalvala, Michael; Gerrity, Michael; Miley, Galen P.; Esashi, Yuka; Horiguchi, Naoto; Zhang, Xiaoshi; Bevis, Charles S.; Karl, Robert; Johnsen, Peter; Adams, Daniel E.; Kapteyn, Henry C.; Murnane, Margaret M.

    2018-03-01

    With increasingly 3D devices becoming the norm, there is a growing need in the semiconductor industry and in materials science for high spatial resolution, non-destructive metrology techniques capable of determining depth-dependent composition information on devices. We present a solution to this problem using ptychographic coherent diffractive imaging (CDI) implemented using a commercially available, tabletop 13 nm source. We present the design, simulations, and preliminary results from our new complex EUV imaging reflectometer, which uses coherent 13 nm light produced by tabletop high harmonic generation. This tool is capable of determining spatially-resolved composition vs. depth profiles for samples by recording ptychographic images at multiple incidence angles. By harnessing phase measurements, we can locally and nondestructively determine quantities such as device and thin film layer thicknesses, surface roughness, interface quality, and dopant concentration profiles. Using this advanced imaging reflectometer, we can quantitatively characterize materials-sciencerelevant and industry-relevant nanostructures for a wide variety of applications, spanning from defect and overlay metrology to the development and optimization of nano-enhanced thermoelectric or spintronic devices.

  18. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    Science.gov (United States)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  19. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing; Chakrabarty, Souvik; Yu, Mufei; Ober, Christopher K.

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have

  20. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  1. Design decisions from the history of the EUVE science payload

    Science.gov (United States)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  2. The inner-relationship of hard X-ray and EUV bursts during solar flares

    International Nuclear Information System (INIS)

    Emslie, A.G.; Brown, J.C.; Donnelly, R.F.

    1978-01-01

    A comparison is made between the flux-versus-time profile in the EUV band and the thick target electron flux profile as inferred from hard X-rays for a number of moderately large solar flares. This complements Kane and Donnelly's (1971) study of small flares. The hard X-ray data are from ESRO TD-1A and the EUV inferred from SFD observations. Use of a chi 2 minimising method shows that the best overall fit between the profile fine structures obtains for synchronism to < approximately 5 s which is within the timing accuracy. This suggests that neither conduction nor convection is fast enough as the primary mechanism of energy transport into the EUV flare and rather favours heating by the electrons themselves or by some MHD wave process much faster than acoustic waves. The electron power deposited, for a thick target model, is however far greater than the EUV luminosity for any reasonable assumptions about the area and depth over which EUV is emitted. This means that either most of the power deposited is conducted away to the optical flare or that only a fraction < approximately 1-10% of the X-ray emitting electrons are injected downwards. Recent work on Hα flare heating strongly favours the latter alternative - i.e. that electrons are mostly confined in the corona. (Auth.)

  3. EUV multilayer mirrors with enhanced stability

    Science.gov (United States)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  4. Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism

    KAUST Repository

    Kryask, Marie

    2013-01-01

    Hybrid nanoparticle photoresists and their patterning using DUV, EUV, 193 nm lithography and e-beam lithography has been investigated and reported earlier. The nanoparticles have demonstrated very high EUV sensitivity and significant etch resistance compared to other standard photoresists. The current study aims at investigating and establishing the underlying mechanism for dual tone patterning of these nanoparticle photoresist systems. Infrared spectroscopy and UV absorbance studies supported by mass loss and dissolution studies support the current model. © 2013SPST.

  5. Design study of the compact ERL

    International Nuclear Information System (INIS)

    Hajima, Ryoichi; Nakamura, Norio; Sakanaka, Shogo; Kobayashi, Yukinori

    2008-02-01

    Energy-recovery linac (ERL) is a promising device for future X-ray light sources, which can produce coherent X-rays and femto-second X-ray pulses. In Japan, we have organized a collaboration team, consisting of the members of KEK, JAEA, ISSP and other laboratories, toward realization of future ERL light sources, and started R and D efforts to establish accelerator technologies relevant to the ERL light source. In order to demonstrate all the accelerator technologies working together, we have decided to build a small facility, the Compact ERL. This report presents a design study of the Compact ERL, which includes R and D issues for each accelerator component, studies on the beam dynamics, performance of the Compact ERL as a light source of THz and X-ray. (author)

  6. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  7. Bunch heating by coherent synchrotron radiation

    International Nuclear Information System (INIS)

    Heifets, S.A.; Zolotorev, M.

    1995-10-01

    The authors discuss here effects which define the steady-state rms energy spread of a microbunch in a storage ring. It is implied that the longitudinal microwave instability is controlled by low α lattice. In this case the coherent synchrotron radiation, if exists, may be the main factor defining the bunch temperature. Another effect comes from the fact that a nonlinear momentum compaction of such lattices makes Haissinskii equation not applicable, and the coherent synchrotron radiation may effect not only bunch lengthening but the energy spread as well

  8. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  9. EUV source development for high-volume chip manufacturing tools

    Science.gov (United States)

    Stamm, Uwe; Yoshioka, Masaki; Kleinschmidt, Jürgen; Ziener, Christian; Schriever, Guido; Schürmann, Max C.; Hergenhan, Guido; Borisov, Vladimir M.

    2007-03-01

    Xenon-fueled gas discharge produced plasma (DPP) sources were integrated into Micro Exposure Tools already in 2004. Operation of these tools in a research environment gave early learning for the development of EUV sources for Alpha and Beta-Tools. Further experiments with these sources were performed for basic understanding on EUV source technology and limits, especially the achievable power and reliability. The intermediate focus power of Alpha-Tool sources under development is measured to values above 10 W. Debris mitigation schemes were successfully integrated into the sources leading to reasonable collector mirror lifetimes with target of 10 billion pulses due to the effective debris flux reduction. Source collector mirrors, which withstand the radiation and temperature load of Xenon-fueled sources, have been developed in cooperation with MediaLario Technologies to support intermediate focus power well above 10 W. To fulfill the requirements for High Volume chip Manufacturing (HVM) applications, a new concept for HVM EUV sources with higher efficiency has been developed at XTREME technologies. The discharge produced plasma (DPP) source concept combines the use of rotating disk electrodes (RDE) with laser exited droplet targets. The source concept is called laser assisted droplet RDE source. The fuel of these sources has been selected to be Tin. The conversion efficiency achieved with the laser assisted droplet RDE source is 2-3x higher compared to Xenon. Very high pulse energies well above 200 mJ / 2π sr have been measured with first prototypes of the laser assisted droplet RDE source. If it is possible to maintain these high pulse energies at higher repetition rates a 10 kHz EUV source could deliver 2000 W / 2π sr. According to the first experimental data the new concept is expected to be scalable to an intermediate focus power on the 300 W level.

  10. Oxidation and metal contamination of EUV optics

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Liu, Feng; Pachecka, Malgorzata; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) for printing smaller features on chips. One of the hallenges is to optimally control the contamination of the multilayer mirrors used in the imaging system. The aim of this project is generating fundamental understanding

  11. Reconstruction of the solar EUV irradiance from 1996 to 2010 based on SOHO/EIT images

    Directory of Open Access Journals (Sweden)

    Haberreiter Margit

    2014-01-01

    Full Text Available The solar Extreme UltraViolet (EUV spectrum has important effects on the Earth’s upper atmosphere. For a detailed investigation of these effects it is important to have a consistent data series of the EUV spectral irradiance available. We present a reconstruction of the solar EUV irradiance based on SOHO/EIT images, along with synthetic spectra calculated using different coronal features which represent the brightness variation of the solar atmosphere. The EIT images are segmented with the SPoCA2 tool which separates the features based on a fixed brightness classification scheme. With the SOLMOD code we then calculate intensity spectra for the 10–100 nm wavelength range and each of the coronal features. Weighting the intensity spectra with the area covered by each of the features yields the temporal variation of the EUV spectrum. The reconstructed spectrum is then validated against the spectral irradiance as observed with SOHO/SEM. Our approach leads to good agreement between the reconstructed and the observed spectral irradiance. This study is an important step toward understanding variations in the solar EUV spectrum and ultimately its effect on the Earth’s upper atmosphere.

  12. Characterizing dusty argon-acetylene plasmas as a first step to understand dusty EUV environments

    NARCIS (Netherlands)

    Wetering, van de F.M.J.H.; Nijdam, S.; Kroesen, G.M.W.

    2012-01-01

    In extreme ultraviolet (EUV) lithography, ionic and particulate debris coming from the plasma source plays an important role. We started up a project looking at the principles of particle formation in plasmas and the interaction with EUV radiation. To this end, we study a low-pressure (10 Pa)

  13. Coronal magnetic fields inferred from IR wavelength and comparison with EUV observations

    Directory of Open Access Journals (Sweden)

    Y. Liu

    2009-07-01

    Full Text Available Spectropolarimetry using IR wavelength of 1075 nm has been proved to be a powerful tool for directly mapping solar coronal magnetic fields including transverse component directions and line-of-sight component intensities. Solar tomography, or stereoscopy based on EUV observations, can supply 3-D information for some magnetic field lines in bright EUV loops. In a previous paper \\citep{liu08} the locations of the IR emission sources in the 3-D coordinate system were inferred from the comparison between the polarization data and the potential-field-source-surface (PFSS model, for one of five west limb regions in the corona (Lin et al., 2004. The paper shows that the region with the loop system in the active region over the photospheric area with strong magnetic field intensity is the region with a dominant contribution to the observed Stokes signals. So, the inversion of the measured Stokes parameters could be done assuming that most of the signals come from a relatively thin layer over the area with a large photospheric magnetic field strength. Here, the five limb coronal regions are studied together in order to study the spatial correlation between the bright EUV loop features and the inferred IR emission sources. It is found that, for the coronal regions above the stronger photospheric magnetic fields, the locations of the IR emission sources are closer to or more consistent with the bright EUV loop locations than those above weaker photospheric fields. This result suggests that the structures of the coronal magnetic fields observed at IR and EUV wavelengths may be different when weak magnetic fields present there.

  14. Measurement of intense coherent synchrotron radiation at frequencies around 0.1 THz using the compact S-band linac

    International Nuclear Information System (INIS)

    Sei, Norihiro; Kuroda, Ryunosuke; Yasumoto, Masato; Toyokawa, Hiroyuki; Ogawa, Hiroshi; Koike, Masaki; Yamada, Kawakatsu

    2008-01-01

    We measured intense radiation from an electron bunch in a millimeter wave region using the compact S-band linac. The dependence of the radiation on the electron-bunch charge was measured with an rf detector system at frequencies around 0.1 THz and was confirmed to be a coherent synchrotron radiation (CSR). The total power of the horizontally and vertically polarized CSRs, which were extracted through the Z-cut quartz window within 1 ns, was calculated to be about 88 and 30 nJ/pulse, excluding the absorption by the window. The two-dimensional distribution of the vertically polarized CSR was measured at a distance of about 0.7 m from the radiation point. The CSR distribution was comparatively uniform in the horizontal plane. Intense CSR, which was reflected in the vacuum chamber, was extracted with a delay of about 6 ns. This suggests that measurement of temporal structure is needed for CSR applications

  15. Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors

    International Nuclear Information System (INIS)

    Hill, S B; Ermanoski, I; Tarrio, C; Lucatorto, T B; Madey, T E; Bajt, S; Fang, M; Chandhok, M

    2007-01-01

    Ongoing endurance testing of Ru-capped multilayer mirrors (MLMs) at the NIST synchrotron facility has revealed that the damage resulting from EUV irradiation does not always depend on the exposure conditions in an intuitive way. Previous exposures of Ru-capped MLMs to EUV radiation in the presence of water vapor demonstrated that the mirror damage rate actually decreases with increasing water pressure. We will present results of recent exposures showing that the reduction in damage for partial pressures of water up to 5 x 10 -6 Torr is not the result of a spatially uniform decrease in damage across the Gaussian intensity distribution of the incident EUV beam. Instead we observe a drop in the damage rate in the center of the exposure spot where the intensity is greatest, while the reflectivity loss in the wings of the intensity distribution appears to be independent of water partial pressure. (See Fig. 1.) We will discuss how the overall damage rate and spatial profile can be influenced by admixtures of carbon-containing species (e.g., CO, CO 2 , C 6 H 6 ) at partial pressures one-to-two orders of magnitude lower than the water vapor partial pressure. An investigation is underway to find the cause of the non-Gaussian damage profile. Preliminary results and hypotheses will be discussed. In addition to high-resolution reflectometry of the EUV-exposure sites, the results of surface analysis such as XPS will be presented. We will also discuss how the bandwidth and time structure of incident EUV radiation may affect the rate of reflectivity degradation. Although the observations presented here are based on exposures of Ru-capped MLMs, unless novel capping layers are similarly characterized, direct application of accelerated testing results could significantly overestimate mirror lifetime in the production environment

  16. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  17. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    Science.gov (United States)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  18. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  19. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  20. Performance of one hundred watt HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  1. Quantum dressing orbits on compact groups

    Energy Technology Data Exchange (ETDEWEB)

    Jurco, B. (Technische Univ. Clausthal, Clausthal-Zellerfeld (Germany). Sommerfeld Inst.); Stovicek, P. (Prague Univ. (Czechoslovakia). Dept. of Mathematics)

    1993-02-01

    The quantum double is shown to imply the dressing transformation on quantum compact groups and the quantum Iwasawa decomposition in the general case. Quantum dressing orbits are describing explicitly as *-algebras. The dual coalgebras consisting of differential operators are related to the quantum Weyl elements. Besides, the differential geometry on a quantum leaf allows a remarkably simple construction of irreducible *-representations of the algebras of quantum functions. Representation spaces then consist of analytic functions on classical phase spaces. These representations are also interpreted in the framework of quantization in the spirit of Berezin applied to symplectic leaves on classical compact groups. Convenient 'coherent states' are introduced and a correspondence between classical and quantum observables is given. (orig.).

  2. Quantum dressing orbits on compact groups

    International Nuclear Information System (INIS)

    Jurco, B.; Stovicek, P.

    1993-01-01

    The quantum double is shown to imply the dressing transformation on quantum compact groups and the quantum Iwasawa decomposition in the general case. Quantum dressing orbits are describing explicitly as *-algebras. The dual coalgebras consisting of differential operators are related to the quantum Weyl elements. Besides, the differential geometry on a quantum leaf allows a remarkably simple construction of irreducible *-representations of the algebras of quantum functions. Representation spaces then consist of analytic functions on classical phase spaces. These representations are also interpreted in the framework of quantization in the spirit of Berezin applied to symplectic leaves on classical compact groups. Convenient 'coherent states' are introduced and a correspondence between classical and quantum observables is given. (orig.)

  3. Review on the solar spectral variability in the EUV for space weather purposes

    Directory of Open Access Journals (Sweden)

    J. Lilensten

    2008-02-01

    Full Text Available The solar XUV-EUV flux is the main energy source in the terrestrial diurnal thermosphere: it produces ionization, dissociation, excitation and heating. Accurate knowledge of this flux is of prime importance for space weather. We first list the space weather applications that require nowcasting and forecasting of the solar XUV-EUV flux. We then review present models and discuss how they account for the variability of the solar spectrum. We show why the measurement of the full spectrum is difficult, and why it is illusory to retrieve it from its atmospheric effects. We then address the problem of determining a set of observations that are adapted for space weather purposes, in the frame of ionospheric studies. Finally, we review the existing and future space experiments that are devoted to the observation of the solar XUV-EUV spectrum.

  4. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  5. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  6. Novel EUV resist materials design for 14nm half pitch and below

    Science.gov (United States)

    Tsubaki, Hideaki; Tarutani, Shinji; Fujimori, Toru; Takizawa, Hiroo; Goto, Takahiro

    2014-04-01

    Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according

  7. Performance improvement of two-dimensional EUV spectroscopy based on high frame rate CCD and signal normalization method

    International Nuclear Information System (INIS)

    Zhang, H.M.; Morita, S.; Ohishi, T.; Goto, M.; Huang, X.L.

    2014-01-01

    In the Large Helical Device (LHD), the performance of two-dimensional (2-D) extreme ultraviolet (EUV) spectroscopy with wavelength range of 30-650A has been improved by installing a high frame rate CCD and applying a signal intensity normalization method. With upgraded 2-D space-resolved EUV spectrometer, measurement of 2-D impurity emission profiles with high horizontal resolution is possible in high-density NBI discharges. The variation in intensities of EUV emission among a few discharges is significantly reduced by normalizing the signal to the spectral intensity from EUV_—Long spectrometer which works as an impurity monitor with high-time resolution. As a result, high resolution 2-D intensity distribution has been obtained from CIV (384.176A), CV(2x40.27A), CVI(2x33.73A) and HeII(303.78A). (author)

  8. Coherent laser vision system

    International Nuclear Information System (INIS)

    Sebastion, R.L.

    1995-01-01

    The Coherent Laser Vision System (CLVS) is being developed to provide precision real-time 3D world views to support site characterization and robotic operations and during facilities Decontamination and Decommissioning. Autonomous or semiautonomous robotic operations requires an accurate, up-to-date 3D world view. Existing technologies for real-time 3D imaging, such as AM laser radar, have limited accuracy at significant ranges and have variability in range estimates caused by lighting or surface shading. Recent advances in fiber optic component technology and digital processing components have enabled the development of a new 3D vision system based upon a fiber optic FMCW coherent laser radar. The approach includes a compact scanner with no-moving parts capable of randomly addressing all pixels. The system maintains the immunity to lighting and surface shading conditions which is characteristic to coherent laser radar. The random pixel addressability allows concentration of scanning and processing on the active areas of a scene, as is done by the human eye-brain system

  9. Coherent laser vision system

    Energy Technology Data Exchange (ETDEWEB)

    Sebastion, R.L. [Coleman Research Corp., Springfield, VA (United States)

    1995-10-01

    The Coherent Laser Vision System (CLVS) is being developed to provide precision real-time 3D world views to support site characterization and robotic operations and during facilities Decontamination and Decommissioning. Autonomous or semiautonomous robotic operations requires an accurate, up-to-date 3D world view. Existing technologies for real-time 3D imaging, such as AM laser radar, have limited accuracy at significant ranges and have variability in range estimates caused by lighting or surface shading. Recent advances in fiber optic component technology and digital processing components have enabled the development of a new 3D vision system based upon a fiber optic FMCW coherent laser radar. The approach includes a compact scanner with no-moving parts capable of randomly addressing all pixels. The system maintains the immunity to lighting and surface shading conditions which is characteristic to coherent laser radar. The random pixel addressability allows concentration of scanning and processing on the active areas of a scene, as is done by the human eye-brain system.

  10. Time Variabilities of Solar Wind Ion Fluxes and of X-ray and EUV Emissions from Comet Hyakutake

    Science.gov (United States)

    Neugebauer, M.; Cravens, T.; Lisse, C.; Ipavich, F.; von Steiger, R.; Shah, P.; Armstrong, T.

    1999-01-01

    Observations of X-ray and extreme ultraviolet (EUV) emissions from comet C/Hyakutake 1996 B2 made by the Rontgen X-ray satellite (ROSAT) and the Extreme Ultraviolet Explorer (EUVE) revealed a total X-ray luminosity of about 500 MW.

  11. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  12. Correlation between photoeletron and photoion in ultrafast multichannel photoionization of Ar

    International Nuclear Information System (INIS)

    Itakura, R.; Fushitani, M.; Hishikawa, A.; Sako, T.

    2015-01-01

    We theoretically investigate coherent dynamics of ions created through ultrafast multichannel photoionization from a viewpoint of photoelectron-photoion correlation. The model calculation on single-photon ionization of Ar reveals that the coherent hole dynamics in Ar + associated with a superposition of the spin-orbit states 2 PJ (J = 3/2 and 1/2) can be identified by monitoring only the photoion created by a Fourier-transform limited extreme ultraviolet (EUV) pulse with the fs pulse duration, while the coherence is lost by a chirped EUV pulse. It is demonstrated that by coincidence detection of the photoelectron and photoion the coherent hole dynamics can be extracted even in the case of ionization by a chirped EUV pulse with the sufficiently wide bandwidth

  13. EUV multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror

    NARCIS (Netherlands)

    Huang, Qiushi; Louis, Eric; Bijkerk, Frederik; de Boer, Meint J.; von Blanckenhagen, G.

    2016-01-01

    A multilayer mirror (M) reflecting extreme ultraviolet (EUV) radiation from a first wave-length range in a EUV spectral region comprises a substrate (SUB) and a stack of layers (SL) on the substrate, the stack of layers comprising layers comprising a low index material and a high index material, the

  14. Ultra-compact swept-source optical coherence tomography handheld probe with motorized focus adjustment (Conference Presentation)

    Science.gov (United States)

    LaRocca, Francesco; Nankivil, Derek; Keller, Brenton; Farsiu, Sina; Izatt, Joseph A.

    2017-02-01

    Handheld optical coherence tomography (OCT) systems facilitate imaging of young children, bedridden subjects, and those with less stable fixation. Smaller and lighter OCT probes allow for more efficient imaging and reduced operator fatigue, which is critical for prolonged use in either the operating room or neonatal intensive care unit. In addition to size and weight, the imaging speed, image quality, field of view, resolution, and focus correction capability are critical parameters that determine the clinical utility of a handheld probe. Here, we describe an ultra-compact swept source (SS) OCT handheld probe weighing only 211 g (half the weight of the next lightest handheld SSOCT probe in the literature) with 20.1 µm lateral resolution, 7 µm axial resolution, 102 dB peak sensitivity, a 27° x 23° field of view, and motorized focus adjustment for refraction correction between -10 to +16 D. A 2D microelectromechanical systems (MEMS) scanner, a converging beam-at-scanner telescope configuration, and an optical design employing 6 different custom optics were used to minimize device size and weight while achieving diffraction limited performance throughout the system's field of view. Custom graphics processing unit (GPU)-accelerated software was used to provide real-time display of OCT B-scans and volumes. Retinal images were acquired from adult volunteers to demonstrate imaging performance.

  15. Negating HIO-induced metal and carbide EUV surface contamination

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Gleeson, Michael; van de Kruijs, Robbert Wilhelmus Elisabeth; Lee, Christopher James; Kleyn, A.W.; Bijkerk, Frederik

    2011-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) light in order to reduce feature sizes in semiconductor manufactoring. Lens materials for this wavelength do not exist: image projection requires multilayer mirrors that act as an artificial Bragg crystal.

  16. Microwave, EUV, and X-ray observations of active region loops and filaments

    International Nuclear Information System (INIS)

    Schmahl, E.

    1980-01-01

    Until the advent of X-ray and EUV observations of coronal structures, radio observers were forced to rely on eclipse and coronagraph observations in white light and forbidden coronal lines for additional diagnostics of the high temperature microwave sources. While these data provided enough material for theoretical insight into the physics of active regions, there was no way to make direct, simultaneous comparison of coronal structures on the disk as seen at microwave and optical wavelengths. This is now possible, and therefore the author summarizes the EUV and X-ray observations indicating at each point the relevance to microwaves. (Auth.)

  17. Sub 20nm particle inspection on EUV mask blanks

    NARCIS (Netherlands)

    Bussink, P.G.W.; Volatier, J.B.; Walle, P. van der; Fritz, E.C.; Donck, J.C.J. van der

    2016-01-01

    The Rapid Nano is a particle inspection system developed by TNO for the qualification of EUV reticle handling equipment. The detection principle of this system is dark-field microscopy. The performance of the system has been improved via model-based design. Through our model of the scattering

  18. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  19. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  20. EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution

    NARCIS (Netherlands)

    Es, M.H. van; Sadeghian Marnani, H.

    2016-01-01

    Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting

  1. Fiber optic coherent laser radar 3d vision system

    International Nuclear Information System (INIS)

    Sebastian, R.L.; Clark, R.B.; Simonson, D.L.

    1994-01-01

    Recent advances in fiber optic component technology and digital processing components have enabled the development of a new 3D vision system based upon a fiber optic FMCW coherent laser radar. The approach includes a compact scanner with no moving parts capable of randomly addressing all pixels. The system maintains the immunity to lighting and surface shading conditions which is characteristic of coherent laser radar. The random pixel addressability allows concentration of scanning and processing on the active areas of a scene, as is done by the human eye-brain system

  2. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Huadong; Zhang, Jun; Ma, Suli [Key Laboratory of Solar Activity, National Astronomical Observatories, Chinese Academy of Sciences, Beijing 100012 (China); Yan, Xiaoli [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Xue, Jianchao, E-mail: hdchen@nao.cas.cn, E-mail: zjun@nao.cas.cn [Key Laboratory for Dark Matter and Space Science, Purple Mountain Observatory, Chinese Academy of Sciences, Nanjing 210008 (China)

    2017-05-20

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  3. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    International Nuclear Information System (INIS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-01-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s −1 . During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  4. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Science.gov (United States)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  5. 4-D modeling of CME expansion and EUV dimming observed with STEREO/EUVI

    Directory of Open Access Journals (Sweden)

    M. J. Aschwanden

    2009-08-01

    Full Text Available This is the first attempt to model the kinematics of a CME launch and the resulting EUV dimming quantitatively with a self-consistent model. Our 4-D-model assumes self-similar expansion of a spherical CME geometry that consists of a CME front with density compression and a cavity with density rarefaction, satisfying mass conservation of the total CME and swept-up corona. The model contains 14 free parameters and is fitted to the 25 March 2008 CME event observed with STEREO/A and B. Our model is able to reproduce the observed CME expansion and related EUV dimming during the initial phase from 18:30 UT to 19:00 UT. The CME kinematics can be characterized by a constant acceleration (i.e., a constant magnetic driving force. While the observations of EUVI/A are consistent with a spherical bubble geometry, we detect significant asymmetries and density inhomogeneities with EUVI/B. This new forward-modeling method demonstrates how the observed EUV dimming can be used to model physical parameters of the CME source region, the CME geometry, and CME kinematics.

  6. Study of EUV induced defects on few-layer graphene

    NARCIS (Netherlands)

    Gao, An; Rizo, P.J.; Zoethout, E.; Scaccabarozzi, L.; Lee, Christopher James; Banine, V.; Bijkerk, Frederik

    2012-01-01

    Defects in graphene greatly affect its properties1-3. Radiation induced-defects may reduce the long-term survivability of graphene-based nano-devices. Here, we expose few-layer graphene to extreme ultraviolet (EUV, 13.5nm) radiation and show there is a power-dependent increase in defect density. We

  7. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  8. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    Science.gov (United States)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  9. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  10. Compaction stimulates denitrification in an urban park soil using 15N tracing technique

    DEFF Research Database (Denmark)

    Li, Shun; Deng, Huan; Rensing, Christopher Günther T

    2014-01-01

    Soils in urban areas are subjected to compaction with accelerating urbanization. The effects of anthropogenic compaction on urban soil denitrification are largely unknown. We conducted a study on an urban park soil to investigate how compaction impacts denitrification. By using 15N labeling method...... and acetylene inhibition technique, we performed three coherent incubation experiments to quantify denitrification in compacted soil under both aerobic and anaerobic conditions. Uncompacted soil was set as the control treatment. When monitoring soil incubation without extra substrate, higher nitrous oxide (N2O......) flux and denitrification enzyme activity were observed in the compacted soil than in the uncompacted soil. In aerobic incubation with the addition of K15NO3, N2O production in the compacted soil reached 10.11 ng N h-1 g-1 as compared to 0.02 ng N h-1 g-1 in the uncompacted soil. Denitrification...

  11. The EUV Spectrum of Sunspot Plumes Observed by SUMER on ...

    Indian Academy of Sciences (India)

    tribpo

    Abstract. We present results from sunspot observations obtained by. SUMER on SOHO. In sunspot plumes the EUV spectrum differs from the quiet Sun; continua are observed with different slopes and intensities; emission lines from molecular hydrogen and many unidentified species indicate unique plasma conditions ...

  12. Effect of solar UV/EUV heating on the intensity and spatial distribution of Jupiter's synchrotron radiation

    Science.gov (United States)

    Kita, H.; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2013-10-01

    We analyzed the Very Large Array archived data observed in 2000 to determine whether solar ultraviolet (UV)/extreme ultraviolet (EUV) heating of the Jovian thermosphere causes variations in the total flux density and dawn-dusk asymmetry (the characteristic differences between the peak emissions at dawn and dusk) of Jupiter's synchrotron radiation (JSR). The total flux density varied by 10% over 6 days of observations and accorded with theoretical expectations. The average dawn-dusk peak emission ratio indicated that the dawn side emissions were brighter than those on the dusk side and this was expected to have been caused by diurnal wind induced by the solar UV/EUV. The daily variations in the dawn-dusk ratio did not correspond to the solar UV/EUV, and this finding did not support the theoretical expectation that the dawn-dusk ratio and diurnal wind velocity varies in correspondence with the solar UV/EUV. We tried to determine whether the average dawn-dusk ratio could be explained by a reasonable diurnal wind velocity. We constructed an equatorial brightness distribution model of JSR using the revised Divine-Garrett particle distribution model and used it to derive a relation between the dawn-dusk ratio and diurnal wind velocity. The estimated diurnal wind velocity reasonably corresponded to a numerical simulation of the Jovian thermosphere. We also found that realistic changes in the diurnal wind velocity could not cause the daily variations in the dawn-dusk ratio. Hence, we propose that the solar UV/EUV related variations were below the detection limit and some other processes dominated the daily variations in the dawn-dusk ratio.

  13. The Diagnostics of the kappa-Distributions from EUV Spectra

    Czech Academy of Sciences Publication Activity Database

    Dzifčáková, Elena; Kulinová, Alena

    2010-01-01

    Roč. 263, 1-2 (2010), s. 25-41 ISSN 0038-0938 R&D Projects: GA ČR GA205/09/1705 Grant - others:VEGA(SK) 1/0069/08 Institutional research plan: CEZ:AV0Z10030501 Keywords : EUV spectra * non- thermal distributions * plasma diagnostics Subject RIV: BN - Astronomy, Celestial Mechanics, Astrophysics Impact factor: 3.386, year: 2010

  14. EUV stimulated emission from MgO pumped by FEL pulses

    Directory of Open Access Journals (Sweden)

    Philippe Jonnard

    2017-09-01

    Full Text Available Stimulated emission is a fundamental process in nature that deserves to be investigated and understood in the extreme ultra-violet (EUV and x-ray regimes. Today, this is definitely possible through high energy density free electron laser (FEL beams. In this context, we give evidence for soft-x-ray stimulated emission from a magnesium oxide solid target pumped by EUV FEL pulses formed in the regime of travelling-wave amplified spontaneous emission in backward geometry. Our results combine two effects separately reported in previous works: emission in a privileged direction and existence of a material-dependent threshold for the stimulated emission. We develop a novel theoretical framework, based on coupled rate and transport equations taking into account the solid-density plasma state of the target. Our model accounts for both observed mechanisms that are the privileged direction for the stimulated emission of the Mg L2,3 characteristic emission and the pumping threshold.

  15. Driving down defect density in composite EUV patterning film stacks

    Science.gov (United States)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  16. Compact dispersion relations for parametric instabilities of electromagnetic waves in magnetized plasmas

    International Nuclear Information System (INIS)

    Cohen, B.I.

    1987-01-01

    The existence of compact dispersion relations for parametric instabilities of coherent electromagnetic waves in magnetized plasmas is addressed here. In general, comprehensive dispersion relations for parametric instabilities in unmagnetized plasmas become more complicated in the presence of an applied time-independent magnetic field. This is demonstrated with a fluid perturbation theory. A compact dispersion relation for parametric instabilities in unmagnetized plasma is heuristically extended here to the case of a magnetized plasma. This dispersion relation gives the correct results in a variety of circumstances of interest in considering electron cyclotron heating applications

  17. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    Science.gov (United States)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  18. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NARCIS (Netherlands)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Louis, Eric; Bijkerk, Frederik

    2017-01-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV

  19. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  20. Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering

    Science.gov (United States)

    Trost, M.; Schröder, S.; Lin, C. C.; Duparré, A.; Tünnermann, A.

    2012-09-01

    Optical components for the extreme ultraviolet (EUV) face stringent requirements for surface finish, because even small amounts of surface and interface roughness can cause significant scattering losses and impair image quality. In this paper, we investigate the roughness evolution of Mo/Si multilayers by analyzing the scattering behavior at a wavelength of 13.5 nm as well as taking atomic force microscopy (AFM) measurements before and after coating. Furthermore, a new approach to measure substrate roughness is presented, which is based on light scattering measurements at 405 nm. The high robustness and sensitivity to roughness of this method are illustrated using an EUV mask blank with a highspatial frequency roughness of as low as 0.04 nm.

  1. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  2. A 40-GBd QPSK/16-QAM integrated silicon coherent receiver

    NARCIS (Netherlands)

    Verbist, J.; Zhang, J.; Moeneclaey, B.; Soenen, W.; Van Weerdenburg, J.J.A.; Van Uden, R.; Okonkwo, C.M.; Bauwelinck, J.; Roelkens, G.; Yin, X.

    2016-01-01

    Through co-design of a dual SiGe transimpedance amplifier and an integrated silicon photonic circuit, we realized for the first time an ultra-compact and low-power silicon single-polarization coherent receiver operating at 40 GBd. A bit-error rate of <3.8× 10-3 was obtained for an optical

  3. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  4. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  5. Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists

    KAUST Repository

    Jiang, Jing

    2015-03-19

    Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of ligands. The mixture of nanoparticles showed improved pattern quality. © (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  6. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  7. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    Science.gov (United States)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  8. Observations and predictions of EUV emission from classical novae

    International Nuclear Information System (INIS)

    Starrfield, S.; Truran, J.W.; Sparks, W.M.; Krautter, J.

    1989-01-01

    Theoretical modeling of novae in outburst predicts that they should be active emitters of radiation both in the EUV and soft X-ray wavelengths twice during the outburst. The first time is very early in the outburst when only an all sky survey can detect them. This period lasts only a few hours. They again become bright EUV and soft X-ray emitters late in the outburst when the remnant object becomes very hot and is still luminous. The predictions imply both that a nova can remain very hot for months to years and that the peak temperature at this time strongly depends upon the mass of the white dwarf. It is important to observe novae at these late times because a measurement of both the flux and temperature can provide information about the mass of the white dwarf, the tun-off time scale, and the energy budget of the outburst. We review the existing observations of novae in late stages of their outburst and present some newly obtained data for GQ Mus 1983. We then provide results of new hydrodynamic simulations of novae in outburst and compare the predictions to the observations. 43 refs., 6 figs

  9. Spatial coherence properties of a compact and ultrafast laser-produced plasma keV x-ray source

    International Nuclear Information System (INIS)

    Boschetto, D.; Mourou, G.; Rousse, A.; Mordovanakis, A.; Hou, Bixue; Nees, J.; Kumah, D.; Clarke, R.

    2007-01-01

    The authors use Fresnel diffraction from knife-edges to demonstrate the spatial coherence of a tabletop ultrafast x-ray source produced by laser-plasma interaction. Spatial coherence is achieved in the far field by producing micrometer-scale x-ray spot dimensions. The results show an x-ray source size of 6 μm that leads to a transversal coherence length of 20 μm at a distance of 60 cm from the source. Moreover, they show that the source size is limited by the spatial spread of the absorbed laser energy

  10. Study of crystalline thin films and nanofibers by means of the laser–plasma EUV-source based microscopy

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Bartnik, A.; Baranowska-Korczyc, A.; Pánek, D.; Brůža, P.; Kostecki, J.; Węgrzyński, Ł.; Jarocki, R.; Szczurek, M.; Fronc, K.; Elbaum, D.; Fiedorowicz, H.

    2013-01-01

    New developments in nanoscience and nanotechnology require nanometer scale resolution imaging tools and techniques such as an extreme ultraviolet (EUV) and soft X-ray (SXR) microscopy, based on Fresnel zone plates. In this paper, we report on applications of a desk-top microscopy using a laser-plasma EUV source based on a gas-puff target for studies of morphology of thin silicon membranes coated with NaCl crystals and samples composed of ZnO nanofibers

  11. EUV soft X-ray characterization of a FEL multilayer optics damaged by multiple shot laser beam

    International Nuclear Information System (INIS)

    Giglia, A.; Mahne, N.; Bianco, A.; Svetina, C.; Nannarone, S.

    2011-01-01

    We have investigated the damaging effects of a femtosecond pulsed laser beam with 400 nm wavelength on a Mo/Si EUV multilayer. The exposures have been done in vacuum with multiple pulses (5 pulses/mm 2 ) of 120 fs varying the laser fluence in the 38-195 mJ/cm 2 range. The analysis of the different irradiated regions has been performed ex-situ by means of different techniques, including specular and diffuse reflectivity, X-ray photoemission spectroscopy (XPS) and total electron yield (TEY) in the EUV and soft X-ray range. Surface images have been acquired by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Results clearly indicate a progressive degradation of the EUV multilayer performances with the increase of the laser fluence. Spectroscopic analysis allowed to correlate the decrease of reflectivity with the degradation of the multilayer stacking, ascribed to Mo-Si intermixing at the Mo/Si interfaces of the first layers, close to the surface of the mirror.

  12. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  13. A serendipitous observation of the gamma-ray burst GRB 921013b field with EUVE

    DEFF Research Database (Denmark)

    Castro-Tirado, A.J.; Gorosabel, J.; Bowyer, S.

    1999-01-01

    hours after the burst is 1.8 x10(-16) erg s(-1) cm(-2) after correction for absorption by the Galactic interstellar medium. Even if we exclude an intrinsic absorption, this is well below the detection limit of the EUVE measurement. Although it is widely accepted that gamma-ray bursts are at cosmological......We report a serendipitous extreme ultraviolet observation by EUVE of the field containing GRB 921013b, similar to 11 hours after its occurrence. This burst was detected on 1992 October 13 by the WATCH and PHEBUS on Granat, and by the GRB experiment on Ulysses. The lack of any transient (or...

  14. Analysis of Ozone (O3 and Erythemal UV (EUV measured by TOMS in the equatorial African belt

    Directory of Open Access Journals (Sweden)

    Øyvind Frette

    2010-03-01

    Full Text Available We presented time series of total ozone column amounts (TOCAs and erythemal UV (EUV doses derived from measurements by TOMS (Total Ozone Mapping Spectrometer instruments on board the Nimbus-7 (N7 and the Earth Probe (EP satellites for three locations within the equatorial African belt for the period 1979 to 2000. The locations were Dar-es-Salaam (6.8° S, 39.26° E in Tanzania, Kampala (0.19° N, 32.34° E in Uganda, and Serrekunda (13.28° N, 16.34° W in Gambia. Equatorial Africa has high levels of UV radiation, and because ozone shields UV radiation from reaching the Earth’s surface, there is a need to monitor TOCAs and EUV doses. In this paper we investigated the trend of TOCAs and EUV doses, the effects of annual and solar cycles on TOCAs, as well as the link between lightning and ozone production in the equatorial African belt. We also compared clear-sky simulated EUV doses with the corresponding EUV doses derived from TOMS measurements. The TOCAs were found to vary in the ranges 243 DU − 289 DU, 231 DU − 286 DU, and 236 DU − 296 DU, with mean values of 266.9 DU, 260.9 DU, and 267.8 DU for Dar-es-Salaam, Kampala and Serrekunda, respectively. Daily TOCA time series indicated that Kampala had the lowest TOCA values, which we attributed to the altitude effect. There were two annual ozone peaks in Dar-es-Salaam and Kampala, and one annual ozone peak in Serrekunda. The yearly TOCA averages showed an oscillation within a five-year period. We also found that the EUV doses were stable at all three locations for the period 1979−2000, and that Kampala and Dar-es-Salaam were mostly cloudy throughout the year, whereas Serrekunda was mostly free from clouds. It was also found that clouds were among the major factors determining the level of EUV reaching the Earth´s surface. Finally, we noted that during rainy seasons, horizontal advection effects augmented by lightning activity may be responsible for enhanced ozone production in the tropics.

  15. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  16. Feasibility of compensating for EUV field edge effects through OPC

    Science.gov (United States)

    Maloney, Chris; Word, James; Fenger, Germain L.; Niroomand, Ardavan; Lorusso, Gian F.; Jonckheere, Rik; Hendrickx, Eric; Smith, Bruce W.

    2014-04-01

    As EUV Lithography (EUVL) continues to evolve, it offers a possible solution to the problems of additional masks and lithography steps that drive up the cost and complexity of 193i multiple patterning. EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The absorber physically shadows the reflective multilayer (ML) on an EUV reticle resulting in pattern fidelity degradation. To reduce this degradation, a thinner absorber may help. Yet, as the absorber thickness decreases, reflectivity increases in the `dark' region around the image field, resulting in a loss of contrast. The region around the edge of the die on the mask of unpatterned absorber material deposited on top of ML, known as the image border, is also susceptible to undesirable reflections in an ideally dark region. For EUVL to be enabled for high-volume manufacturing (HVM), reticle masking (REMA) blades are used to shield light from the image border to allow for the printing of densely spaced die. When die are printed densely, the image border of each neighboring die will overlap with the edge of a given die resulting in an increase of dose that overexposes features at the edge of the field. This effect is convolved with a fingerprint from the edge of the REMA blades. This phenomenon will be referred to as a field edge effect. One such mitigation strategy that has been investigated to reduce the field edge effect is to fully remove the ML along the image border to ensure that no actinic-EUV radiation can be reflected onto neighboring die. This has proven to suppress the effect, but residual out-of-band radiation still provides additional dose to features near the image border, especially in the corners where three neighboring fields overlap. Measurements of dense contact holes (CHs) have been made along the image border with and without a ML-etched border at IMEC in collaboration with Micron using the ASML NXE:3100. The

  17. Effect of CSR shielding in the compact linear collider

    CERN Document Server

    Esberg, J; Apsimon, R; Schulte, D

    2014-01-01

    The Drive Beam complex of the Compact Linear Collider must use short bunches with a large charge making beam transport susceptible to unwanted effects of Coherent Synchrotron Radiation emitted in the dipole magnets. We present the effects of transporting the beam within a limited aperture which decreases the magnitude of the CSR wake. The effect, known as CSR shielding, eases the design of key components of the facility.

  18. Compact 3D quantum memory

    Science.gov (United States)

    Xie, Edwar; Deppe, Frank; Renger, Michael; Repp, Daniel; Eder, Peter; Fischer, Michael; Goetz, Jan; Pogorzalek, Stefan; Fedorov, Kirill G.; Marx, Achim; Gross, Rudolf

    2018-05-01

    Superconducting 3D microwave cavities offer state-of-the-art coherence times and a well-controlled environment for superconducting qubits. In order to realize at the same time fast readout and long-lived quantum information storage, one can couple the qubit to both a low-quality readout and a high-quality storage cavity. However, such systems are bulky compared to their less coherent 2D counterparts. A more compact and scalable approach is achieved by making use of the multimode structure of a 3D cavity. In our work, we investigate such a device where a transmon qubit is capacitively coupled to two modes of a single 3D cavity. External coupling is engineered so that the memory mode has an about 100 times larger quality factor than the readout mode. Using an all-microwave second-order protocol, we realize a lifetime enhancement of the stored state over the qubit lifetime by a factor of 6 with a fidelity of approximately 80% determined via quantum process tomography. We also find that this enhancement is not limited by fundamental constraints.

  19. Enhanced performance of an EUV light source (λ = 84 nm) using short-pulse excitation of a windowless dielectric barrier discharge in neon

    International Nuclear Information System (INIS)

    Carman, R J; Kane, D M; Ward, B K

    2010-01-01

    The electrical and optical characteristics of a dielectric barrier discharge (DBD) based neon excimer lamp generating output in the extreme ultraviolet (EUV) spectral range (λ = 84 nm) have been investigated experimentally. We report a detailed comparison of lamp performance for both pulsed and sinusoidal voltage excitation waveforms, using otherwise identical operating conditions. The results show that pulsed voltage excitation yields a ∼50% increase in the overall electrical to EUV conversion efficiency compared with sinusoidal waveforms, when operating in the pressure range 500-900 mbar. Pulsed operation allows greater control of parameters associated with the temporal evolution of the EUV pulse shapes (risetime, instantaneous peak power). The Ne DBD based source is also found to be highly monochromatic with respect to its spectral output from the second continuum band at λ ∼ 84 nm (5 nm FWHM). This continuum band dominates the spectral emission over the wavelength range 30-550 nm. Lamp performance; as measured by the overall EUV output energy, electrical to EUV conversion efficiency and spectral purity at λ ∼ 84 nm; improves with increasing gas pressure up to p = 900 mbar.

  20. Plastometry for the Self-Compacting Concrete Mixes

    Science.gov (United States)

    Lapsa, V. Ā.; Krasnikovs, A.; Lusis, V.; Lukasenoks, A.

    2015-11-01

    Operative determination of consistence of self-compacting concrete mixes at plant or in construction conditions is an important problem in building practice. The Abram's cone, the Vebe's device, the U-box siphon, L-box or funnel tests are used in solving this problem. However, these field methods are targeted at determination of some indirect parameters of such very complicated paste-like material like concrete mix. They are not physical characteristics suitable for the rheological calculations of the coherence between the stress and strains, flow characteristics and the reaction of the concrete mix in different technological processes. A conical plastometer having higher precision and less sensitive to the inaccuracy of the tests in construction condition has been elaborated at the Concrete Mechanics Laboratory of RTU. In addition, a new method was elaborated for the calculation of plasticity limit τ0 taking into account the buoyancy force of the liquid or non-liquid concrete mix. In the present investigation rheological test of the concrete mix by use the plastometer and the method mentioned earlier was conducted for different self-compacting and not self-compacting concrete mixes.

  1. Rocket flight of a multilayer coated high-density EUV toroidal grating

    Science.gov (United States)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  2. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  3. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    Science.gov (United States)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  4. Stellar and Laboratory XUV/EUV Line Ratios in Fe XVIII and Fe XIX

    Science.gov (United States)

    Träbert, Elmar; Beiersdorfer, P.; Clementson, J.

    2011-09-01

    A so-called XUV excess has been suspected with the relative fluxes of Fe XVIII and Fe XIX lines in XUV and EUV spectra of the star Capella as observed by the Chandra spacecraft [1] when comparing the observations with simulations of stellar spectra based on APEC or FAC. We have addressed this problem by laboratory studies using the Livermore electron beam ion trap (EBIT). Our understanding of the EBIT spectrum is founded on work by Brown et al. [2]. The electron density of the electron beam in an EBIT is compatible to the density in energetic stellar flares. In our experiments, the relative detection efficiencies of two flat-field grating spectrographs operating in the EUV (near 100 Å) and XUV (near 16 Å) ranges have been determined using the calculated branching ratio of 1-3 and 2-3 transition in the H-like spectrum O VIII. FAC calculations assuming several electron beam energies and electron densities serve to correct the EBIT observations for the Maxwellian excitation in a natural plasma. In the EUV, the line intensity pattern predicted by FAC agrees reasonably well with the laboratory and Capella observations. In the XUV wavelength range, agreement of laboratory and astrophysical line intensities is patchy. The spectral simulation results from FAC are much closer to stellar and laboratory observation than those obtained by APEC. Instead of claiming an XUV excess, the XUV/EUV line intensities can be explained by a somewhat higher temperature of Capella than the previously assumed T=6 MK. This work was performed under the auspices of the USDoE by LLNL under Contract DE-AC52-07NA27344 and was supported by the NASA under work order NNH07AF81I issued by the APRA Program. E.T. acknowledges support by DFG Germany. 1. P. Desai et al., ApJ 625, L59 (2005). 2. G. V. Brown et al., ApJS 140, 589 (2002).

  5. EUV emission stimulated by use of dual laser pulses from continus liquid microjet targets

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Sasaki, Wataru; Kubodera, Shoichi

    2004-11-01

    A continuous water-jet or water-jet mixed with LiF with several tens μm diameter was formed in a vacuum chamber through a small capillary nozzle. Usage of two laser pulses is an efficient way to produce EUV emission, since a density and temperature of a plasma formed by the first laser pulse are regulated by the second laser pulse. By adjusting the delay of the second pulse, one could maximize the EUV emission. A subpicosecond Ti:Sapphire laser at a wavelength of 800 nm produced a maximum energy around 30 mJ. The beam was divided by a Michelson interferometer, which produced two laser pulses with energies of 5 mJ. The pulse duration was adjusted around 300 fs (FWHM). Both beams were focused on a micro-jet using a lens with a focal length of 15 cm. The delay time between the two pulses was varied from 100 to 800 ps by use of an optical delay line. Clear enhancement of the EUV emission yield was observed when the delay between the two pulses was around 500 ps. The experimentally observed delay agrees reasonably well with that of a plasma to expand to its critical density of 10^21 cm-3.

  6. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  7. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    Science.gov (United States)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  8. Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Bastiaens, Hubertus M.J.; Bruineman, Caspar; Vratzov, Boris; Bijkerk, Frederik

    2016-01-01

    Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band are essential in applications such as photolithography. Most light sources however also emit radiation outside this wavelength band and have a spectrum extending up to deep ultraviolet (DUV)

  9. Epi-detecting label-free multimodal imaging platform using a compact diode-pumped femtosecond solid-state laser

    DEFF Research Database (Denmark)

    Andreana, Marco; Le, Tuan; Hansen, Anders Kragh

    2017-01-01

    We have developed an epi-detected multimodal nonlinear optical microscopy platform based on a compact and cost-effective laser source featuring simultaneous acquisition of signals arising from hyperspectral coherent anti-Stokes Raman scattering (CARS), two-photon fluorescence, and second harmonic...

  10. Inner shell transitions of BrI in the EUV

    Energy Technology Data Exchange (ETDEWEB)

    Mazzoni, M [Florence Univ. (Italy). Ist. di Astronomia; Pettini, M [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1981-10-12

    The EUV line spectrum originating from transitions of the inner 3d shell of neutral atomic bromine has been observed in absorption. Fano parameters have been derived for the three autoionized resonances nd/sup 10/(n + 1)s/sup 2/(n + 1)p/sup 5/ /sup 2/P-nd/sup 9/(n + 1)s/sup 2/(n + 1)p/sup 62/D observed in both bromine (n = 3) and iodine (n = 4) spectra.

  11. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  12. Compaction and relaxation of biofilms

    KAUST Repository

    Valladares Linares, R.

    2015-06-18

    Operation of membrane systems for water treatment can be seriously hampered by biofouling. A better characterization of biofilms in membrane systems and their impact on membrane performance may help to develop effective biofouling control strategies. The objective of this study was to determine the occurrence, extent and timescale of biofilm compaction and relaxation (decompaction), caused by permeate flux variations. The impact of permeate flux changes on biofilm thickness, structure and stiffness was investigated in situ and non-destructively with optical coherence tomography using membrane fouling monitors operated at a constant crossflow velocity of 0.1 m s−1 with permeate production. The permeate flux was varied sequentially from 20 to 60 and back to 20 L m−2 h−1. The study showed that the average biofilm thickness on the membrane decreased after elevating the permeate flux from 20 to 60 L m−2 h−1 while the biofilm thickness increased again after restoring the original flux of 20 L m−2 h−1, indicating the occurrence of biofilm compaction and relaxation. Within a few seconds after the flux change, the biofilm thickness was changed and stabilized, biofilm compaction occurred faster than the relaxation after restoring the original permeate flux. The initial biofilm parameters were not fully reinstated: the biofilm thickness was reduced by 21%, biofilm stiffness had increased and the hydraulic biofilm resistance was elevated by 16%. Biofilm thickness was related to the hydraulic biofilm resistance. Membrane performance losses are related to the biofilm thickness, density and morphology, which are influenced by (variations in) hydraulic conditions. A (temporarily) permeate flux increase caused biofilm compaction, together with membrane performance losses. The impact of biofilms on membrane performance can be influenced (increased and reduced) by operational parameters. The article shows that a (temporary) pressure increase leads to more

  13. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    Energy Technology Data Exchange (ETDEWEB)

    Chen, N.-H. [Korea Astronomy and Space Science Institute, Daejeon (Korea, Republic of); Innes, D. E. [Max-Planck-Institut für Sonnensystemforschung, D-37077 Göttingen (Germany)

    2016-12-10

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.

  14. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    Science.gov (United States)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  15. EUV and radio spectrum of coronal holes

    Energy Technology Data Exchange (ETDEWEB)

    Chiuderi Drago, F [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1980-03-01

    From the intensity of 19 EUV lines whose formation temperature anti T ranges from 3 x 10/sup 4/ to 1.4 x 10/sup 6/, two different models of the transition region and corona for the cell-centre and the network are derived. It is shown that both these models give radio brightness temperatures systematically higher than the observed ones. An agreement with radio data can be found only with lines formed at low temperature (anti T < 8.5 x 10/sup 5/) by decreasing the coronal temperature and the emission measure. The possibility of resolving the discrepancy by using different ion abundances has also been investigated with negative results.

  16. Simultaneous topography and tomography of latent fingerprints using full-field swept-source optical coherence tomography

    Science.gov (United States)

    Dubey, Satish Kumar; Singh Mehta, Dalip; Anand, Arun; Shakher, Chandra

    2008-01-01

    We demonstrate simultaneous topography and tomography of latent fingerprints using full-field swept-source optical coherence tomography (OCT). The swept-source OCT system comprises a superluminescent diode (SLD) as broad-band light source, an acousto-optic tunable filter (AOTF) as frequency tuning device, and a compact, nearly common-path interferometer. Both the amplitude and the phase map of the interference fringe signal are reconstructed. Optical sectioning of the latent fingerprint sample is obtained by selective Fourier filtering and the topography is retrieved from the phase map. Interferometry, selective filtering, low coherence and hence better resolution are some of the advantages of the proposed system over the conventional fingerprint detection techniques. The present technique is non-invasive in nature and does not require any physical or chemical processing. Therefore, the quality of the sample does not alter and hence the same fingerprint can be used for other types of forensic test. Exploitation of low-coherence interferometry for fingerprint detection itself provides an edge over other existing techniques as fingerprints can even be lifted from low-reflecting surfaces. The proposed system is very economical and compact.

  17. Tunable coherent radiation at soft X-ray wavelengths: Generation and interferometric applications

    International Nuclear Information System (INIS)

    Rosfjord, Kristine Marie

    2004-01-01

    The availability of high power, spectrally and spatially coherent soft x-rays (SXR) would facilitate a wide variety of experiments as this energy region covers the primary resonances of many magnetic and biological materials. Specifically, there are the carbon and oxygen K-edges that are critical for biological imaging in the water window and the L-edges of iron, nickel, and cobalt for which imaging and scattering studies can be performed. A new coherent soft X-ray branchline at the Advanced Light Source has begun operation (beamline 12.0.2). Using the third harmonic from an 8 cm period undulator, this branch delivers coherent soft x-rays with photon energies ranging from 200eV to 1keV. This branchline is composed of two sub-branches one at 14X demagnification and the other 8X demagnification. The former is optimized for use at 500eV and the latter at 800eV. Here the expected power from the third harmonic of this undulator and the beamline design and characterization is presented. The characterization includes measurements on available photon flux as well as a series of double pinhole experiments to determine the coherence factor with respect to transverse distance. The first high quality Airy patterns at SXR wavelengths are created with this new beamline. The operation of this new beamline allows for interferometry to be performed in the SXR region. Here an interferometric experiment designed to directly determine the index of refraction of a material under test is performed. Measurements are first made in the EUV region using an established beamline (beamline12.0.1) to measure silicon, ruthenium and tantalum silicon nitride. This work is then extended to the SXR region using beamline 12.0.2 to test chromium and vanadium

  18. Tunable coherent radiation at soft X-ray wavelengths: Generation and interferometric applications

    Energy Technology Data Exchange (ETDEWEB)

    Rosfjord, Kristine Marie [Univ. of California, Berkeley, CA (United States)

    2004-01-01

    The availability of high power, spectrally and spatially coherent soft x-rays (SXR) would facilitate a wide variety of experiments as this energy region covers the primary resonances of many magnetic and biological materials. Specifically, there are the carbon and oxygen K-edges that are critical for biological imaging in the water window and the L-edges of iron, nickel, and cobalt for which imaging and scattering studies can be performed. A new coherent soft X-ray branchline at the Advanced Light Source has begun operation (beamline 12.0.2). Using the third harmonic from an 8 cm period undulator, this branch delivers coherent soft x-rays with photon energies ranging from 200eV to 1keV. This branchline is composed of two sub-branches one at 14X demagnification and the other 8X demagnification. The former is optimized for use at 500eV and the latter at 800eV. Here the expected power from the third harmonic of this undulator and the beamline design and characterization is presented. The characterization includes measurements on available photon flux as well as a series of double pinhole experiments to determine the coherence factor with respect to transverse distance. The first high quality Airy patterns at SXR wavelengths are created with this new beamline. The operation of this new beamline allows for interferometry to be performed in the SXR region. Here an interferometric experiment designed to directly determine the index of refraction of a material under test is performed. Measurements are first made in the EUV region using an established beamline (beamline12.0.1) to measure silicon, ruthenium and tantalum silicon nitride. This work is then extended to the SXR region using beamline 12.0.2 to test chromium and vanadium.

  19. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists

    International Nuclear Information System (INIS)

    Lawrie, Kirsten; Blakey, Idriss; Blinco, James; Gronheid, Roel; Jack, Kevin; Pollentier, Ivan; Leeson, Michael J.; Younkin, Todd R.; Whittaker, Andrew K.

    2011-01-01

    Poly(olefin sulfone)s, formed by the reaction of sulfur dioxide (SO 2 ) and an olefin, are known to be highly susceptible to degradation by radiation and thus have been identified as candidate materials for chain scission-based extreme ultraviolet lithography (EUVL) resist materials. In order to investigate this further, the synthesis and characterisation of two poly(olefin sulfone)s namely poly(1-pentene sulfone) (PPS) and poly(2-methyl-1-pentene sulfone) (PMPS), was achieved and the two materials were evaluated for possible chain scission EUVL resist applications. It was found that both materials possess high sensitivities to EUV photons; however; the rates of outgassing were extremely high. The only observed degradation products were found to be SO 2 and the respective olefin suggesting that depolymerisation takes place under irradiation in a vacuum environment. In addition to depolymerisation, a concurrent conversion of SO 2 moieties to a sulfide phase was observed using XPS.

  20. UAV-borne coherent doppler lidar for marine atmospheric boundary layer observations

    Science.gov (United States)

    Wu, Songhua; Wang, Qichao; Liu, Bingyi; Liu, Jintao; Zhang, Kailin; Song, Xiaoquan

    2018-04-01

    A compact UAV-borne Coherent Doppler Lidar (UCDL) has been developed at the Ocean University of China for the observation of wind profile and boundary layer structure in Marine Atmospheric Boundary Layer (MABL). The design, specifications and motion-correction methodology of the UCDL are presented. Preliminary results of the first flight campaign in Hailing Island in December 2016 is discussed.

  1. Exploring EUV Spicules Using 304 Ang He II Data from SDO/AIA

    Science.gov (United States)

    Snyder, Ian; Sterling, Alphonse C.; Falconer, David A.; Moore, Ronald L.

    2015-01-01

    We present results from a statistical study of He II 304 Angstrom EUV spicules and macrospicules at the limb of the Sun. We use high-cadence (12 sec) and high-resolution (0.6 arcsec pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred in quiet or coronal hole regions near the solar pole. Spicules and macrospicules are typically transient jet-like chromospheric-material features, the macrospicules are wider and have taller maximum heights than the spicules. We looked for characteristics of the populations of these two phenomena that might indicate whether they have the same or different initiation mechanisms. We examined the maximum heights, time-averaged rise velocities, and lifetimes of about two dozen EUV spicules and about five EUV macrospicules. For spicules, these quantities are, respectively, approx. 5-30 km, 5-50 km/s, and a few 100- approx. 1000 sec. Macrospicules were approx. 60,000 km, 55 km/s, and had lifetimes of approx. 1800 sec. Therefore the macrospicules were taller and longer-lived than the spicules, and had velocities comparable to that of the fastest spicules. The rise profiles of both the spicules and the macrospicules matched well a second-order ("parabolic'') trajectory, although the acceleration was generally weaker than that of solar gravity in the profiles fitted to the trajectories. The Macrospicules also had obvious brightenings at their bases at their birth, while such brightenings were not apparent for most of the spicules. Most of the spicules and several of the macrospicules remained visible during their decent back to the solar surface, although a small percentage of the spicules faded out before their fall was completed. Are findings are suggestive of the two phenomena possibly having different initiation mechanisms, but this is not yet conclusive. Qualitatively the EUV 304 Angstrom spicules match well the properties quoted for "Type I

  2. Heights integrated model as instrument for simulation of hydrodynamic, radiation transport, and heat conduction phenomena of laser-produced plasma in EUV applications.

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V.; Hassanein, A.; Morozov, V.; Sizyuk, T.; Mathematics and Computer Science

    2007-01-16

    The HEIGHTS integrated model has been developed as an instrument for simulation and optimization of laser-produced plasma (LPP) sources relevant to extreme ultraviolet (EUV) lithography. The model combines three general parts: hydrodynamics, radiation transport, and heat conduction. The first part employs a total variation diminishing scheme in the Lax-Friedrich formulation (TVD-LF); the second part, a Monte Carlo model; and the third part, implicit schemes with sparse matrix technology. All model parts consider physical processes in three-dimensional geometry. The influence of a generated magnetic field on laser plasma behavior was estimated, and it was found that this effect could be neglected for laser intensities relevant to EUV (up to {approx}10{sup 12} W/cm{sup 2}). All applied schemes were tested on analytical problems separately. Benchmark modeling of the full EUV source problem with a planar tin target showed good correspondence with experimental and theoretical data. Preliminary results are presented for tin droplet- and planar-target LPP devices. The influence of three-dimensional effects on EUV properties of source is discussed.

  3. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  4. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  5. Inequivalent coherent state representations in group field theory

    Science.gov (United States)

    Kegeles, Alexander; Oriti, Daniele; Tomlin, Casey

    2018-06-01

    In this paper we propose an algebraic formulation of group field theory and consider non-Fock representations based on coherent states. We show that we can construct representations with an infinite number of degrees of freedom on compact manifolds. We also show that these representations break translation symmetry. Since such representations can be regarded as quantum gravitational systems with an infinite number of fundamental pre-geometric building blocks, they may be more suitable for the description of effective geometrical phases of the theory.

  6. A two-step method for fast and reliable EUV mask metrology

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajendran, Rajeev; Yoshitake, Shusuke; Ekinci, Yasin

    2017-03-01

    One of the major obstacles towards the implementation of extreme ultraviolet lithography for upcoming technology nodes in semiconductor industry remains the realization of a fast and reliable detection methods patterned mask defects. We are developing a reflective EUV mask-scanning lensless imaging tool (RESCAN), installed at the Swiss Light Source synchrotron at the Paul Scherrer Institut. Our system is based on a two-step defect inspection method. In the first step, a low-resolution defect map is generated by die to die comparison of the diffraction patterns from areas with programmed defects, to those from areas that are known to be defect-free on our test sample. In a later stage, a die to database comparison will be implemented in which the measured diffraction patterns will be compared to those calculated directly from the mask layout. This Scattering Scanning Contrast Microscopy technique operates purely in the Fourier domain without the need to obtain the aerial image and, given a sufficient signal to noise ratio, defects are found in a fast and reliable way, albeit with a location accuracy limited by the spot size of the incident illumination. Having thus identified rough locations for the defects, a fine scan is carried out in the vicinity of these locations. Since our source delivers coherent illumination, we can use an iterative phase-retrieval method to reconstruct the aerial image of the scanned area with - in principle - diffraction-limited resolution without the need of an objective lens. Here, we will focus on the aerial image reconstruction technique and give a few examples to illustrate the capability of the method.

  7. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  8. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  9. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  10. A study of tungsten spectra using large helical device and compact electron beam ion trap in NIFS

    Science.gov (United States)

    Morita, S.; Dong, C. F.; Goto, M.; Kato, D.; Murakami, I.; Sakaue, H. A.; Hasuo, M.; Koike, F.; Nakamura, N.; Oishi, T.; Sasaki, A.; Wang, E. H.

    2013-07-01

    Tungsten spectra have been observed from Large Helical Device (LHD) and Compact electron Beam Ion Trap (CoBIT) in wavelength ranges of visible to EUV. The EUV spectra with unresolved transition array (UTA), e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W+24-+33, measured from LHD plasmas are compared with those measured from CoBIT with monoenergetic electron beam (≤2keV). The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The C-R model code has been developed to explain the UTA spectra in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database code to examine the ionization balance determined by ionization and recombination rate coefficients. As the first trial, analysis of the tungsten density in LHD plasmas is attempted from radial profile of Zn-like WXLV (W44+) 4p-4s transition at 60.9Å based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5×1010cm-3 at the plasma center is reasonably obtained. In order to observe the spectra from tungsten ions in lower-ionized charge stages, which can give useful information on the tungsten influx in fusion plasmas, the ablation cloud of the impurity pellet is directly measured with visible spectroscopy. A lot of spectra from neutral and singly ionized tungsten are observed and some of them are identified. A magnetic forbidden line from highly ionized tungsten ions has been examined and Cd-like WXXVII (W26+) at 3893.7Å is identified as the ground-term fine-structure transition of 4f23H5-3H4. The possibility of α particle diagnostic in D-T burning plasmas using the magnetic forbidden line is discussed.

  11. A study of tungsten spectra using large helical device and compact electron beam ion trap in NIFS

    International Nuclear Information System (INIS)

    Morita, S.; Goto, M.; Murakami, I.; Dong, C. F.; Kato, D.; Sakaue, H. A.; Oishi, T.; Hasuo, M.; Koike, F.; Nakamura, N.; Sasaki, A.; Wang, E. H.

    2013-01-01

    Tungsten spectra have been observed from Large Helical Device (LHD) and Compact electron Beam Ion Trap (CoBIT) in wavelength ranges of visible to EUV. The EUV spectra with unresolved transition array (UTA), e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W +24-+33 , measured from LHD plasmas are compared with those measured from CoBIT with monoenergetic electron beam (≤2keV). The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The C-R model code has been developed to explain the UTA spectra in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database code to examine the ionization balance determined by ionization and recombination rate coefficients. As the first trial, analysis of the tungsten density in LHD plasmas is attempted from radial profile of Zn-like WXLV (W 44+ ) 4p-4s transition at 60.9Å based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5×10 10 cm −3 at the plasma center is reasonably obtained. In order to observe the spectra from tungsten ions in lower-ionized charge stages, which can give useful information on the tungsten influx in fusion plasmas, the ablation cloud of the impurity pellet is directly measured with visible spectroscopy. A lot of spectra from neutral and singly ionized tungsten are observed and some of them are identified. A magnetic forbidden line from highly ionized tungsten ions has been examined and Cd-like WXXVII (W 26+ ) at 3893.7Å is identified as the ground-term fine-structure transition of 4f 23 H 5 - 3 H 4 . The possibility of α particle diagnostic in D-T burning plasmas using the magnetic forbidden line is discussed

  12. A study of tungsten spectra using large helical device and compact electron beam ion trap in NIFS

    Energy Technology Data Exchange (ETDEWEB)

    Morita, S.; Goto, M.; Murakami, I. [National Institute for Fusion Science, Toki 509-5292, Gifu (Japan); Department of Fusion Science, Graduate University for Advanced Studies, Toki 509-5292, Gifu (Japan); Dong, C. F.; Kato, D.; Sakaue, H. A.; Oishi, T. [National Institute for Fusion Science, Toki 509-5292, Gifu (Japan); Hasuo, M. [Department of Mechanical Engineering and Science, Graduate School of Engineering, Kyoto University, Kyoto 606-8501 (Japan); Koike, F. [Physics Laboratory, School of Medicine, Kitasato University, Sagamihara 252-0374 (Japan); Nakamura, N. [Institute of Laser Science, University of Electro-Communications, Tokyo 182-8585 (Japan); Sasaki, A. [Quantum Beam Science Directorate, Japan Atomic Energy Research Agency, Kizugawa 619-0215, Kyoto (Japan); Wang, E. H. [Department of Fusion Science, Graduate University for Advanced Studies, Toki 509-5292, Gifu (Japan)

    2013-07-11

    Tungsten spectra have been observed from Large Helical Device (LHD) and Compact electron Beam Ion Trap (CoBIT) in wavelength ranges of visible to EUV. The EUV spectra with unresolved transition array (UTA), e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W{sup +24-+33}, measured from LHD plasmas are compared with those measured from CoBIT with monoenergetic electron beam ({<=}2keV). The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The C-R model code has been developed to explain the UTA spectra in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database code to examine the ionization balance determined by ionization and recombination rate coefficients. As the first trial, analysis of the tungsten density in LHD plasmas is attempted from radial profile of Zn-like WXLV (W{sup 44+}) 4p-4s transition at 60.9A based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5 Multiplication-Sign 10{sup 10}cm{sup -3} at the plasma center is reasonably obtained. In order to observe the spectra from tungsten ions in lower-ionized charge stages, which can give useful information on the tungsten influx in fusion plasmas, the ablation cloud of the impurity pellet is directly measured with visible spectroscopy. A lot of spectra from neutral and singly ionized tungsten are observed and some of them are identified. A magnetic forbidden line from highly ionized tungsten ions has been examined and Cd-like WXXVII (W{sup 26+}) at 3893.7A is identified as the ground-term fine-structure transition of 4f{sup 23}H{sub 5}-{sup 3}H{sub 4}. The possibility of {alpha} particle diagnostic in D-T burning plasmas using the magnetic forbidden line is discussed.

  13. EUV FLICKERING OF SOLAR CORONAL LOOPS: A NEW DIAGNOSTIC OF CORONAL HEATING

    Energy Technology Data Exchange (ETDEWEB)

    Tajfirouze, E.; Reale, F.; Peres, G. [Dipartimento di Fisica e Chimica, Università di Palermo, Piazza del Parlamento 1, I-90134 (Italy); Testa, P., E-mail: reale@astropa.unipa.it [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States)

    2016-02-01

    A previous work of ours found the best agreement between EUV light curves observed in an active region core (with evidence of super-hot plasma) and those predicted from a model with a random combination of many pulse-heated strands with a power-law energy distribution. We extend that work by including spatially resolved strand modeling and by studying the evolution of emission along the loops in the EUV 94 Å and 335 Å channels of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Using the best parameters of the previous work as the input of the present one, we find that the amplitude of the random fluctuations driven by the random heat pulses increases from the bottom to the top of the loop in the 94 Å channel and from the top to the bottom in the 335 Å channel. This prediction is confirmed by the observation of a set of aligned neighboring pixels along a bright arc of an active region core. Maps of pixel fluctuations may therefore provide easy diagnostics of nanoflaring regions.

  14. Enhancement of the EUV emission of a metallic capillary discharge operated with argon ambient gas

    Energy Technology Data Exchange (ETDEWEB)

    Chan, L. S., E-mail: lschan1982@yahoo.com; Tan, D., E-mail: lschan1982@yahoo.com; Saboohi, S., E-mail: lschan1982@yahoo.com; Yap, S. L., E-mail: lschan1982@yahoo.com; Wong, C. S., E-mail: lschan1982@yahoo.com [Plasma Technology Research Centre, Physics Department, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2014-03-05

    In this work, the metallic capillary discharge is operated with two different ambients: air and argon. In the experiments reported here, the chamber is first evacuated to 10{sup −5} mbar. The discharge is initiated by the transient hollow cathode effect generated electron beam, with either air ambient or argon ambient at 10{sup −4} mbar. The bombardment of electron beam at the tip of the stainless steel anode gives rise to a metallic vapor, which is injected into the capillary and initiates the main discharge through the capillary. The EUV emission is measured for different discharge voltages for both conditions and compared. It is found that the metallic capillary discharge with argon ambientis able to produce higher EUV energy compared to that with air ambient.

  15. Rocket-borne EUV-visible emission measurements

    International Nuclear Information System (INIS)

    Schmidtke, G.; Baker, K.D.; Stasek, G.

    1982-01-01

    Two rocket-borne experiments for measuring EUV atmospheric emissions have been conducted. The first measured emissions at 391.4 nm and 557.7 nm, and the second measured emissions in the range from 50 to 650 nm. Height profiles of selected auroral emissions from atomic oxygen at 130.4 nm (exhibiting resonant radiation diffusion) and from atomic oxygen at 557.7 nm, and from neutral and ionized molecular nitrogen are shown. Some details of the recorded spectra are given. In the shorter wavelength regions, emissions from atomic oxygen and nitrogen dominate. Over 140 nm, Lyman-Birge-Hopfield bands, second positive bands and Vegard-Kaplan bands of molecular nitrogen contribute most strongly except for some atomic lines. The Lyman-Birge-Hopfield bands of molecular nitrogen are relatively weak during the auroral arc as compared to the diffuse aurora

  16. The EUV spectrophotometer on Atmosphere Explorer.

    Science.gov (United States)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  17. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  18. ROSAT EUV and soft X-ray studies of atmospheric composition and structure in G191-B2B

    Science.gov (United States)

    Barstow, M. A.; Fleming, T. A.; Finley, D. S.; Koester, D.; Diamond, C. J.

    1993-01-01

    Previous studies of the hot DA white dwarf GI91-B2B have been unable to determine whether the observed soft X-ray and EUV opacity arises from a stratified hydrogen and helium atmosphere or from the presence of trace metals in the photosphere. New EUV and soft X-ray photometry of this star, made with the ROSAT observatory, when analyzed in conjunction with the earlier data, shows that the stratified models cannot account for the observed fluxes. Consequently, we conclude that trace metals must be a substantial source of opacity in the photosphere of G191-B2B.

  19. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  20. Average subentropy, coherence and entanglement of random mixed quantum states

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Lin, E-mail: godyalin@163.com [Institute of Mathematics, Hangzhou Dianzi University, Hangzhou 310018 (China); Singh, Uttam, E-mail: uttamsingh@hri.res.in [Harish-Chandra Research Institute, Allahabad, 211019 (India); Pati, Arun K., E-mail: akpati@hri.res.in [Harish-Chandra Research Institute, Allahabad, 211019 (India)

    2017-02-15

    Compact expressions for the average subentropy and coherence are obtained for random mixed states that are generated via various probability measures. Surprisingly, our results show that the average subentropy of random mixed states approaches the maximum value of the subentropy which is attained for the maximally mixed state as we increase the dimension. In the special case of the random mixed states sampled from the induced measure via partial tracing of random bipartite pure states, we establish the typicality of the relative entropy of coherence for random mixed states invoking the concentration of measure phenomenon. Our results also indicate that mixed quantum states are less useful compared to pure quantum states in higher dimension when we extract quantum coherence as a resource. This is because of the fact that average coherence of random mixed states is bounded uniformly, however, the average coherence of random pure states increases with the increasing dimension. As an important application, we establish the typicality of relative entropy of entanglement and distillable entanglement for a specific class of random bipartite mixed states. In particular, most of the random states in this specific class have relative entropy of entanglement and distillable entanglement equal to some fixed number (to within an arbitrary small error), thereby hugely reducing the complexity of computation of these entanglement measures for this specific class of mixed states.

  1. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    Science.gov (United States)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  2. EUV actinic defect inspection and defect printability at the sub-32 nm half pitch

    Energy Technology Data Exchange (ETDEWEB)

    Huh, Sungmin; Kearney, Patrick; Wurm, Stefan; Goodwin, Frank; Han, Hakseung; Goldberg, Kenneth; Mochi, Iacopp; Gullikson, Eric M.

    2009-08-01

    Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

  3. High Quality, Low-Scatter SiC Optics Suitable for Space-based UV & EUV Applications, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — SSG Precision Optronics proposes the development and demonstration of a new optical fabrication process for the production of EUV quality Silicon Carbide (SiC)...

  4. Method for the manufacture of phase shifting masks for EUV lithography

    Science.gov (United States)

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  5. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    International Nuclear Information System (INIS)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A.K.; Mohan, Man

    2015-01-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac–Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications. - Highlights: • 113 Lowest levels for Sr XXX are calculated. • Extreme Ultraviolet (EUV) and soft-X ray (SXR) spectral lines are identified. • Wavelengths of EUV and SXR spectral lines are reported. • E1, E2, M1 and M2 transition rates, oscillator strengths and lines strengths for lowest 113 levels are presented. • Lifetimes for lowest 113 fine structure levels are provided

  6. From cardinal spline wavelet bases to highly coherent dictionaries

    International Nuclear Information System (INIS)

    Andrle, Miroslav; Rebollo-Neira, Laura

    2008-01-01

    Wavelet families arise by scaling and translations of a prototype function, called the mother wavelet. The construction of wavelet bases for cardinal spline spaces is generally carried out within the multi-resolution analysis scheme. Thus, the usual way of increasing the dimension of the multi-resolution subspaces is by augmenting the scaling factor. We show here that, when working on a compact interval, the identical effect can be achieved without changing the wavelet scale but reducing the translation parameter. By such a procedure we generate a redundant frame, called a dictionary, spanning the same spaces as a wavelet basis but with wavelets of broader support. We characterize the correlation of the dictionary elements by measuring their 'coherence' and produce examples illustrating the relevance of highly coherent dictionaries to problems of sparse signal representation. (fast track communication)

  7. Reflectivity and surface roughness of multilayer-coated substrate recovery layers for EUV lithographic optics

    NARCIS (Netherlands)

    Nedelcu, I.; van de Kruijs, R.W.E.; Yakshin, A. E.; von Blanckenhagen, G.; F. Bijkerk,

    2008-01-01

    We investigated the use of separation, or substrate recovery, layers (SRLs), to enable the reuse of optical substrates after the deposition of multilayer reflective coatings, in particular Mo/Si multilayers as used for EUV lithography. An organic material (polyimide), known from other work to reduce

  8. On-line spectral diagnostic system for Dalian Coherent Light Source

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chaoyang; Wei, Shen; Du, Xuewei [Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Du, Liangliang [National Synchrotron Radiation Laboratory, University of Science & Technology of China, Hefei, Anhui 230029 (China); Wang, Qiuping, E-mail: qiuping@ustc.edu.cn [Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Zhang, Weiqing; Wu, Guorong; Dai, Dongxu [Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Yang, Xueming, E-mail: xmyang@dicp.ac.cn [Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China)

    2015-05-21

    The Dalian Coherent Light Source (DCLS) is a Free electron laser (FEL) user facility currently under construction in the northeast of China. It is designed to work on high gain high harmonic principle with the capability of wavelength continuously tunable in the EUV regime of 50–150 nm. The light source has unique features such as the turntable radiation frequency, wide spectral range, high brightness and peak power, very short pulse time structure, etc. A key diagnostic task in DCLS is the on-line source spectral characteristic recording during the source development, and for the definition of the experimental conditions. For this purpose, an online grazing incidence spectrometer with a toroidal mirror and a variable-line-spacing plane grating is designed and presented in this paper to monitor each single FEL pulse. A circular stage is chosen to fit the focal curve and to realize the wavelength scanning. This scanning mechanics is simpler and stable. Resolving power (λ/Δλ) of this spectrometer is better than 12,000 in the whole wavelength range.

  9. On-line spectral diagnostic system for Dalian Coherent Light Source

    International Nuclear Information System (INIS)

    Li, Chaoyang; Wei, Shen; Du, Xuewei; Du, Liangliang; Wang, Qiuping; Zhang, Weiqing; Wu, Guorong; Dai, Dongxu; Yang, Xueming

    2015-01-01

    The Dalian Coherent Light Source (DCLS) is a Free electron laser (FEL) user facility currently under construction in the northeast of China. It is designed to work on high gain high harmonic principle with the capability of wavelength continuously tunable in the EUV regime of 50–150 nm. The light source has unique features such as the turntable radiation frequency, wide spectral range, high brightness and peak power, very short pulse time structure, etc. A key diagnostic task in DCLS is the on-line source spectral characteristic recording during the source development, and for the definition of the experimental conditions. For this purpose, an online grazing incidence spectrometer with a toroidal mirror and a variable-line-spacing plane grating is designed and presented in this paper to monitor each single FEL pulse. A circular stage is chosen to fit the focal curve and to realize the wavelength scanning. This scanning mechanics is simpler and stable. Resolving power (λ/Δλ) of this spectrometer is better than 12,000 in the whole wavelength range

  10. EUV observations of the active Sun from the Havard experiment on ATM

    International Nuclear Information System (INIS)

    Noyes, R.W.; Foukal, P.V.; Huber, M.C.E.; Reeves, E.M.; Schmahl, E.J.; Timothy, J.G.; Vernazza, J.E.; Withbroe, G.L.

    1975-01-01

    The authors review some preliminary results from the Harvard College Observatory Extreme Ultraviolet Spectroheliometer on ATM that pertain to solar activity. The results reviewed are described in more detail in other papers referred to in the text. They first describe the instrument and its capabilities, and then turm to results on active regions, sunspots, flares, EUV bright points, coronal holes, and prominences. (Auth.)

  11. COHERENT LIDAR SYSTEM BASED ON A SEMICONDUCTOR LASER AND AMPLIFIER

    DEFF Research Database (Denmark)

    2009-01-01

    The present invention relates to a compact, reliable and low-cost coherent LIDAR (Light Detection And Ranging) system for remote wind-speed determination, determination of particle concentration, and/or temperature based on an all semiconductor light source and related methods. The present...... invention provides a coherent LIDAR system comprising a semiconductor laser for emission of a measurement beam of electromagnetic radiation directed towards a measurement volume for illumination of particles in the measurement volume, a reference beam generator for generation of a reference beam, a detector...... for generation of a detector signal by mixing of the reference beam with light emitted from the particles in the measurement volume illuminated by the measurement beam, and a signal processor for generating a velocity signal corresponding to the velocity of the particles based on the detector signal....

  12. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    Energy Technology Data Exchange (ETDEWEB)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A. [Section of Astrogeophysics, Department of Physics, University of Ioannina, 45110 Ioannina (Greece); Vourlidas, A. [The Johns Hopkins University Applied Physics Laboratory, Laurel, MD 20723 (United States); Anastasiadis, A.; Sandberg, I. [Institute for Astronomy, Astrophysics, Space Applications and Remote Sensing, National Observatory of Athens, 15236 Penteli (Greece); Hillaris, A. [Section of Astrophysics, Astronomy and Mechanics, Department of Physics, National and Kapodistrian University of Athens, 15783 Athens (Greece)

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUV waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.

  13. Efficient temporal compression of coherent nanosecond pulses in compact SBS generator-amplifier setup

    OpenAIRE

    Schiemann, S.; Ubachs, W.M.G.; Hogervorst, W.

    1997-01-01

    A pulse compressor based on stimulated Brillouin scattering (SBS) in liquids is experimentally and theoretically investigated. It allows for the compression of Fourier-transform limited nanosecond pulses of several hundreds of millijoules of energy with both high conversion efficiency and a high temporal compression factor. The two-cell generator-amplifier arrangement is of a compact design not requiring external attenuation of the generator cell input energy. Pulses from an injection-seeded,...

  14. Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering.

    Science.gov (United States)

    Haase, Anton; Soltwisch, Victor; Braun, Stefan; Laubis, Christian; Scholze, Frank

    2017-06-26

    We investigate the influence of the Mo-layer thickness on the EUV reflectance of Mo/Si mirrors with a set of unpolished and interface-polished Mo/Si/C multilayer mirrors. The Mo-layer thickness is varied in the range from 1.7 nm to 3.05 nm. We use a novel combination of specular and diffuse intensity measurements to determine the interface roughness throughout the multilayer stack and do not rely on scanning probe measurements at the surface only. The combination of EUV and X-ray reflectivity measurements and near-normal incidence EUV diffuse scattering allows to reconstruct the Mo layer thicknesses and to determine the interface roughness power spectral density. The data analysis is conducted by applying a matrix method for the specular reflection and the distorted-wave Born approximation for diffuse scattering. We introduce the Markov-chain Monte Carlo method into the field in order to determine the respective confidence intervals for all reconstructed parameters. We unambiguously detect a threshold thickness for Mo in both sample sets where the specular reflectance goes through a local minimum correlated with a distinct increase in diffuse scatter. We attribute that to the known appearance of an amorphous-to-crystallization transition at a certain thickness threshold which is altered in our sample system by the polishing.

  15. The first detection of ionized helium in the local ISM - EUVE and IUE spectroscopy of the hot DA white dwarf GD 246

    Science.gov (United States)

    Vennes, Stephane; Dupuis, Jean; Rumph, Todd; Drake, Jeremy; Bowyer, Stuart; Chayer, Pierre; Fontaine, Gilles

    1993-01-01

    We report observations of the extreme ultraviolet spectrum of the hot degenerate star GD 246 obtained with the EUVE. Our initial attempt at modeling the photospheric emission from the white dwarf reveals a relatively uncontaminated pure H spectrum in the range above 200 A, allowing a study of interstellar continuum absorption features in the line of sight of GD 246. Modeling of the He I autoionization transition discussed by Rumph et al. (1993), and the EUV continuum using the white dwarf as a source of background radiation provides measurements of both neutral and, for the first time, singly ionized He column densities in the local ISM (LISM). We estimate the He ionization fraction He II/(He I + He II) at roughly 25 percent with a total He column of 1.40-1.65 x 10 exp 18/sq cm. We have measured and compared H I column densities from the saturated Ly-alpha ISM absorption in IUE high-dispersion spectroscopy and from EUV continuum absorption: the two measurements are in good agreement with a total H column of 1.2-1.6 x 10 exp 19/sq cm. We discuss some implications for the nature of the LISM, particularly in the context of current models of the EUV radiation field.

  16. Using synchrotron light to accelerate EUV resist and mask materials learning

    Science.gov (United States)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  17. Detecting EUV transients in near real time with ALEXIS

    Energy Technology Data Exchange (ETDEWEB)

    Roussel-Dupre`, D.; Bloch, J.J.; Theiler, J.; Pfafman, T.; Beauchesne, B.

    1995-12-31

    The Array of Low Energy X-ray Imaging Sensors (ALEXIS) experiment consists of a mini-satellite containing six wide angle EUV/ultrasoft X-ray telescopes (Priedhorsky et al. 1989, and Bloch et al. 1994). Its scientific objective is to map out the sky in three narrow ({Delta}E/E {approx} 5%) bandpasses around 66, 71, and 93 eV. During each 50 second satellite rotation period the six telescopes, each with a 30{degrees} field, of:view and a spatial resolution of 0.25{degrees}, scan most of the antisolar hemisphere of the sky. The project is a collaborative effort between Los Alamos National Laboratory, Sandia National Laboratory, and the University of California-Berkeley Space Sciences Laboratory. It is controlled entirely from a small ground station located at Los Alamos. The mission was launched on a Pegasus Air Launched Vehicle on April 25, 1993. An incident at launch delayed our ability to properly analyze the data until November of 1994. In January of 1995, we brought on line automated software to routinely carry out the transient search. After the data is downlinked from the satellite, the software processes and transforms it into sky maps that are automatically searched for new sources. The software then sends the results of these searches by e-mail to the science team within two hours of the downlink. This system has successfully detected the Cataclysmic Variables VW Hyi, U Gem and AR UMa in outburst, and has detected at least two unidentified short duration EUV transients (Roussel-Dupre et al 1995, Roussel-Dupre 1995).

  18. Nanoscale imaging and optimization of a compact "water window" SXR microscope

    Science.gov (United States)

    Torrisi, Alfio; Wachulak, Przemyslaw; Nawaz, Fahad; Bartnik, Andrzej; Kostecki, Jerzy; Wegrzyński, Lukasz; Jarocki, Roman; Szczurek, Mirosław; Fiedorowicz, Henryk

    2015-05-01

    The wavelength diffraction limit, described by the Rayleigh criterion, can be overcome if short wavelength radiations are employed, thus it is possible to resolve smaller features by the use of radiation in the extreme ultraviolet (EUV) and soft X-ray (SXR) spectral ranges. In particular way, radiation from the "water window" spectral range, which extends between K-absorption edges of carbon and oxygen (280÷540 eV), could be used in order to obtain high-contrast biological imaging. Laser-plasma double stream gas puff target source is suitable for SXR microscopy in the "water window" spectral range, which recently allowed to develop a system, operating at He-like nitrogen spectral line λ=2.88 nm, which permits to obtain images with half-pitch spatial resolution of ˜ 60 nm, exposure time as low as a few seconds and represents an important alternative for high resolution imaging for biomedical applications, material science and nanotechnology using a very compact laser source. The goal of measurements, presented herein, is to show SXR images of various biological samples, proving high contrast in the "water window" and characterize in more detail such compact microscopy system, based on a laser plasma source with a double stream gas puff target and a Fresnel zone plate (FZP) objective. The influence of various acquisition parameters on the quality of the obtained SXR images, expressed in terms of a signal-to-noise (SNR) will be demonstrated. Moreover, because the measurements are performed on SXR images, similar measurements might be performed as a benchmark in order to characterize different imaging systems as well.

  19. Tracking the evolution of a coherent magnetic flux rope continuously from the inner to the outer corona

    International Nuclear Information System (INIS)

    Cheng, X.; Ding, M. D.; Guo, Y.; Zhang, J.; Sun, J. Q.; Li, C.; Vourlidas, A.; Liu, Y. D.; Olmedo, O.

    2014-01-01

    The magnetic flux rope (MFR) is believed to be the underlying magnetic structure of coronal mass ejections (CMEs). However, it remains unclear how an MFR evolves into and forms the multi-component structure of a CME. In this paper, we perform a comprehensive study of an extreme-ultraviolet (EUV) MFR eruption on 2013 May 22 by tracking its morphological evolution, studying its kinematics, and quantifying its thermal property. As EUV brightenings begin, the MFR starts to rise slowly and shows helical threads winding around an axis. Meanwhile, cool filamentary materials descend spirally down to the chromosphere. These features provide direct observational evidence of intrinsically helical structure of the MFR. Through detailed kinematical analysis, we find that the MFR evolution has two distinct phases: a slow rise phase and an impulsive acceleration phase. We attribute the first phase to the magnetic reconnection within the quasi-separatrix layers surrounding the MFR, and the much more energetic second phase to the fast magnetic reconnection underneath the MFR. We suggest that the transition between these two phases is caused by the torus instability. Moreover, we identify that the MFR evolves smoothly into the outer corona and appears as a coherent structure within the white-light CME volume. The MFR in the outer corona was enveloped by bright fronts that originated from plasma pile-up in front of the expanding MFR. The fronts are also associated with the preceding sheath region followed by the outmost MFR-driven shock.

  20. THz Imaging by a Wide-band Compact FEL

    CERN Document Server

    Uk Jeong Young; Cheol Lee Byung; Hee-Park, S

    2004-01-01

    We have developed a laboratory-scale users facility with a compact THz FEL. The FEL operates in the wide wavelength range of 100–1200 μm, which corresponds to 0.3-3 THz. THz radiation from the FEL shows well collimated Gaussian spatial distribution and narrow spectral width of 0.3 μm, which is Fourier transform limited by the estimated pulse duration of 20 ps. The main application of the FEL is THz imaging for bio-medical researches. We are developing THz imaging techniques by 2-D scanning, single pulse capturing with the electro-optic method, and 3-D holography. High power, coherent, and pulsed feature of the FEL radiation is expected to show much better performance in advanced THz imaging of 3-D tomography by comparing with incoherent and weak THz sources. By controlling the optical delay between reference beam and scattered light from an object, we can get its 3-D tomography by the holograms. The coherent and pulse length of the FEL beam is measured to be 3-6 mm. In this paper we will show a...

  1. Development and Utilization of Bright Tabletop Sources of Coherent Soft X-Ray Radiation

    International Nuclear Information System (INIS)

    Rocca, Jorge J.

    2005-01-01

    This project investigated aspects of the development and utilization of compact XUV sources based on fast capillary discharges and high order harmonic up conversion. These sources are very compact, yet can generate soft x-ray radiation with peak spectral brightness several orders of magnitude larger than a synchrotron beam lines. The work has included the characterization of some of the important parameters that enable the use of these sources in unique applications, such as the degree of spatial coherence and the wavefront characteristics that affect their focusing capabilities. In relation to source development, they have recently completed preliminary work towards exploring the generation of high harmonics in a pre-ionized medium created by a capillary discharge. Since ions are more difficult to ionize than neutral atoms, the use of pre-ionized nonlinear media may lead to the generation of coherent light at > 1 KeV photon energy. Recent application results include the first study of the damage threshold and damage mechanism of XUV mirrors exposed to intense focalized 46.9 nm laser radiation, and the study of the ablation of polymers with soft x-ray laser light

  2. The effect of an accretion disk on coherent pulsed emission from weakly magnetized neutron stars

    International Nuclear Information System (INIS)

    Asaoka, Ikuko; Hoshi, Reiun.

    1989-01-01

    Using a simple model for hot spots formed on the magnetic polar regions we calculate the X-ray pulse profiles expected from bright low-mass X-ray binaries. We assume that neutron stars in close binary systems are surrounded by accretion disks extending down in the vicinity of their surfaces. Even partial eclipses of a hot spot by the accretion disk change the coherent pulsed fraction and, in some cases, the phase of pulsations by almost 180deg. Coherent pulsations are clearly seen even for sufficiently compact model neutron stars, if the hot spots emit isotropic or fan-beam radiation. In the case of pencil-beam radiation, coherent pulsations are also seen if the cap-opening angle is less than ∼60deg, while the inclination angle is larger than 68deg. Gravitational lensing alone does not smear coherent pulsations in moderately weak magnetized neutron stars in the presence of an absorbing accretion disk. (author)

  3. 3D wide field-of-view Gabor-domain optical coherence microscopy advancing real-time in-vivo imaging and metrology

    Science.gov (United States)

    Canavesi, Cristina; Cogliati, Andrea; Hayes, Adam; Tankam, Patrice; Santhanam, Anand; Rolland, Jannick P.

    2017-02-01

    Real-time volumetric high-definition wide-field-of-view in-vivo cellular imaging requires micron-scale resolution in 3D. Compactness of the handheld device and distortion-free images with cellular resolution are also critically required for onsite use in clinical applications. By integrating a custom liquid lens-based microscope and a dual-axis MEMS scanner in a compact handheld probe, Gabor-domain optical coherence microscopy (GD-OCM) breaks the lateral resolution limit of optical coherence tomography through depth, overcoming the tradeoff between numerical aperture and depth of focus, enabling advances in biotechnology. Furthermore, distortion-free imaging with no post-processing is achieved with a compact, lightweight handheld MEMS scanner that obtained a 12-fold reduction in volume and 17-fold reduction in weight over a previous dual-mirror galvanometer-based scanner. Approaching the holy grail of medical imaging - noninvasive real-time imaging with histologic resolution - GD-OCM demonstrates invariant resolution of 2 μm throughout a volume of 1 x 1 x 0.6 mm3, acquired and visualized in less than 2 minutes with parallel processing on graphics processing units. Results on the metrology of manufactured materials and imaging of human tissue with GD-OCM are presented.

  4. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    Science.gov (United States)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-04-01

    Gas giants' early (≲ 5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲ 2 MJ planets interior to 5 AU in the FUV scenario, a sharp concentration of ≲ 3 MJ planets between ≈1.5 - 2 AU in the EUV case, and a relative abundance of ≈2 - 3.5 MJ giants interior to 0.5 AU in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, though our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  5. Surface modification by EUV laser beam based on capillary discharge

    Czech Academy of Sciences Publication Activity Database

    Frolov, Oleksandr; Koláček, Karel; Schmidt, Jiří; Štraus, Jaroslav; Prukner, Václav; Shukurov, A.

    -, č. 58 (2011), s. 484-487 ISSN 2010-376X. [International Conference on Fusion and Plasma Physics. Bali, Indonésie, 26.10.2011-28.10.2011] R&D Projects: GA AV ČR KAN300100702; GA MŠk LA08024; GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z20430508 Keywords : soft x-ray * EUV * laser * radiation * source * capillary * discharge * plasma * ablation * surface modification Subject RIV: BL - Plasma and Gas Discharge Physics http://www.waset.org/journals/waset/v58/v58-99.pdf

  6. Spectral calibration of filters and detectors of solar EUV telescope for 13.2 nm for the TESIS experiment

    International Nuclear Information System (INIS)

    Kuzin, S.V.; Shestov, S.V.; Pertsov, A.A.; Reva, A.A.; Zuev, S.Yu.; Lopatin, A.Ya.; Luchin, V.I.; Zhou, Kh.; Khuo, T.

    2008-01-01

    The full-sun EUV telescope for 13.2 nm spectral band for the TESIS experiment is designed to produce images of hot coronal plasma (T ∼ 10 MK). Calibration process of optical elements is presented. Spectral transmission of multilayer Zr/Si filters, sensitivity and radiation tolerance of CCD detector have been measured. Peak transmission of EUV filters in working, spectral band reaches 40-50% (filters with 50 and 55 layers are used), spectral dependence of transmission is close to calculated one. Transmission of filters in white light is equal to (1-2)x10 -6 . Sensitivity of CCD ranges from 0.01 to 0.1 ADC units per photon, radiation tolerance is better than 10 9 rad [ru

  7. Coherent synchrotron radiation and bunch stability in a compact storage ring

    Directory of Open Access Journals (Sweden)

    Marco Venturini

    2005-01-01

    Full Text Available We examine the effect of the collective force due to coherent synchrotron radiation (CSR in an electron storage ring with small bending radius. In a computation based on time-domain integration of the nonlinear Vlasov equation, we find the threshold current for a longitudinal microwave instability induced by CSR alone. The model accounts for suppression of radiation at long wavelengths due to shielding by the vacuum chamber. In a calculation just above threshold, small ripples in the charge distribution build up over a fraction of a synchrotron period, but then die out to yield a relatively smooth but altered distribution with eventual oscillations in bunch length. The instability evolves from small noise on an initial smooth bunch of rms length much greater than the shielding cutoff.

  8. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  9. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Directory of Open Access Journals (Sweden)

    Saber Ismail

    2018-01-01

    Full Text Available Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE, the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  10. Stellar observations with the Voyager EUV objective grating spectrograph

    International Nuclear Information System (INIS)

    Holberg, J.B.; Polidan, R.S.; Barry, D.C.

    1986-01-01

    During the periods of interplanetary cruise the Voyager ultraviolet spectrometers are used to provide unique and otherwise unobtainable observations in the extreme ultraviolet (EUV, 500 to 1200) and the far ultraviolet (FUV, 912 to 1220 A). These observations include the spectra of hot stellar sources as well as emission from the interplanetary medium. Recent results of note include: (1) extensive spectrophotometric coverage of a superoutburst of the dwarf nova VW Hydri, which showed a clear 1/2 day delay in the outburst at 1000 A relative to that observed in the optical and a curious dip in the FUV light curve near maximum light. The Voyager observations were part of a comprehensive and highly successful campaign involving EXOSAT, IUE and ground based observations of this dwarf nova; (2) a comprehensive study of Be star spectra and variability. These results show the critical importance of FUV observations in the study of the effects of stellar rotation in hot stars; (3) the detection of a strong O VI absorption feature in the spectrum of the PG 1159-like object H1504+65. This detection along with the optical identification of weak O IV lines was a key to the interpretation of this object; which is of extremely high (>150,000K) temperature and appears to be a unique example of a stellar atmosphere devoid of H and He; (4) an analysis of an extremely long duration spectrum of the EUV and FUV sky background, which establishes important new upper limits on both continuum and line emission. This result also provide the first detection of interplanetary Lyman gamma

  11. Performance comparison of multi-detector detection statistics in targeted compact binary coalescence GW search

    OpenAIRE

    Haris, K; Pai, Archana

    2016-01-01

    Global network of advanced Interferometric gravitational wave (GW) detectors are expected to be on-line soon. Coherent observation of GW from a distant compact binary coalescence (CBC) with a network of interferometers located in different continents give crucial information about the source such as source location and polarization information. In this paper we compare different multi-detector network detection statistics for CBC search. In maximum likelihood ratio (MLR) based detection appro...

  12. Analysis of laboratory compaction methods of roller compacted concrete

    Science.gov (United States)

    Trtík, Tomáš; Chylík, Roman; Bílý, Petr; Fládr, Josef

    2017-09-01

    Roller-Compacted Concrete (RCC) is an ordinary concrete poured and compacted with machines typically used for laying of asphalt road layers. One of the problems connected with this technology is preparation of representative samples in the laboratory. The aim of this work was to analyse two methods of preparation of RCC laboratory samples with bulk density as the comparative parameter. The first method used dynamic compaction by pneumatic hammer. The second method of compaction had a static character. The specimens were loaded by precisely defined force in laboratory loading machine to create the same conditions as during static rolling (in the Czech Republic, only static rolling is commonly used). Bulk densities obtained by the two compaction methods were compared with core drills extracted from real RCC structure. The results have shown that the samples produced by pneumatic hammer tend to overestimate the bulk density of the material. For both compaction methods, immediate bearing index test was performed to verify the quality of compaction. A fundamental difference between static and dynamic compaction was identified. In static compaction, initial resistance to penetration of the mandrel was higher, after exceeding certain limit the resistance was constant. This means that the samples were well compacted just on the surface. Specimens made by pneumatic hammer actively resisted throughout the test, the whole volume was uniformly compacted.

  13. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  14. Modulating the amplitude and phase of the complex spectral degree of coherence with plasmonic interferometry

    Science.gov (United States)

    Li, Dongfang; Pacifici, Domenico

    The spectral degree of coherence describes the correlation of electromagnetic fields, which plays a key role in many applications, including free-space optical communications and speckle-free bioimaging. Recently, plasmonic interferometry, i.e. optical interferometry that employs surface plasmon polaritons (SPPs), has enabled enhanced light transmission and high-sensitivity biosensing, among other applications. It offers new ways to characterize and engineer electromagnetic fields using nano-structured thin metal films. Here, we employ plasmonic interferometry to demonstrate full control of spatial coherence at length scales comparable to the wavelength of the incident light. Specifically, by measuring the diffraction pattern of several double-slit plasmonic structures etched on a metal film, the amplitude and phase of the degree of spatial coherence is determined as a function of slit-slit separation distance and incident wavelength. When the SPP contribution is turned on (i.e., by changing the polarization of the incident light from TE to TM illumination mode), strong modulation of both amplitude and phase of the spatial coherence is observed. These findings may help design compact modulators of optical spatial coherence and other optical elements to shape the light intensity in the far-field.

  15. Analysis of Fringe Field Formed Inside LDA Measurement Volume Using Compact Two Hololens Imaging Systems

    Science.gov (United States)

    Ghosh, Abhijit; Nirala, A. K.; Yadav, H. L.

    2018-03-01

    We have designed and fabricated four LDA optical setups consisting of aberration compensated four different compact two hololens imaging systems. We have experimentally investigated and realized a hololens recording geometry which is interferogram of converging spherical wavefront with mutually coherent planar wavefront. Proposed real time monitoring and actual fringe field analysis techniques allow complete characterizations of fringes formed at measurement volume and permit to evaluate beam quality, alignment and fringe uniformity with greater precision. After experimentally analyzing the fringes formed at measurement volume by all four imaging systems, it is found that fringes obtained using compact two hololens imaging systems get improved both qualitatively and quantitatively compared to that obtained using conventional imaging system. Results indicate qualitative improvement of non-uniformity in fringe thickness and micro intensity variations perpendicular to the fringes, and quantitative improvement of 39.25% in overall average normalized standard deviations of fringe width formed by compact two hololens imaging systems compare to that of conventional imaging system.

  16. Measurements of EUV coronal holes and open magnetic flux

    International Nuclear Information System (INIS)

    Lowder, C.; Qiu, J.; Leamon, R.; Liu, Y.

    2014-01-01

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10 22 Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10 22 Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  17. CORONAL MAGNETIC FIELDS DERIVED FROM SIMULTANEOUS MICROWAVE AND EUV OBSERVATIONS AND COMPARISON WITH THE POTENTIAL FIELD MODEL

    Energy Technology Data Exchange (ETDEWEB)

    Miyawaki, Shun; Nozawa, Satoshi [Department of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Iwai, Kazumasa; Shibasaki, Kiyoto [Nobeyama Solar Radio Observatory, National Astronomical Observatory of Japan, Minamimaki, Nagano 384-1305 (Japan); Shiota, Daikou, E-mail: shunmi089@gmail.com [Solar-Terrestrial Environment Laboratory, Nagoya University, Nagoya, Aichi 464-8601 (Japan)

    2016-02-10

    We estimated the accuracy of coronal magnetic fields derived from radio observations by comparing them to potential field calculations and the differential emission measure measurements using EUV observations. We derived line-of-sight components of the coronal magnetic field from polarization observations of the thermal bremsstrahlung in the NOAA active region 11150, observed around 3:00 UT on 2011 February 3 using the Nobeyama Radioheliograph at 17 GHz. Because the thermal bremsstrahlung intensity at 17 GHz includes both chromospheric and coronal components, we extracted only the coronal component by measuring the coronal emission measure in EUV observations. In addition, we derived only the radio polarization component of the corona by selecting the region of coronal loops and weak magnetic field strength in the chromosphere along the line of sight. The upper limits of the coronal longitudinal magnetic fields were determined as 100–210 G. We also calculated the coronal longitudinal magnetic fields from the potential field extrapolation using the photospheric magnetic field obtained from the Helioseismic and Magnetic Imager. However, the calculated potential fields were certainly smaller than the observed coronal longitudinal magnetic field. This discrepancy between the potential and the observed magnetic field strengths can be explained consistently by two reasons: (1) the underestimation of the coronal emission measure resulting from the limitation of the temperature range of the EUV observations, and (2) the underestimation of the coronal magnetic field resulting from the potential field assumption.

  18. Negative-tone imaging with EUV exposure for 14nm hp and beyond

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Fujimori, Toru; Momota, Makoto; Goto, Takahiro

    2015-03-01

    Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI

  19. Uncooled Radiation Hard Large Area SiC X-ray and EUV Detectors and 2D Arrays, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — This project seeks to design, fabricate, characterize and commercialize large area, uncooled and radiative hard 4H-SiC EUV ? soft X-ray detectors capable of ultra...

  20. Plans for the extreme ultraviolet explorer data base

    Science.gov (United States)

    Marshall, Herman L.; Dobson, Carl A.; Malina, Roger F.; Bowyer, Stuart

    1988-01-01

    The paper presents an approach for storage and fast access to data that will be obtained by the Extreme Ultraviolet Explorer (EUVE), a satellite payload scheduled for launch in 1991. The EUVE telescopes will be operated remotely from the EUVE Science Operation Center (SOC) located at the University of California, Berkeley. The EUVE science payload consists of three scanning telescope carrying out an all-sky survey in the 80-800 A spectral region and a Deep Survey/Spectrometer telescope performing a deep survey in the 80-250 A spectral region. Guest Observers will remotely access the EUVE spectrometer database at the SOC. The EUVE database will consist of about 2 X 10 to the 10th bytes of information in a very compact form, very similar to the raw telemetry data. A history file will be built concurrently giving telescope parameters, command history, attitude summaries, engineering summaries, anomalous events, and ephemeris summaries.

  1. Actinic inspection of EUV reticles with arbitrary pattern design

    Science.gov (United States)

    Mochi, Iacopo; Helfenstein, Patrick; Rajeev, Rajendran; Fernandez, Sara; Kazazis, Dimitrios; Yoshitake, Shusuke; Ekinci, Yasin

    2017-10-01

    The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.

  2. Coherent Doppler lidar for automated space vehicle, rendezvous, station-keeping and capture

    Science.gov (United States)

    Dunkin, James A.

    1991-01-01

    Recent advances in eye-safe, short wavelength solid-state lasers offer real potential for the development of compact, reliable, light-weight, efficient coherent lidar. Laser diode pumping of these devices has been demonstrated, thereby eliminating the need for flash lamp pumping, which has been a major drawback to the use of these lasers in space based applications. Also these lasers now have the frequency stability required to make them useful in coherent lidar, which offers all of the advantages of non-coherent lidar, but with the additional advantage that direct determination of target velocity is possible by measurement of the Doppler shift. By combining the Doppler velocity measurement capability with the inherent high angular resolution and range accuracy of lidar it is possible to construct Doppler images of targets for target motion assessment. A coherent lidar based on a Tm,Ho:YAG 2-micrometer wavelength laser was constructed and successfully field tested on atmospheric targets in 1990. This lidar incorporated an all solid state (laser diode pumped) master oscillator, in conjunction with a flash lamp pumped slave oscillator. Solid-state laser technology is rapidly advancing, and with the advent of high efficiency, high power, semiconductor laser diodes as pump sources, all-solid-state, coherent lidars are a real possibility in the near future. MSFC currently has a feasibility demonstration effort under way which will involve component testing, and preliminary design of an all-solid-state, coherent lidar for automatic rendezvous, and capture. This two year effort, funded by the Director's Discretionary Fund is due for completion in 1992.

  3. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    Science.gov (United States)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  4. Simultaneous measurement of group refractive index and thickness of optical samples using optical coherence tomography

    International Nuclear Information System (INIS)

    Cheng, Hsu-Chih; Liu, Yi-Cheng

    2010-01-01

    Optical coherence tomography (OCT), based on a Michelson interferometer and utilizing low coherence light as the optical source, is a novel technique for the noninvasive imaging of optical scattering media. A simple OCT scheme based on a 3x3 fiber coupler is presented for the simultaneous measurement of the refractive index and thickness of optical samples. The proposed system enables the refractive index and thickness to be determined without any prior knowledge of the sample parameters and is characterized by a simple and compact configuration, a straightforward measurement procedure, and a low cost. The feasibility of the proposed approach is demonstrated experimentally using BK7 and B270 optical glass samples.

  5. Coherent cavity-enhanced dual-comb spectroscopy.

    Science.gov (United States)

    Fleisher, Adam J; Long, David A; Reed, Zachary D; Hodges, Joseph T; Plusquellic, David F

    2016-05-16

    Dual-comb spectroscopy allows for the rapid, multiplexed acquisition of high-resolution spectra without the need for moving parts or low-resolution dispersive optics. This method of broadband spectroscopy is most often accomplished via tight phase locking of two mode-locked lasers or via sophisticated signal processing algorithms, and therefore, long integration times of phase coherent signals are difficult to achieve. Here we demonstrate an alternative approach to dual-comb spectroscopy using two phase modulator combs originating from a single continuous-wave laser capable of > 2 hours of coherent real-time averaging. The dual combs were generated by driving the phase modulators with step-recovery diodes where each comb consisted of > 250 teeth with 203 MHz spacing and spanned > 50 GHz region in the near-infrared. The step-recovery diodes are passive devices that provide low-phase-noise harmonics for efficient coupling into an enhancement cavity at picowatt optical powers. With this approach, we demonstrate the sensitivity to simultaneously monitor ambient levels of CO2, CO, HDO, and H2O in a single spectral region at a maximum acquisition rate of 150 kHz. Robust, compact, low-cost and widely tunable dual-comb systems could enable a network of distributed multiplexed optical sensors.

  6. FIRST MEASUREMENTS OF THE MASS OF CORONAL MASS EJECTIONS FROM THE EUV DIMMING OBSERVED WITH STEREO EUVI A+B SPACECRAFT

    International Nuclear Information System (INIS)

    Aschwanden, Markus J.; Nitta, Nariaki V.; Wuelser, Jean-Pierre; Lemen, James R.; Sandman, Anne; Vourlidas, Angelos; Colaninno, Robin C.

    2009-01-01

    The masses of coronal mass ejections (CMEs) have traditionally been determined from white-light coronagraphs (based on Thomson scattering of electrons), as well as from extreme ultraviolet (EUV) dimming observed with one spacecraft. Here we develop an improved method of measuring CME masses based on EUV dimming observed with the dual STEREO/EUVI spacecraft in multiple temperature filters that includes three-dimensional volume and density modeling in the dimming region and background corona. As a test, we investigate eight CME events with previous mass determinations from STEREO/COR2, of which six cases are reliably detected with the Extreme Ultraviolet Imager (EUVI) using our automated multi-wavelength detection code. We find CME masses in the range of m CME = (2-7) x 10 15 g. The agreement between the two EUVI/A and B spacecraft is m A /m B = 1.3 ± 0.6 and the consistency with white-light measurements by COR2 is m EUVI /m COR2 = 1.1 ± 0.3. The consistency between EUVI and COR2 implies no significant mass backflows (or inflows) at r sun and adequate temperature coverage for the bulk of the CME mass in the range of T ∼ 0.5-3.0 MK. The temporal evolution of the EUV dimming allows us to also model the evolution of the CME density n e (t), volume V(t), height-time h(t), and propagation speed v(t) in terms of an adiabatically expanding self-similar geometry. We determine e-folding EUV dimming times of t D = 1.3 ± 1.4 hr. We test the adiabatic expansion model in terms of the predicted detection delay (Δt ∼ 0.7 hr) between EUVI and COR2 for the fastest CME event (2008 March 25) and find good agreement with the observed delay (Δt ∼ 0.8 hr).

  7. SAR image effects on coherence and coherence estimation.

    Energy Technology Data Exchange (ETDEWEB)

    Bickel, Douglas Lloyd

    2014-01-01

    Radar coherence is an important concept for imaging radar systems such as synthetic aperture radar (SAR). This document quantifies some of the effects in SAR which modify the coherence. Although these effects can disrupt the coherence within a single SAR image, this report will focus on the coherence between separate images, such as for coherent change detection (CCD) processing. There have been other presentations on aspects of this material in the past. The intent of this report is to bring various issues that affect the coherence together in a single report to support radar engineers in making decisions about these matters.

  8. Multimodal ophthalmic imaging using handheld spectrally encoded coherence tomography and reflectometry (SECTR)

    Science.gov (United States)

    Leeburg, Kelsey C.; El-Haddad, Mohamed T.; Malone, Joseph D.; Terrones, Benjamin D.; Tao, Yuankai K.

    2018-02-01

    Scanning laser ophthalmoscopy (SLO) provides high-speed, noninvasive en face imaging of the retinal fundus. Optical coherence tomography (OCT) is the current "gold-standard" for ophthalmic diagnostic imaging and enables depth-resolved visualization of ophthalmic structures and image-based surrogate biomarkers of disease. We present a compact optical and mechanical design for handheld spectrally encoded coherence tomography and reflectometry (SECTR) for multimodality en face spectrally encoded reflectometry (SER) and cross-sectional OCT imaging. We custom-designed a double-pass telecentric scan lens, which halves the size of 4-f optical relays and allowed us to reduce the footprint of our SECTR scan-head by a factor of >2.7x (volume) over our previous design. The double-pass scan lens was optimized for diffraction-limited performance over a +/-10° scan field. SECTR optics and optomechanics were combined in a compact rapid-prototyped enclosure with dimensions 87 x 141.8 x 137 mm (w x h x d). SECTR was implemented using a custom-built 400 kHz 1050 nm swept-source. OCT and SER were simultaneously digitized on dual input channels of a 4 GS/s digitizer at 1.4 GS/s per channel. In vivo human en face SER and cross-sectional OCT images were acquired at 350 fps. OCT volumes of 1000 B-scans were acquired in 2.86 s. We believe clinical translation of our compact handheld design will benefit point-of-care ophthalmic diagnostics in patients who are unable to be imaged on conventional slit-lamp based systems, such as infants and the bedridden. When combined with multi-volumetric registration methods, handheld SECTR will have advantages in motion-artifact free imaging over existing handheld technologies.

  9. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, B. J. [NASA Goddard Space Flight Center, Code 671, Greenbelt, MD 20771 (United States); Young, C. A., E-mail: barbara.j.thompson@nasa.gov [NASA Goddard Space Flight Center, Code 670, Greenbelt, MD 20771 (United States)

    2016-07-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  10. Production of coherent XUV and soft x-rays using a transverse optical klystron

    International Nuclear Information System (INIS)

    Freeman, R.R.; Kincaid, B.J.

    1984-01-01

    An optical klystron is a device in which a relativistic electron beam produces coherent electromagnetic radiation by interacting with an external laser beam in an undulator magnetic field. Such a device represents the relativistic generalization of the microwave klystron. The device is called transverse optical klystron (TOK), because the energy exchange between the electrons and the light in this case is due to the transverse electric field of the laser. The generation of coherent light by the TOK can be considered as a three step process, including energy modulation, compaction or bunching, and radiation. In the present paper, a description is provided of the general physical principles underlying the operation of each of the three sections of the TOK, taking into account the modulator, the compactor, and the radiator. 14 references

  11. Comparative study of the performance of semiconductor laser based coherent Doppler lidars

    DEFF Research Database (Denmark)

    Rodrigo, Peter John; Pedersen, Christian

    2012-01-01

    Coherent Doppler Lidars (CDLs), operating at an eye-safe 1.5-micron wavelength, have found promising applications in the optimization of wind-power production. To meet the wind-energy sector's impending demand for more cost-efficient industrial sensors, we have focused on the development of conti......Coherent Doppler Lidars (CDLs), operating at an eye-safe 1.5-micron wavelength, have found promising applications in the optimization of wind-power production. To meet the wind-energy sector's impending demand for more cost-efficient industrial sensors, we have focused on the development...... of continuous-wave CDL systems using compact, inexpensive semiconductor laser (SL) sources. In this work, we compare the performance of two candidate emitters for an allsemiconductor CDL system: (1) a monolithic master-oscillator-power-amplifier (MOPA) SL and (2) an external-cavity tapered diode laser (ECTDL)....

  12. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik; Ouyang, Christine; Krysak, Marie; Trikeriotis, Markos; Cho, Kyoungyoung; Giannelis, Emmanuel P.; Ober, Christopher K.

    2013-01-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  13. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik

    2013-04-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  14. Noise Source Identification of a Ring-Plate Cycloid Reducer Based on Coherence Analysis

    OpenAIRE

    Yang, Bing; Liu, Yan

    2013-01-01

    A ring-plate-type cycloid speed reducer is one of the most important reducers owing to its low volume, compactness, smooth and high performance, and high reliability. The vibration and noise tests of the reducer prototype are completed using the HEAD acoustics multichannel noise test and analysis system. The characteristics of the vibration and noise are obtained based on coherence analysis and the noise sources are identified. The conclusions provide the bases for further noise research and ...

  15. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  16. Compact akinetic swept source optical coherence tomography angiography at 1060 nm supporting a wide field of view and adaptive optics imaging modes of the posterior eye.

    Science.gov (United States)

    Salas, Matthias; Augustin, Marco; Felberer, Franz; Wartak, Andreas; Laslandes, Marie; Ginner, Laurin; Niederleithner, Michael; Ensher, Jason; Minneman, Michael P; Leitgeb, Rainer A; Drexler, Wolfgang; Levecq, Xavier; Schmidt-Erfurth, Ursula; Pircher, Michael

    2018-04-01

    Imaging of the human retina with high resolution is an essential step towards improved diagnosis and treatment control. In this paper, we introduce a compact, clinically user-friendly instrument based on swept source optical coherence tomography (SS-OCT). A key feature of the system is the realization of two different operation modes. The first operation mode is similar to conventional OCT imaging and provides large field of view (FoV) images (up to 45° × 30°) of the human retina and choroid with standard resolution. The second operation mode enables it to optically zoom into regions of interest with high transverse resolution using adaptive optics (AO). The FoV of this second operation mode (AO-OCT mode) is 3.0° × 2.8° and enables the visualization of individual retinal cells such as cone photoreceptors or choriocapillaris. The OCT engine is based on an akinetic swept source at 1060 nm and provides an A-scan rate of 200 kHz. Structural as well as angiographic information can be retrieved from the retina and choroid in both operational modes. The capabilities of the prototype are demonstrated in healthy and diseased eyes.

  17. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    Science.gov (United States)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  18. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    Science.gov (United States)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  19. Field performance of an all-semiconductor laser coherent Doppler lidar

    DEFF Research Database (Denmark)

    Rodrigo, Peter John; Pedersen, Christian

    2012-01-01

    We implement and test what, to our knowledge, is the first deployable coherent Doppler lidar (CDL) system based on a compact, inexpensive all-semiconductor laser (SL). To demonstrate the field performance of our SL-CDL remote sensor, we compare a 36 h time series of averaged radial wind speeds...... measured by our instrument at an 80 m distance to those simultaneously obtained from an industry-standard sonic anemometer (SA). An excellent degree of correlation (R2=0.994 and slope=0.996) is achieved from a linear regression analysis of the CDL versus SA wind speed data. The lidar system is capable...

  20. Toward compact and ultra-intense laser-based soft x-ray lasers

    Science.gov (United States)

    Sebban, S.; Depresseux, A.; Oliva, E.; Gautier, J.; Tissandier, F.; Nejdl, J.; Kozlova, M.; Maynard, G.; Goddet, J. P.; Tafzi, A.; Lifschitz, A.; Kim, H. T.; Jacquemot, S.; Rousseau, P.; Zeitoun, P.; Rousse, A.

    2018-01-01

    We report here recent work on an optical field ionized (OFI), high-order harmonic-seeded EUV laser. The amplifying medium is a plasma of nickel-like krypton obtained by OFI when focusing a 1 J, 30 fs, circularly-polarized, infrared pulse into a krypton-filled gas cell or krypton gas jet. The lasing transition is the 3d94d (J = 0) → 3d94p (J = 1) transition of Ni-like krypton ions at 32.8 nm and is pumped by collisions with hot electrons. The gain dynamics was probed by seeding the amplifier with a high-order harmonic pulse at different delays. The gain duration monotonically decreased from 7 ps to an unprecedented shortness of 450 fs full width at half-maximum as the amplification peak rose from 150 to 1200 with an increase of the plasma density from 3 × 1018 to 1.2 × 1020 cm-3. The integrated energy of the EUV laser pulse was also measured, and found to be around 2 μJ. It is to be noted that in the ASE mode, longer amplifiers were achieved (up to 2 cm), yielding EUV outputs up to 14 μJ.

  1. Robust design of broadband EUV multilayer beam splitters based on particle swarm optimization

    International Nuclear Information System (INIS)

    Jiang, Hui; Michette, Alan G.

    2013-01-01

    A robust design idea for broadband EUV multilayer beam splitters is introduced that achieves the aim of decreasing the influence of layer thickness errors on optical performances. Such beam splitters can be used in interferometry to determine the quality of EUVL masks by comparing with a reference multilayer. In the optimization, particle swarm techniques were used for the first time in such designs. Compared to conventional genetic algorithms, particle swarm optimization has stronger ergodicity, simpler processing and faster convergence

  2. Analysis of a Failed Eclipse Plasma Ejection Using EUV Observations

    Science.gov (United States)

    Tavabi, E.; Koutchmy, S.; Bazin, C.

    2018-03-01

    The photometry of eclipse white-light (W-L) images showing a moving blob is interpreted for the first time together with observations from space with the PRoject for On Board Autonomy (PROBA-2) mission (ESA). An off-limb event seen with great details in W-L was analyzed with the SWAP imager ( Sun Watcher using Active pixel system detector and image Processing) working in the EUV near 174 Å. It is an elongated plasma blob structure of 25 Mm diameter moving above the east limb with coronal loops under. Summed and co-aligned SWAP images are evaluated using a 20-h sequence, in addition to the 11 July, 2010 eclipse W-L images taken from several sites. The Atmospheric Imaging Assembly (AIA) instrument on board the Solar Dynamics Observatory (SDO) recorded the event suggesting a magnetic reconnection near a high neutral point; accordingly, we also call it a magnetic plasmoid. The measured proper motion of the blob shows a velocity up to 12 km s^{-1}. Electron densities of the isolated condensation (cloud or blob or plasmoid) are photometrically evaluated. The typical value is 108 cm^{-3} at r=1.7 R_{⊙}, superposed on a background corona of 107 cm^{-3} density. The mass of the cloud near its maximum brightness is found to be 1.6×10^{13} g, which is typically 0.6×10^{-4} of the overall mass of the corona. From the extrapolated magnetic field the cloud evolves inside a rather broad open region but decelerates, after reaching its maximum brightness. The influence of such small events for supplying material to the ubiquitous slow wind is noticed. A precise evaluation of the EUV photometric data, after accurately removing the stray light, suggests an interpretation of the weak 174 Å radiation of the cloud as due to resonance scattering in the Fe IX/X lines.

  3. Measurements of EUV coronal holes and open magnetic flux

    Energy Technology Data Exchange (ETDEWEB)

    Lowder, C.; Qiu, J.; Leamon, R. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States); Liu, Y., E-mail: clowder@solar.physics.montana.edu [W. W. Hansen Experimental Physics Laboratory, Stanford University, Stanford, CA 94305 (United States)

    2014-03-10

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10{sup 22} Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10{sup 22} Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  4. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  5. Validation of the Earth atmosphere models using the EUV solar occultation data from the CORONAS and PROBA 2 instruments

    Science.gov (United States)

    Slemzin, Vladimir; Kuzin, Sergey; Berghmans, David; Pertsov, Andrey; Dominique, Marie; Ulyanov, Artyom; Gaikovich, Konstantin

    Absorption in the atmosphere below 500 km results in attenuation of the solar EUV flux, variation of its spectra and distortion of solar images acquired by solar EUV instruments operating on LEO satellites even on solar synchronous orbits. Occultation measurements are important for planning of solar observations from these satellites, and can be used for monitoring the upper atmosphere as well as for studying its response to the solar activity. We present the results of the occultation measurements of the solar EUV radiation obtained by the CORONAS-F/SPIRIT telescope at high solar activity (2002), by the CORONAS-Photon/TESIS telescope at low activity (2009), and by the SWAP telescope and LYRA radiometer onboard the PROBA 2 satellite at moderate activity (2010). The measured attenuation profiles and the retrieved linear extinction coefficients at the heights 200-500 km are compared with simulations by the NRLMSIS-00 and DTM2013 atmospheric models. It was shown that the results of simulations by the DTM2013 model are well agreed with the data of measurements at all stages of solar activity and in presence of the geomagnetic storm, whereas the results of the NRLMSISE-00 model significantly diverge from the measurements, in particular, at high and low activity. The research leading to these results has received funding from the European Union’s Seventh Programme for Research, Technological Development and Demonstration under Grant Agreement “eHeroes” (project No.284461, www.eheroes.eu).

  6. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of new light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area

  7. Mouse Embryo Compaction.

    Science.gov (United States)

    White, M D; Bissiere, S; Alvarez, Y D; Plachta, N

    2016-01-01

    Compaction is a critical first morphological event in the preimplantation development of the mammalian embryo. Characterized by the transformation of the embryo from a loose cluster of spherical cells into a tightly packed mass, compaction is a key step in the establishment of the first tissue-like structures of the embryo. Although early investigation of the mechanisms driving compaction implicated changes in cell-cell adhesion, recent work has identified essential roles for cortical tension and a compaction-specific class of filopodia. During the transition from 8 to 16 cells, as the embryo is compacting, it must also make fundamental decisions regarding cell position, polarity, and fate. Understanding how these and other processes are integrated with compaction requires further investigation. Emerging imaging-based techniques that enable quantitative analysis from the level of cell-cell interactions down to the level of individual regulatory molecules will provide a greater understanding of how compaction shapes the early mammalian embryo. © 2016 Elsevier Inc. All rights reserved.

  8. X ray and EUV spectroscopic measurements of highly charged tungsten ions relevant to fusion plasmas

    International Nuclear Information System (INIS)

    Radtke, R; Biedermann, C; Mandelbaum, P; Schwob, J L

    2007-01-01

    Using high-resolution x ray and extreme ultraviolet (EUV) spectrometry, the line emission of W 28+ - W 50+ ions was measured at the Berlin Electron Beam Ion Trap (EBIT). Our study encompasses a wide range of wavelengths (5-800 A) and includes the observation of electric and magnetic dipole lines. The results of our measurements are compared with predicted transition wavelengths from ab initioatomic structure calculations

  9. In-line optical fiber metallic mirror reflector for monolithic common path optical coherence tomography probes.

    Science.gov (United States)

    Singh, Kanwarpal; Reddy, Rohith; Sharma, Gargi; Verma, Yogesh; Gardecki, Joseph A; Tearney, Guillermo

    2018-03-01

    Endoscopic optical coherence tomography probes suffer from various artifacts due to dispersion imbalance and polarization mismatch between reference and sample arm light. Such artifacts can be minimized using a common path approach. In this work, we demonstrate a miniaturized common path probe for optical coherence tomography using an inline fiber mirror. A common path optical fiber probe suitable for performing high-resolution endoscopic optical coherence tomography imaging was developed. To achieve common path functionality, an inline fiber mirror was fabricated using a thin gold layer. A commercially available swept source engine was used to test the designed probe in a cadaver human coronary artery ex vivo. We achieved a sensitivity of 104 dB for this probe using a swept source optical coherence tomography system. To test the probe, images of a cadaver human coronary artery were obtained, demonstrating the quality that is comparable to those obtained by OCT systems with separate reference arms. Additionally, we demonstrate recovery of ranging depth by use of a Michelson interferometer in the detection path. We developed a miniaturized monolithic inline fiber mirror-based common path probe for optical coherence tomography. Owing to its simplicity, our design will be helpful in endoscopic applications that require high-resolution probes in a compact form factor while reducing system complexity. Lasers Surg. Med. 50:230-235, 2018. © 2017 Wiley Periodicals, Inc. © 2017 Wiley Periodicals, Inc.

  10. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking

    Science.gov (United States)

    Manouras, Theodoros; Kazazis, Dimitrios; Koufakis, Eleftherios; Ekinci, Yasin; Vamvakaki, Maria; Argitis, Panagiotis

    2018-03-01

    The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.

  11. Capillary discharge sources of hard UV radiation

    International Nuclear Information System (INIS)

    Cachoncinlle, C; Dussart, R; Robert, E; Goetze, S; Pons, J; Mohanty, S R; Viladrosa, R; Fleurier, C; Pouvesle, J M

    2002-01-01

    We developed and studied three different extreme ultraviolet (EUV) capillary discharge sources either dedicated to the generation of coherent or incoherent EUV radiation. The CAPELLA source has been developed especially as an EUV source for the metrology at 13.4 nm. With one of these sources, we were able to produce gain on the Balmer-Hα (18.22 nm) and Hβ (13.46 nm) spectral lines in carbon plasma. By injecting 70 GW cm -3 we measured gain-length products up to 1.62 and 3.02 for the Hα and Hβ, respectively optimization of the EUV capillary source CAPELLA led to the development of an EUV lamp which emits 2 mJ in the bandwidth of the MoSi mirror, per joule stored, per shot and in full solid angle. The wall-plug efficiency is 0.2%. Stability of this lamp is better than 4% and the lamp can operate at repetition rate of 50 Hz

  12. A representation independent propagator. Pt. 1. Compact Lie groups

    International Nuclear Information System (INIS)

    Tome, W.A.

    1995-01-01

    Conventional path integral expressions for propagators are representation dependent. Rather than having to adapt each propagator to the representation in question, it is shown that for compact Lie groups it is possible to introduce a propagator that is representation independent. For a given set of kinematical variables this propagator is a single function independent of any particular choice of fiducial vector, which monetheless, correctly propagates each element of the coherent state representation associated with these kinematical variables. Although the configuration space is in general curved, nevertheless the lattice phase-space path integral for the representation independent propagator has the form appropriate to flat space. To illustrate the general theory a representation independent propagator is explicitly constructed for the Lie group SU(2). (orig.)

  13. Partially coherent imaging and spatial coherence wavelets

    International Nuclear Information System (INIS)

    Castaneda, Roman

    2003-03-01

    A description of spatially partially coherent imaging based on the propagation of second order spatial coherence wavelets and marginal power spectra (Wigner distribution functions) is presented. In this dynamics, the spatial coherence wavelets will be affected by the system through its elementary transfer function. The consistency of the model with the both extreme cases of full coherent and incoherent imaging was proved. In the last case we obtained the classical concept of optical transfer function as a simple integral of the elementary transfer function. Furthermore, the elementary incoherent response function was introduced as the Fourier transform of the elementary transfer function. It describes the propagation of spatial coherence wavelets form each object point to each image point through a specific point on the pupil planes. The point spread function of the system was obtained by a simple integral of the elementary incoherent response function. (author)

  14. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    International Nuclear Information System (INIS)

    Kumar, Pankaj; Cho, Kyung-Suk; Nakariakov, Valery M.

    2015-01-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s −1 for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s −1 ). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed

  15. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    Science.gov (United States)

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  16. Off-limb EUV observations of the solar corona and transients with the CORONAS-F/SPIRIT telescope-coronagraph

    Directory of Open Access Journals (Sweden)

    V. Slemzin

    2008-10-01

    Full Text Available The SPIRIT telescope aboard the CORONAS-F satellite (in orbit from 26 July 2001 to 5 December 2005, observed the off-limb solar corona in the 175 Å (Fe IX, X and XI lines and 304 Å (He II and Si XI lines bands. In the coronagraphic mode the mirror was tilted to image the corona at the distance of 1.1...5 Rsun from the solar center, the outer occulter blocked the disk radiation and the detector sensitivity was enhanced. This intermediate region between the fields of view of ordinary extreme-ultraviolet (EUV telescopes and most of the white-light (WL coronagraphs is responsible for forming the streamer belt, acceleration of ejected matter and emergence of slow and fast solar wind. We present here the results of continuous coronagraphic EUV observations of the solar corona carried out during two weeks in June and December 2002. The images showed a "diffuse" (unresolved component of the corona seen in both bands, and non-radial, ray-like structures seen only in the 175 Å band, which can be associated with a streamer base. The correlations between latitudinal distributions of the EUV brightness in the corona and at the limb were found to be high in 304 Å at all distances and in 175 Å only below 1.5 Rsun. The temporal correlation of the coronal brightness along the west radial line, with the brightness at the underlying limb region was significant in both bands, independent of the distance. On 2 February 2003 SPIRIT observed an expansion of a transient associated with a prominence eruption seen only in the 304 Å band. The SPIRIT data have been compared with the corresponding data of the SOHO LASCO, EIT and UVCS instruments.

  17. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pankaj; Cho, Kyung-Suk [Korea Astronomy and Space Science Institute (KASI), Daejeon, 305-348 (Korea, Republic of); Nakariakov, Valery M., E-mail: pankaj@kasi.re.kr [Centre for Fusion, Space and Astrophysics, Department of Physics, University of Warwick, CV4 7AL (United Kingdom)

    2015-05-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s{sup −1} for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s{sup −1}). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed.

  18. EUV beam splitter for use in the wavelength region around 6 nm

    International Nuclear Information System (INIS)

    Takenaka, Hisataka; Ichimaru, Satoshi; Gullikson, E.M.

    2005-01-01

    Extreme ultraviolet (EUV) beam splitters for use at a wavelength of around 6 nm were fabricated. The designs were optimized for Cr/C multilayers and incident angles of 45 deg. and 80 deg. . Measurements revealed the reflectivity of a Cr/C beam splitter to be 3.3% and the transmittance to be 5.6% at a wavelength of 6.36 nm and an incident angle of 45 deg. . The reflectivity of a Cr/C beam splitter was 5.8% and the transmittance was 6.6% at a wavelength of 6.15 nm and an incident angle of 80 deg.

  19. Enhancing native defect sensitivity for EUV actinic blank inspection: optimized pupil engineering and photon noise study

    Science.gov (United States)

    Wang, Yow-Gwo; Neureuther, Andrew; Naulleau, Patrick

    2016-03-01

    In this paper, we discuss the impact of optimized pupil engineering and photon noise on native defect sensitivity in EUV actinic blank inspection. Native defects include phase-dominated defects, absorber defects, and defects with a combination of phase and absorption behavior. First, we extend the idea of the Zernike phase contrast (ZPC) method and study the impact of optimum phase shift in the pupil plane on native defect sensitivity, showing a 23% signal-to-noise ratio (SNR) enhancement compare to bright field (BF) for a phase defect with 20% absorption. We also describe the possibility to increase target defect SNR on target defect sizes at the price of losing the sensitivity on smaller (non-critical) defects. Moreover, we show the advantage of the optimized phase contrast (OZPC) method over BF EUV actinic blank inspection. A single focus scan from OZPC has better inspection efficiency over BF. Second, we make a detailed comparison between the phase contrast with apodization (AZPC) method and dark field (DF) method based on defect sensitivity in the presence of both photon shot noise and camera noise. Performance is compared for a variety of photon levels, mask roughness conditions, and combinations of defect phase and absorption.

  20. Ultra-high accuracy optical testing: creating diffraction-limited short-wavelength optical systems

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Rekawa, Senajith B.; Denham, Paul E.; Liddle, J. Alexander; Gullikson, Eric M.; Jackson, KeithH.; Anderson, Erik H.; Taylor, John S.; Sommargren, Gary E.; Chapman, Henry N.; Phillion, Donald W.; Johnson, Michael; Barty, Anton; Soufli, Regina; Spiller, Eberhard A.; Walton, Christopher C.; Bajt, Sasa

    2005-01-01

    Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-(angstrom) and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date

  1. Noise Source Identification of a Ring-Plate Cycloid Reducer Based on Coherence Analysis

    Directory of Open Access Journals (Sweden)

    Bing Yang

    2013-01-01

    Full Text Available A ring-plate-type cycloid speed reducer is one of the most important reducers owing to its low volume, compactness, smooth and high performance, and high reliability. The vibration and noise tests of the reducer prototype are completed using the HEAD acoustics multichannel noise test and analysis system. The characteristics of the vibration and noise are obtained based on coherence analysis and the noise sources are identified. The conclusions provide the bases for further noise research and control of the ring-plate-type cycloid reducer.

  2. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    Science.gov (United States)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  3. Compact Polarimetry Potentials

    Science.gov (United States)

    Truong-Loi, My-Linh; Dubois-Fernandez, Pascale; Pottier, Eric

    2011-01-01

    The goal of this study is to show the potential of a compact-pol SAR system for vegetation applications. Compact-pol concept has been suggested to minimize the system design while maximize the information and is declined as the ?/4, ?/2 and hybrid modes. In this paper, the applications such as biomass and vegetation height estimates are first presented, then, the equivalence between compact-pol data simulated from full-pol data and compact-pol data processed from raw data as such is shown. Finally, a calibration procedure using external targets is proposed.

  4. Compaction of FGD-gypsum

    NARCIS (Netherlands)

    Stoop, B.T.J.; Larbi, J.A.; Heijnen, W.M.M.

    1996-01-01

    It is shown that it is possible to produce compacted gypsum with a low porosity and a high strength on a laboratory scale by uniaxial compaction of flue gas desulphurization (FGD-) gypsum powder. Compacted FGD-gypsum cylinders were produced at a compaction pres-sure between 50 and 500 MPa yielding

  5. (U) Influence of Compaction Model Form on Planar and Cylindrical Compaction Geometries

    Energy Technology Data Exchange (ETDEWEB)

    Fredenburg, David A. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Carney, Theodore Clayton [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Fichtl, Christopher Allen [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Ramsey, Scott D. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2018-01-05

    The dynamic compaction response of CeO2 is examined within the frameworks of the Ramp and P-a compaction models. Hydrocode calculations simulating the dynamic response of CeO2 at several distinct pressures within the compaction region are investigated in both planar and cylindrically convergent geometries. Findings suggest additional validation of the compaction models is warranted under complex loading configurations.

  6. Compact 13.5-nm free-electron laser for extreme ultraviolet lithography

    Directory of Open Access Journals (Sweden)

    Y. Socol

    2011-04-01

    Full Text Available Optical lithography has been actively used over the past decades to produce more and more dense integrated circuits. To keep with the pace of the miniaturization, light of shorter and shorter wavelength was used with time. The capabilities of the present 193-nm UV photolithography were expanded time after time, but it is now believed that further progress will require deployment of extreme ultraviolet (EUV lithography based on the use of 13.5-nm radiation. However, presently no light source exists with sufficient average power to enable high-volume manufacturing. We report here the results of a study that shows the feasibility of a free-electron laser EUV source driven by a multiturn superconducting energy-recovery linac (ERL. The proposed 40×20  m^{2} facility, using MW-scale consumption from the power grid, is estimated to provide about 5 kW of average EUV power. We elaborate the self-amplified spontaneous emission (SASE option, which is presently technically feasible. A regenerative-amplifier option is also discussed. The proposed design is based on a short-period (2–3 cm undulator. The corresponding electron beam energy is about 0.5–1.0 GeV. The proposed accelerator consists of a photoinjector, a booster, and a multiturn ERL.

  7. Highly coherent free-running dual-comb chip platform.

    Science.gov (United States)

    Hébert, Nicolas Bourbeau; Lancaster, David G; Michaud-Belleau, Vincent; Chen, George Y; Genest, Jérôme

    2018-04-15

    We characterize the frequency noise performance of a free-running dual-comb source based on an erbium-doped glass chip running two adjacent mode-locked waveguide lasers. This compact laser platform, contained only in a 1.2 L volume, rejects common-mode environmental noise by 20 dB thanks to the proximity of the two laser cavities. Furthermore, it displays a remarkably low mutual frequency noise floor around 10  Hz 2 /Hz, which is enabled by its large-mode-area waveguides and low Kerr nonlinearity. As a result, it reaches a free-running mutual coherence time of 1 s since mode-resolved dual-comb spectra are generated even on this time scale. This design greatly simplifies dual-comb interferometers by enabling mode-resolved measurements without any phase lock.

  8. Creation and investigation of powerful EUV sources (λ ∼ 13.5 nm)

    International Nuclear Information System (INIS)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-01-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ∼4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  9. Creation and investigation of powerful EUV sources (λ ≈ 13.5 nm)

    Science.gov (United States)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-03-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ˜4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  10. Wideband perfect coherent absorber based on white-light cavity

    Science.gov (United States)

    Kotlicki, Omer; Scheuer, Jacob

    2015-03-01

    Coherent Perfect Absorbers (CPAs) are optical cavities which can be described as time-reversed lasers where light waves that enter the cavity, coherently interfere and react with the intra-cavity losses to yield perfect absorption. In contrast to lasers, which benefit from high coherency and narrow spectral linewidths, for absorbers these properties are often undesirable as absorption at a single frequency is highly susceptible to spectral noise and inappropriate for most practical applications. Recently, a new class of cavities, characterized by a spectrally wide resonance has been proposed. Such resonators, often referred to as White Light Cavities (WLCs), include an intra-cavity superluminal phase element, designed to provide a phase response with a slope that is opposite in sign and equal in magnitude to that of light propagation through the empty cavity. Consequently, the resonance phase condition in WLCs is satisfied over a band of frequencies providing a spectrally wide resonance. WLCs have drawn much attention due to their attractiveness for various applications such as ultra-sensitive sensors and optical buffering components. Nevertheless, WLCs exhibit inherent losses that are often undesirable. Here we introduce a simple wideband CPA device that is based on the WLC concept along with a complete analytical analysis. We present analytical and FDTD simulations of a practical, highly compact (12µm), Silicon based WLC-CPA that exhibits a flat and wide absorption profile (40nm) and demonstrate its usefulness as an optical pulse terminator (>35db isolation) and an all optical modulator that span the entire C-Band and exhibit high immunity to spectral noise.

  11. Cohering power of quantum operations

    Energy Technology Data Exchange (ETDEWEB)

    Bu, Kaifeng, E-mail: bkf@zju.edu.cn [School of Mathematical Sciences, Zhejiang University, Hangzhou 310027 (China); Kumar, Asutosh, E-mail: asukumar@hri.res.in [Harish-Chandra Research Institute, Chhatnag Road, Jhunsi, Allahabad 211019 (India); Homi Bhabha National Institute, Anushaktinagar, Mumbai 400094 (India); Zhang, Lin, E-mail: linyz@zju.edu.cn [Institute of Mathematics, Hangzhou Dianzi University, Hangzhou 310018 (China); Wu, Junde, E-mail: wjd@zju.edu.cn [School of Mathematical Sciences, Zhejiang University, Hangzhou 310027 (China)

    2017-05-18

    Highlights: • Quantum coherence. • Cohering power: production of quantum coherence by quantum operations. • Study of cohering power and generalized cohering power, and their comparison for differentmeasures of quantum coherence. • Operational interpretation of cohering power. • Bound on cohering power of a generic quantum operation. - Abstract: Quantum coherence and entanglement, which play a crucial role in quantum information processing tasks, are usually fragile under decoherence. Therefore, the production of quantum coherence by quantum operations is important to preserve quantum correlations including entanglement. In this paper, we study cohering power–the ability of quantum operations to produce coherence. First, we provide an operational interpretation of cohering power. Then, we decompose a generic quantum operation into three basic operations, namely, unitary, appending and dismissal operations, and show that the cohering power of any quantum operation is upper bounded by the corresponding unitary operation. Furthermore, we compare cohering power and generalized cohering power of quantum operations for different measures of coherence.

  12. Diffusion through statically compacted clay

    International Nuclear Information System (INIS)

    Ho, C.L.; Shebl, M.A.A.

    1994-01-01

    This paper presents experimental work on the effect of compaction on contaminant flow through clay liners. The experimental program included evaluation of soil properties, compaction, permeability and solute diffusion. A permeameter was built of non reactive materials to test samples compacted at different water contents and compactive efforts. The flow of a permeating solute, LiCl, was monitored. Effluent samples were collected for solute concentration measurements. The concentrations were measured by performing atomic adsorption tests. The analyzed results showed different diffusion characteristics when compaction conditions changed. At each compactive effort, permeability decreased as molding water content increased. Consequently, transit time (measured at relative concentration 50%) increased and diffusivity decreased. As compactive effort increased for soils compacted dry of optimum, permeability and diffusion decreased. On the other hand, as compactive effort increased for soils compacted wet of optimum, permeability and diffusivity increased. Tortuosity factor was indirectly measured from the diffusion and retardation rate. Tortuosity factor also decreased as placement water content was increased from dry of optimum to wet of optimum. Then decreases were more pronounced for low compactive effort tests. 27 refs., 7 figs., 5 tabs

  13. Self-Compacting Concrete

    OpenAIRE

    Okamura, Hajime; Ouchi, Masahiro

    2003-01-01

    Self-compacting concrete was first developed in 1988 to achieve durable concrete structures. Since then, various investigations have been carried out and this type of concrete has been used in practical structures in Japan, mainly by large construction companies. Investigations for establishing a rational mix-design method and self-compactability testing methods have been carried out from the viewpoint of making self-compacting concrete a standard concrete.

  14. Grazing incidence EUV study of the Alcator tokamaks

    International Nuclear Information System (INIS)

    Castracane, J.

    1982-01-01

    The use of impurity radiation to examine plasma conditions is a well known technique. To gain access, however, to the hot, central portion of the plasma created in the present confinement machines it is necessary to be able to observe radiation from medium and heavy elements such as molybdenum and iron. These impurities radiate primarily in the extreme ultra violet region of the spectrum and can play a role in the power balance of the tokamak. Radiation from highly ionized molybdenum was examined on the Alcator A and C tokamaks using a photometrically calibrated one meter grazing incidence monochromator. On Alcator A, a pseudo-continuum of Mo emissions in the 60 to 100 A ranges were seen to comprise 17% of the radiative losses from the plasma. This value closely matched measurements by a broad band bolometer array. Following these preliminary measurements, the monochromator was transferred to Alcator C for a more thorough examination of EUV emissions. Deviations from predicted scaling laws for energy confinement time vs density were observed on this machine

  15. RCI Simulation for EUV spectra from Sn ions

    International Nuclear Information System (INIS)

    Kagawa, T; Tanuma, H; Ohashi, H; Nishihara, K

    2007-01-01

    Using the relativistic-configuration-interaction atomic structure code, RCI simulations for EUV spectra from Sn 10+ , Sn 11+ and Sn 12+ ions are carried out, where it is assumed that each ion is embedded in a LTE plasma with the electron temperature of 30 eV. To make clear assignment of the measured spectra, the value of the excitation energy limit, which is introduced to limit the number of excited states in the simulation, is changed to see the excitation-energy-limit dependence of the spectral shape. The simulated spectra are obtained as a superposition of line intensities due to all possible transitions between two states whose excitation energy from the ground state is lower than the excitation energy limit assumed. The RCI simulated spectra are compared to the spectra measured with the chargeexchange- collision experiment in which a rare gas such as Xe or He as a target is bombarded by a charge-selected tin ion. Applicability of the LTE model to a decay model in the charge exchange collision experiment is also discussed

  16. Compaction of Ti–6Al–4V powder using high velocity compaction technique

    International Nuclear Information System (INIS)

    Khan, Dil Faraz; Yin, Haiqing; Li, He; Qu, Xuanhui; Khan, Matiullah; Ali, Shujaat; Iqbal, M. Zubair

    2013-01-01

    Highlights: • We compacted Ti–6Al–4V powder by HVC technique. • As impact force rises up, the green density of the compacts increases gradually. • At impact force 1.857 kN relative sintered density of the compacts reaches 99.88%. • Spring back of the green compact’s decreases gradually with increasing impact force. • Mechanical properties of the samples increases with increasing impact force. - Abstract: High velocity compaction technique was applied to the compaction of pre-alloyed, hydride–dehydride Ti–6Al–4V powder. The powder was pressed in single stroke with a compaction speed of 7.10–8.70 ms −1 . When the speed was 8.70 ms −1 , the relative density of the compacts reaches up to 85.89% with a green density of 3.831 g cm −3 . The green samples were sintered at 1300 °C in Ar-gas atmosphere. Scanning electron microscope (SEM) was used to examine the surface of the sintered samples. Density and mechanical properties such as Vickers micro hardness and bending strength of the powder samples were investigated. Experimental results indicated that with the increase in impact force, the density and mechanical properties of the compacts increased. The sintered compacts exhibited a maximum relative density of 99.88% with a sintered density of 4.415 g cm −3 , hardness of 364–483 HV and the bending strength in the range of 103–126.78 MPa. The springback of the compacts decreased with increasing impact force

  17. Sobolev Spaces on Locally Compact Abelian Groups: Compact Embeddings and Local Spaces

    Directory of Open Access Journals (Sweden)

    Przemysław Górka

    2014-01-01

    Full Text Available We continue our research on Sobolev spaces on locally compact abelian (LCA groups motivated by our work on equations with infinitely many derivatives of interest for string theory and cosmology. In this paper, we focus on compact embedding results and we prove an analog for LCA groups of the classical Rellich lemma and of the Rellich-Kondrachov compactness theorem. Furthermore, we introduce Sobolev spaces on subsets of LCA groups and study its main properties, including the existence of compact embeddings into Lp-spaces.

  18. Stabilization of compactible waste

    International Nuclear Information System (INIS)

    Franz, E.M.; Heiser, J.H. III; Colombo, P.

    1990-09-01

    This report summarizes the results of series of experiments performed to determine the feasibility of stabilizing compacted or compactible waste with polymers. The need for this work arose from problems encountered at disposal sites attributed to the instability of this waste in disposal. These studies are part of an experimental program conducted at Brookhaven National Laboratory (BNL) investigating methods for the improved solidification/stabilization of DOE low-level wastes. The approach taken in this study was to perform a series of survey type experiments using various polymerization systems to find the most economical and practical method for further in-depth studies. Compactible dry bulk waste was stabilized with two different monomer systems: styrene-trimethylolpropane trimethacrylate (TMPTMA) and polyester-styrene, in laboratory-scale experiments. Stabilization was accomplished by wetting or soaking compactible waste (before or after compaction) with monomers, which were subsequently polymerized. Three stabilization methods are described. One involves the in-situ treatment of compacted waste with monomers in which a vacuum technique is used to introduce the binder into the waste. The second method involves the alternate placement and compaction of waste and binder into a disposal container. In the third method, the waste is treated before compaction by wetting the waste with the binder using a spraying technique. A series of samples stabilized at various binder-to-waste ratios were evaluated through water immersion and compression testing. Full-scale studies were conducted by stabilizing two 55-gallon drums of real compacted waste. The results of this preliminary study indicate that the integrity of compacted waste forms can be readily improved to ensure their long-term durability in disposal environments. 9 refs., 10 figs., 2 tabs

  19. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    Science.gov (United States)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  20. Impulsive EUV bursts observed in C IV with OSO-8

    International Nuclear Information System (INIS)

    Grant Athay, R.; White, O.R.; Lites, B.W.

    1980-01-01

    Time sequences of profiles of the lambda 1548 line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness. Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2'' x 20''. Mean burst diameters are estimated to be 3'', or smaller. All but three of the bursts show Doppler shift with velocities sometimes exceeding 75 km s -1 ; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. We interpret the bursts as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer. (orig.)

  1. Ultra-high accuracy optical testing: creating diffraction-limitedshort-wavelength optical systems

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Rekawa, Senajith B.; Denham, Paul E.; Liddle, J. Alexander; Gullikson, Eric M.; Jackson, KeithH.; Anderson, Erik H.; Taylor, John S.; Sommargren, Gary E.; Chapman,Henry N.; Phillion, Donald W.; Johnson, Michael; Barty, Anton; Soufli,Regina; Spiller, Eberhard A.; Walton, Christopher C.; Bajt, Sasa

    2005-08-03

    Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-{angstrom} and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date.

  2. Space potential fluctuations during MHD activities in the Compact Helical System (CHS)

    International Nuclear Information System (INIS)

    Iguchi, H.; Fujisawa, A.; Crowley, T.P.

    1998-02-01

    Local space potential fluctuations have been measured during MHD activities in a low-beta NBI heated plasma in the Compact Helical System (CHS) by the use of a heavy ion beam probe (HIBP). Two types of MHD modes with accompanying potential oscillations are observed. One appears in periodic bursts with relatively low frequency (< 40 kHz) and large amplitude (20-40 volts), and is localized around the q=2 surface (average minor radius ρ ∼ 0.7). The other appears in continuous and coherent oscillation with higher frequency (105-125 kHz) and smaller amplitude (∼5 volts). This oscillation also has spatial structure. Possible interpretation for the space potential oscillations is presented. (author)

  3. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    Science.gov (United States)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  4. Multimodal nonlinear microscope based on a compact fiber-format laser source

    Science.gov (United States)

    Crisafi, Francesco; Kumar, Vikas; Perri, Antonio; Marangoni, Marco; Cerullo, Giulio; Polli, Dario

    2018-01-01

    We present a multimodal non-linear optical (NLO) laser-scanning microscope, based on a compact fiber-format excitation laser and integrating coherent anti-Stokes Raman scattering (CARS), stimulated Raman scattering (SRS) and two-photon-excitation fluorescence (TPEF) on a single platform. We demonstrate its capabilities in simultaneously acquiring CARS and SRS images of a blend of 6-μm poly(methyl methacrylate) beads and 3-μm polystyrene beads. We then apply it to visualize cell walls and chloroplast of an unprocessed fresh leaf of Elodea aquatic plant via SRS and TPEF modalities, respectively. The presented NLO microscope, developed in house using off-the-shelf components, offers full accessibility to the optical path and ensures its easy re-configurability and flexibility.

  5. Uniaxial backfill block compaction

    International Nuclear Information System (INIS)

    Koskinen, V.

    2012-05-01

    The main parts of the project were: to make a literature survey of the previous uniaxial compaction experiments; do uniaxial compaction tests in laboratory scale; and do industrial scale production tests. Object of the project was to sort out the different factors affecting the quality assurance chain of the backfill block uniaxial production and solve a material sticking to mould problem which appeared during manufacturing the blocks of bentonite and cruched rock mixture. The effect of mineralogical and chemical composition on the long term functionality of the backfill was excluded from the project. However, the used smectite-rich clays have been tested for mineralogical consistency. These tests were done in B and Tech OY according their SOPs. The objective of the Laboratory scale tests was to find right material- and compaction parameters for the industrial scale tests. Direct comparison between the laboratory scale tests and industrial scale tests is not possible because the mould geometry and compaction speed has a big influence for the compaction process. For this reason the selected material parameters were also affected by the previous compaction experiments. The industrial scale tests were done in summer of 2010 in southern Sweden. Blocks were done with uniaxial compaction. A 40 tons of the mixture of bentonite and crushed rock blocks and almost 50 tons of Friedland-clay blocks were compacted. (orig.)

  6. Characterization of ceramic powder compacts

    International Nuclear Information System (INIS)

    Yanai, K.; Ishimoto, S.; Kubo, T.; Ito, K.; Ishikawa, T.; Hayashi, H.

    1995-01-01

    UO 2 and Al 2 O 3 powder packing structures in cylindrical powder compacts are observed by scanning electron microscopy using polished cross sections of compacts fixed by low viscosity epoxy resin. Hard aggregates which are not destroyed during powder compaction are observed in some of the UO 2 powder compacts. A technique to measure local density in powder compacts is developed based on counting characteristic X-ray intensity by energy dispersive X-ray analysis (EDX). The local density of the corner portion of the powder compact fabricated by double-acting dry press is higher than that of the inner portion. ((orig.))

  7. Characterizing transverse coherence of an ultra-intense focused X-ray free-electron laser by an extended Young's experiment

    Directory of Open Access Journals (Sweden)

    Ichiro Inoue

    2015-11-01

    Full Text Available Characterization of transverse coherence is one of the most critical themes for advanced X-ray sources and their applications in many fields of science. However, for hard X-ray free-electron laser (XFEL sources there is very little knowledge available on their transverse coherence characteristics, despite their extreme importance. This is because the unique characteristics of the sources, such as the ultra-intense nature of XFEL radiation and the shot-by-shot fluctuations in the intensity distribution, make it difficult to apply conventional techniques. Here, an extended Young's interference experiment using a stream of bimodal gold particles is shown to achieve a direct measurement of the modulus of the complex degree of coherence of XFEL pulses. The use of interference patterns from two differently sized particles enables analysis of the transverse coherence on a single-shot basis without a priori knowledge of the instantaneous intensity ratio at the particles. For a focused X-ray spot as small as 1.8 µm (horizontal × 1.3 µm (vertical with an ultrahigh intensity that exceeds 1018 W cm−2 from the SPring-8 Ångstrom Compact free-electron LAser (SACLA, the coherence lengths were estimated to be 1.7 ± 0.2 µm (horizontal and 1.3 ± 0.1 µm (vertical. The ratios between the coherence lengths and the focused beam sizes are almost the same in the horizontal and vertical directions, indicating that the transverse coherence properties of unfocused XFEL pulses are isotropic. The experiment presented here enables measurements free from radiation damage and will be readily applicable to the analysis of the transverse coherence of ultra-intense nanometre-sized focused XFEL beams.

  8. Partial coherence with application to the monotonicity problem of coherence involving skew information

    Science.gov (United States)

    Luo, Shunlong; Sun, Yuan

    2017-08-01

    Quantifications of coherence are intensively studied in the context of completely decoherent operations (i.e., von Neuamnn measurements, or equivalently, orthonormal bases) in recent years. Here we investigate partial coherence (i.e., coherence in the context of partially decoherent operations such as Lüders measurements). A bona fide measure of partial coherence is introduced. As an application, we address the monotonicity problem of K -coherence (a quantifier for coherence in terms of Wigner-Yanase skew information) [Girolami, Phys. Rev. Lett. 113, 170401 (2014), 10.1103/PhysRevLett.113.170401], which is introduced to realize a measure of coherence as axiomatized by Baumgratz, Cramer, and Plenio [Phys. Rev. Lett. 113, 140401 (2014), 10.1103/PhysRevLett.113.140401]. Since K -coherence fails to meet the necessary requirement of monotonicity under incoherent operations, it is desirable to remedy this monotonicity problem. We show that if we modify the original measure by taking skew information with respect to the spectral decomposition of an observable, rather than the observable itself, as a measure of coherence, then the problem disappears, and the resultant coherence measure satisfies the monotonicity. Some concrete examples are discussed and related open issues are indicated.

  9. Measuring coherence with entanglement concurrence

    Science.gov (United States)

    Qi, Xianfei; Gao, Ting; Yan, Fengli

    2017-07-01

    Quantum coherence is a fundamental manifestation of the quantum superposition principle. Recently, Baumgratz et al (2014 Phys. Rev. Lett. 113 140401) presented a rigorous framework to quantify coherence from the view of theory of physical resource. Here we propose a new valid quantum coherence measure which is a convex roof measure, for a quantum system of arbitrary dimension, essentially using the generalized Gell-Mann matrices. Rigorous proof shows that the proposed coherence measure, coherence concurrence, fulfills all the requirements dictated by the resource theory of quantum coherence measures. Moreover, strong links between the resource frameworks of coherence concurrence and entanglement concurrence is derived, which shows that any degree of coherence with respect to some reference basis can be converted to entanglement via incoherent operations. Our work provides a clear quantitative and operational connection between coherence and entanglement based on two kinds of concurrence. This new coherence measure, coherence concurrence, may also be beneficial to the study of quantum coherence.

  10. MECHANICS OF DYNAMIC POWDER COMPACTION PROCESS

    OpenAIRE

    Nurettin YAVUZ

    1996-01-01

    In recent years, interest in dynamic compaction methods of metal powders has increased due to the need to improve compaction properties and to increase production rates of compacts. In this paper, review of dynamic and explosive compaction of metal powders are given. An attempt is made to get a better understanding of the compaction process with the mechanicis of powder compaction.

  11. kW-class picosecond thin-disc prepulse laser Perla for efficient EUV generation

    Czech Academy of Sciences Publication Activity Database

    Endo, Akira; Smrž, Martin; Mužík, Jiří; Novák, Ondřej; Chyla, Michal; Mocek, Tomáš

    2017-01-01

    Roč. 16, č. 4 (2017), s. 1-6, č. článku 041011. ISSN 1932-5150 R&D Projects: GA MŠk LO1602; GA ČR GA16-12960S; GA MŠk LM2015086 EU Projects: European Commission(XE) 739573 - HiLASE CoE Grant - others:OP VVV - HiLASE-CoE(XE) CZ.02.1.01/0.0/0.0/15_006/0000674 Institutional support: RVO:68378271 Keywords : EUV source * laser produced plasma * FEL * prepulse * thin-disc laser Subject RIV: BH - Optics, Masers, Laser s OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.350, year: 2016

  12. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    Science.gov (United States)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non

  13. Analysis of euv limb-brightening observations from ATM. I. Model for the transition layer and the corona

    Energy Technology Data Exchange (ETDEWEB)

    Mariska, J T; Withbroe, G L [Harvard Coll. Observatory, Cambridge, Mass. (USA)

    1975-09-01

    Limb-brightening curves for euv resonance lines of O VI and Mg X have been constructed from spectroheliograms (5 sec resolution) of quiet limb regions observed with the Harvard experiment on Skylab. The observations are interpreted with a simple model for the transition layer and the corona. A comparison of theoretical and observed limb-brightening curves indicates that the lower boundary of the corona, where T/sub e/ = 10/sup 6/K, is at a height of about 8000 km in typical quiet areas. For 1.01 R(sun) approximately = to or < r < 1.25(sun), the corona can be represented by a homogeneous model in hydrostatic equilibrium with a temperature of 10/sup 6/K for 1.01 R(sun) approximately = to or < r < 1.1 R(sun) and 1.1x10/sup 6/K for r > approximately = to 1.1 R(sun). The model for the transition layer is inhomogeneous, with the temperature gradient a factor of 3 shallower in the network than in the intranetwork regions. It appears that spicules should be included in the model in order to account for the penetration into the corona of cool (T/sub e/ < 10/sup 6/K) euv-emitting material to heights up to 20000 km above the limb.

  14. Clastic compaction unit classification based on clay content and integrated compaction recovery using well and seismic data

    Directory of Open Access Journals (Sweden)

    Zhong Hong

    2016-11-01

    Full Text Available Abstract Compaction correction is a key part of paleo-geomorphic recovery methods. Yet, the influence of lithology on the porosity evolution is not usually taken into account. Present methods merely classify the lithologies as sandstone and mudstone to undertake separate porosity-depth compaction modeling. However, using just two lithologies is an oversimplification that cannot represent the compaction history. In such schemes, the precision of the compaction recovery is inadequate. To improve the precision of compaction recovery, a depth compaction model has been proposed that involves both porosity and clay content. A clastic lithological compaction unit classification method, based on clay content, has been designed to identify lithological boundaries and establish sets of compaction units. Also, on the basis of the clastic compaction unit classification, two methods of compaction recovery that integrate well and seismic data are employed to extrapolate well-based compaction information outward along seismic lines and recover the paleo-topography of the clastic strata in the region. The examples presented here show that a better understanding of paleo-geomorphology can be gained by applying the proposed compaction recovery technology.

  15. The EUV chromospheric network in the quiet Sun

    International Nuclear Information System (INIS)

    Reeves, E.M.

    1976-01-01

    Investigations on the structure and intensity of the chromospheric network from quiet solar regions have been carried out with EUV data obtained from the Harvard spectroheliometer on the Apollo Telescope Mount of Skylab. The distribution of intensities within supergranulation cell interiors follows a near normal function, where the standard deviation exceeds the value expected from the counting rate, which indicates fine-scale structure below the 5 arc sec resolution of the data. The intensities from the centers of supergranulation cells appear to be the same in both quiet regions and coronal holes, although the network is significantly different in the two types of regions. The average halfwidth of the network elements was measured as 10 arc sec, and was independent of the temperature of formation of the observing line for 3.8< logTsub(e)<5.8. The contrast between the network and the centers of cells is greatest for lines with logTsub(e)approximately5.2, where the network contributes approximately 75% of the intensity of quiet solar regions. The contrast and fractional intensity contributions decrease to higher and lower temperatures characteristic of the corona and chromosphere. (Auth.)

  16. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  17. Development task of compact reactor

    International Nuclear Information System (INIS)

    Kurushima, Morihiro

    1982-01-01

    In the Ministry of International Trade and Industry, studies proceed on the usage of compact medium and small LWRs. As such, the reactors from 100 to 200 MW may meet varieties of demands in scale and kind in view of the saving of petroleum and the economy of nuclear power. In this case, the technology of light water reactors with already established safety will be suitable for the development of compact reactors. The concept of ''nuclear power community'' using the compact reactors in local society and industrial zones was investigated. The following matters are described: need for the introduction of compact reactors, the survey on the compact reactor systems, and the present status and future problems for compact reactor usage. (J.P.N.)

  18. Quantum coherence: Reciprocity and distribution

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Asutosh, E-mail: asukumar@hri.res.in [Harish-Chandra Research Institute, Allahabad-211019 (India); Homi Bhabha National Institute, Anushaktinagar, Mumbai 400094 (India)

    2017-03-18

    Quantum coherence is the outcome of the superposition principle. Recently, it has been theorized as a quantum resource, and is the premise of quantum correlations in multipartite systems. It is therefore interesting to study the coherence content and its distribution in a multipartite quantum system. In this work, we show analytically as well as numerically the reciprocity between coherence and mixedness of a quantum state. We find that this trade-off is a general feature in the sense that it is true for large spectra of measures of coherence and of mixedness. We also study the distribution of coherence in multipartite systems by looking at monogamy-type relation–which we refer to as additivity relation–between coherences of different parts of the system. We show that for the Dicke states, while the normalized measures of coherence violate the additivity relation, the unnormalized ones satisfy the same. - Highlights: • Quantum coherence. • Reciprocity between quantum coherence and mixedness. • Distribution of quantum coherence in multipartite quantum systems. • Additivity relation for distribution of quantum coherence in Dicke and “X” states.

  19. Grouper: a compact, streamable triangle mesh data structure.

    Science.gov (United States)

    Luffel, Mark; Gurung, Topraj; Lindstrom, Peter; Rossignac, Jarek

    2014-01-01

    We present Grouper: an all-in-one compact file format, random-access data structure, and streamable representation for large triangle meshes. Similarly to the recently published SQuad representation, Grouper represents the geometry and connectivity of a mesh by grouping vertices and triangles into fixed-size records, most of which store two adjacent triangles and a shared vertex. Unlike SQuad, however, Grouper interleaves geometry with connectivity and uses a new connectivity representation to ensure that vertices and triangles can be stored in a coherent order that enables memory-efficient sequential stream processing. We present a linear-time construction algorithm that allows streaming out Grouper meshes using a small memory footprint while preserving the initial ordering of vertices. As a part of this construction, we show how the problem of assigning vertices and triangles to groups reduces to a well-known NP-hard optimization problem, and present a simple yet effective heuristic solution that performs well in practice. Our array-based Grouper representation also doubles as a triangle mesh data structure that allows direct access to vertices and triangles. Storing only about two integer references per triangle--i.e., less than the three vertex references stored with each triangle in a conventional indexed mesh format--Grouper answers both incidence and adjacency queries in amortized constant time. Our compact representation enables data-parallel processing on multicore computers, instant partitioning and fast transmission for distributed processing, as well as efficient out-of-core access. We demonstrate the versatility and performance benefits of Grouper using a suite of example meshes and processing kernels.

  20. Grouper: A Compact, Streamable Triangle Mesh Data Structure

    Energy Technology Data Exchange (ETDEWEB)

    Luffel, Mark [Georgia Inst. of Technology, Atlanta, GA (United States). Visualization and Usability Center (GVU); Gurung, Topraj [Georgia Inst. of Technology, Atlanta, GA (United States). Visualization and Usability Center (GVU); Lindstrom, Peter [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Rossignac, Jarek [Georgia Inst. of Technology, Atlanta, GA (United States). Visualization and Usability Center (GVU)

    2014-01-01

    Here, we present Grouper: an all-in-one compact file format, random-access data structure, and streamable representation for large triangle meshes. Similarly to the recently published SQuad representation, Grouper represents the geometry and connectivity of a mesh by grouping vertices and triangles into fixed-size records, most of which store two adjacent triangles and a shared vertex. Unlike SQuad, however, Grouper interleaves geometry with connectivity and uses a new connectivity representation to ensure that vertices and triangles can be stored in a coherent order that enables memory-efficient sequential stream processing. We also present a linear-time construction algorithm that allows streaming out Grouper meshes using a small memory footprint while preserving the initial ordering of vertices. In this construction, we show how the problem of assigning vertices and triangles to groups reduces to a well-known NP-hard optimization problem, and present a simple yet effective heuristic solution that performs well in practice. Our array-based Grouper representation also doubles as a triangle mesh data structure that allows direct access to vertices and triangles. Storing only about two integer references per triangle-i.e., less than the three vertex references stored with each triangle in a conventional indexed mesh format-Grouper answers both incidence and adjacency queries in amortized constant time. Our compact representation enables data-parallel processing on multicore computers, instant partitioning and fast transmission for distributed processing, as well as efficient out-of-core access. We demonstrate the versatility and performance benefits of Grouper using a suite of example meshes and processing kernels.

  1. Interferometry using undulator sources

    International Nuclear Information System (INIS)

    Beguiristain, R.; Goldberg, K.A.; Tejnil, E.; Bokor, J.; Medecki, H.; Attwood, D.T.; Jackson, K.

    1996-01-01

    Optical systems for extreme ultraviolet (EUV) lithography need to use optical components with subnanometer surface figure error tolerances to achieve diffraction-limited performance [M.D. Himel, in Soft X-Ray Projection Lithography, A.M. Hawryluk and R.H. Stulen, eds. (OSA, Washington, D.C., 1993), 18, 1089, and D. Attwood et al., Appl. Opt. 32, 7022 (1993)]. Also, multilayer-coated optics require at-wavelength wavefront measurement to characterize phase effects that cannot be measured by conventional optical interferometry. Furthermore, EUV optical systems will additionally require final testing and alignment at the operational wavelength for adjustment and reduction of the cumulative optical surface errors. Therefore, at-wavelength interferometric measurement of EUV optics will be the necessary metrology tool for the successful development of optics for EUV lithography. An EUV point diffraction interferometer (PDI) has been developed at the Center for X-Ray Optics (CXRO) and has been already in operation for a year [K. Goldberg et al., in Extreme Ultra Lithography, D.T. Attwood and F. Zernike, eds. (OSA, Washington, D.C., 1994), K. Goldberg et al., Proc. SPIE 2437, to be published, and K. Goldberg et al., J. Vac. Sci. Technol. B 13, 2923 (1995)] using an undulator radiation source and coherent optics beamline at the Advanced Light Source (ALS) at Lawrence Berkeley National Laboratory. An overview of the PDI interferometer and some EUV wavefront measurements obtained with this instrument will be presented. In addition, future developments planned for EUV interferometry at CXRO towards the measurement of actual EUV lithography optics will be shown. copyright 1996 American Institute of Physics

  2. Compaction dynamics of crunchy granular material

    Directory of Open Access Journals (Sweden)

    Guillard François

    2017-01-01

    Full Text Available Compaction of brittle porous material leads to a wide variety of densification patterns. Static compaction bands occurs naturally in rocks or bones, and have important consequences in industry for the manufacturing of powder tablets or metallic foams for example. Recently, oscillatory compaction bands have been observed in brittle porous media like snow or cereals. We will discuss the great variety of densification patterns arising during the compaction of puffed rice, including erratic compaction at low velocity, one or several travelling compaction bands at medium velocity and homogeneous compaction at larger velocity. The conditions of existence of each pattern are studied thanks to a numerical spring lattice model undergoing breakage and is mapped to the phase diagram of the patterns based on dimensionless characteristic quantities. This also allows to rationalise the evolution of the compaction behaviour during a single test. Finally, the localisation of compaction bands is linked to the strain rate sensitivity of the material.

  3. Compaction dynamics of crunchy granular material

    Science.gov (United States)

    Guillard, François; Golshan, Pouya; Shen, Luming; Valdès, Julio R.; Einav, Itai

    2017-06-01

    Compaction of brittle porous material leads to a wide variety of densification patterns. Static compaction bands occurs naturally in rocks or bones, and have important consequences in industry for the manufacturing of powder tablets or metallic foams for example. Recently, oscillatory compaction bands have been observed in brittle porous media like snow or cereals. We will discuss the great variety of densification patterns arising during the compaction of puffed rice, including erratic compaction at low velocity, one or several travelling compaction bands at medium velocity and homogeneous compaction at larger velocity. The conditions of existence of each pattern are studied thanks to a numerical spring lattice model undergoing breakage and is mapped to the phase diagram of the patterns based on dimensionless characteristic quantities. This also allows to rationalise the evolution of the compaction behaviour during a single test. Finally, the localisation of compaction bands is linked to the strain rate sensitivity of the material.

  4. The High-Resolution Lightweight Telescope for the EUV (HiLiTE)

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Galarce, D S; Boerner, P; Soufli, R; De Pontieu, B; Katz, N; Title, A; Gullikson, E M; Robinson, J C; Baker, S L

    2008-06-02

    The High-resolution Lightweight Telescope for the EUV (HiLiTE) is a Cassegrain telescope that will be made entirely of Silicon Carbide (SiC), optical substrates and metering structure alike. Using multilayer coatings, this instrument will be tuned to operate at the 465 {angstrom} Ne VII emission line, formed in solar transition region plasma at {approx}500,000 K. HiLiTE will have an aperture of 30 cm, angular resolution of {approx}0.2 arc seconds and operate at a cadence of {approx}5 seconds or less, having a mass that is about 1/4 that of one of the 20 cm aperture telescopes on the Atmospheric Imaging Assembly (AIA) instrument aboard NASA's Solar Dynamics Observatory (SDO). This new instrument technology thus serves as a path finder to a post-AIA, Explorer-class missions.

  5. Summary of Self-compacting Concrete Workability

    OpenAIRE

    GUO Gui-xiang; Duan Hong-jun

    2015-01-01

    On the basis of a large number of domestic and foreign literature, situation and development of self-compacting concrete is introduced. Summary of the compacting theory of self-compacting concrete. And some of the factors affecting the workability of self-compacting concrete were discussed and summarized to a certain extent. Aims to further promote the application and research of self-compacting concrete

  6. Model Compaction Equation

    African Journals Online (AJOL)

    The currently proposed model compaction equation was derived from data sourced from the. Niger Delta and it relates porosity to depth for sandstones under hydrostatic pressure condition. The equation is useful in predicting porosity and compaction trend in hydrostatic sands of the. Niger Delta. GEOLOGICAL SETTING OF ...

  7. Testing of Piezo-Actuated Glass Micro-Membranes by Optical Low-Coherence Reflectometry.

    Science.gov (United States)

    Merlo, Sabina; Poma, Paolo; Crisà, Eleonora; Faralli, Dino; Soldo, Marco

    2017-02-25

    In this work, we have applied optical low-coherence reflectometry (OLCR), implemented with infra-red light propagating in fiberoptic paths, to perform static and dynamic analyses on piezo-actuated glass micro-membranes. The actuator was fabricated by means of thin-film piezoelectric MEMS technology and was employed for modifying the micro-membrane curvature, in view of its application in micro-optic devices, such as variable focus micro-lenses. We are here showing that OLCR incorporating a near-infrared superluminescent light emitting diode as the read-out source is suitable for measuring various parameters such as the micro-membrane optical path-length, the membrane displacement as a function of the applied voltage (yielding the piezo-actuator hysteresis) as well as the resonance curve of the fundamental vibration mode. The use of an optical source with short coherence-time allows performing interferometric measurements without spurious resonance effects due to multiple parallel interfaces of highly planar slabs, furthermore selecting the plane/layer to be monitored. We demonstrate that the same compact and flexible setup can be successfully employed to perform spot optical measurements for static and dynamic characterization of piezo-MEMS in real time.

  8. Coherent hybrid electromagnetic field imaging

    Science.gov (United States)

    Cooke, Bradly J [Jemez Springs, NM; Guenther, David C [Los Alamos, NM

    2008-08-26

    An apparatus and corresponding method for coherent hybrid electromagnetic field imaging of a target, where an energy source is used to generate a propagating electromagnetic beam, an electromagnetic beam splitting means to split the beam into two or more coherently matched beams of about equal amplitude, and where the spatial and temporal self-coherence between each two or more coherently matched beams is preserved. Two or more differential modulation means are employed to modulate each two or more coherently matched beams with a time-varying polarization, frequency, phase, and amplitude signal. An electromagnetic beam combining means is used to coherently combine said two or more coherently matched beams into a coherent electromagnetic beam. One or more electromagnetic beam controlling means are used for collimating, guiding, or focusing the coherent electromagnetic beam. One or more apertures are used for transmitting and receiving the coherent electromagnetic beam to and from the target. A receiver is used that is capable of square-law detection of the coherent electromagnetic beam. A waveform generator is used that is capable of generation and control of time-varying polarization, frequency, phase, or amplitude modulation waveforms and sequences. A means of synchronizing time varying waveform is used between the energy source and the receiver. Finally, a means of displaying the images created by the interaction of the coherent electromagnetic beam with target is employed.

  9. Pharmaceutical powder compaction technology

    National Research Council Canada - National Science Library

    Çelik, Metin

    2011-01-01

    ... through the compaction formulation process and application. Compaction of powder constituents both active ingredient and excipients is examined to ensure consistent and reproducible disintegration and dispersion profiles...

  10. Ordering states with various coherence measures

    Science.gov (United States)

    Yang, Long-Mei; Chen, Bin; Fei, Shao-Ming; Wang, Zhi-Xi

    2018-04-01

    Quantum coherence is one of the most significant theories in quantum physics. Ordering states with various coherence measures is an intriguing task in quantification theory of coherence. In this paper, we study this problem by use of four important coherence measures—the l_1 norm of coherence, the relative entropy of coherence, the geometric measure of coherence and the modified trace distance measure of coherence. We show that each pair of these measures give a different ordering of qudit states when d≥3. However, for single-qubit states, the l_1 norm of coherence and the geometric coherence provide the same ordering. We also show that the relative entropy of coherence and the geometric coherence give a different ordering for single-qubit states. Then we partially answer the open question proposed in Liu et al. (Quantum Inf Process 15:4189, 2016) whether all the coherence measures give a different ordering of states.

  11. Simultaneous EUV and radio observations of bidirectional plasmoids ejection during magnetic reconnection

    Science.gov (United States)

    Kumar, Pankaj; Cho, Kyung-Suk

    2013-09-01

    We present a multiwavelength study of the X-class flare, which occurred in active region (AR) NOAA 11339 on 3 November 2011. The extreme ultraviolet (EUV) images recorded by SDO/AIA show the activation of a remote filament (located north of the AR) with footpoint brightenings about 50 min prior to the flare's occurrence. The kinked filament rises up slowly, and after reaching a projected height of ~49 Mm, it bends and falls freely near the AR, where the X-class flare was triggered. Dynamic radio spectrum from the Green Bank Solar Radio Burst Spectrometer (GBSRBS) shows simultaneous detection of both positive and negative drifting pulsating structures (DPSs) in the decimetric radio frequencies (500-1200 MHz) during the impulsive phase of the flare. The global negative DPSs in solar flares are generally interpreted as a signature of electron acceleration related to the upward-moving plasmoids in the solar corona. The EUV images from AIA 94 Å reveal the ejection of multiple plasmoids, which move simultaneously upward and downward in the corona during the magnetic reconnection. The estimated speeds of the upward- and downward-moving plasmoids are ~152-362 and ~83-254 km s-1, respectively. These observations strongly support the recent numerical simulations of the formation and interaction of multiple plasmoids due to tearing of the current-sheet structure. On the basis of our analysis, we suggest that the simultaneous detection of both the negative and positive DPSs is most likely generated by the interaction or coalescence of the multiple plasmoids moving upward and downward along the current-sheet structure during the magnetic reconnection process. Moreover, the differential emission measure (DEM) analysis of the active region reveals a hot flux-rope structure (visible in AIA 131 and 94 Å) prior to the flare initiation and ejection of the multitemperature plasmoids during the flare impulsive phase. Movie is available in electronic form at http://www.aanda.org

  12. Anisotropic Material Behavior of Uni-axially Compacted Graphite Matrix for HTGR Fuel Compact Fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Young-Woo; Yeo, Seunghwan; Yoon, Ji-Hae; Cho, Moon Sung [KAERI, Daejeon (Korea, Republic of)

    2016-05-15

    In developing the fuel compact fabrication technology, and fuel graphite material to meet the required material properties, it is essential to investigate the relationship among the process parameters of the matrix graphite powder preparation, the fabrication parameters of fuel element green compact and the heat treatments conditions and the material properties of fuel element. It was observed, during this development, that the pressing technique employed for the compaction fabrication prior to the two successive heat treatments (carbonization and final high temperature heat treatment) was of extreme importance in determining the material properties of the final compact product. In this work, the material behavior of the uni-axially pressed graphite matrix during the carbonization and final heat treatment are evaluated and summarized along the different directions, viz., perpendicular and parallel directions to pressing direction. In this work, the dimensional variations and variations in thermal expansion, thermal conductivity and Vickers hardness of the graphite matrix compact samples in the axial and radial directions prepared by uni-axial pressing are evaluated, and compared with those of samples prepared by cold isostatic pressing with the available data. From this work, the followings are observed. 1) Dimensional changes of matrix graphite green compacts during carbonization show that the difference in radial and axial variations shows a large anisotropic behavior in shrinkage. The radial variation is very small while the axial variation is large. During carbonization, the stresses caused by the force would be released in to the axial direction together with the phenolic resin vapor. 2) Dimensional variation of compact samples in perpendicular and parallel directions during carbonization shows a large difference in behavior when compact sample is prepared by uni-axial pressing. However, when compact sample is prepared by cold isostatic pressing, there is

  13. Anisotropic Material Behavior of Uni-axially Compacted Graphite Matrix for HTGR Fuel Compact Fabrication

    International Nuclear Information System (INIS)

    Lee, Young-Woo; Yeo, Seunghwan; Yoon, Ji-Hae; Cho, Moon Sung

    2016-01-01

    In developing the fuel compact fabrication technology, and fuel graphite material to meet the required material properties, it is essential to investigate the relationship among the process parameters of the matrix graphite powder preparation, the fabrication parameters of fuel element green compact and the heat treatments conditions and the material properties of fuel element. It was observed, during this development, that the pressing technique employed for the compaction fabrication prior to the two successive heat treatments (carbonization and final high temperature heat treatment) was of extreme importance in determining the material properties of the final compact product. In this work, the material behavior of the uni-axially pressed graphite matrix during the carbonization and final heat treatment are evaluated and summarized along the different directions, viz., perpendicular and parallel directions to pressing direction. In this work, the dimensional variations and variations in thermal expansion, thermal conductivity and Vickers hardness of the graphite matrix compact samples in the axial and radial directions prepared by uni-axial pressing are evaluated, and compared with those of samples prepared by cold isostatic pressing with the available data. From this work, the followings are observed. 1) Dimensional changes of matrix graphite green compacts during carbonization show that the difference in radial and axial variations shows a large anisotropic behavior in shrinkage. The radial variation is very small while the axial variation is large. During carbonization, the stresses caused by the force would be released in to the axial direction together with the phenolic resin vapor. 2) Dimensional variation of compact samples in perpendicular and parallel directions during carbonization shows a large difference in behavior when compact sample is prepared by uni-axial pressing. However, when compact sample is prepared by cold isostatic pressing, there is

  14. Scalable coherent interface

    International Nuclear Information System (INIS)

    Alnaes, K.; Kristiansen, E.H.; Gustavson, D.B.; James, D.V.

    1990-01-01

    The Scalable Coherent Interface (IEEE P1596) is establishing an interface standard for very high performance multiprocessors, supporting a cache-coherent-memory model scalable to systems with up to 64K nodes. This Scalable Coherent Interface (SCI) will supply a peak bandwidth per node of 1 GigaByte/second. The SCI standard should facilitate assembly of processor, memory, I/O and bus bridge cards from multiple vendors into massively parallel systems with throughput far above what is possible today. The SCI standard encompasses two levels of interface, a physical level and a logical level. The physical level specifies electrical, mechanical and thermal characteristics of connectors and cards that meet the standard. The logical level describes the address space, data transfer protocols, cache coherence mechanisms, synchronization primitives and error recovery. In this paper we address logical level issues such as packet formats, packet transmission, transaction handshake, flow control, and cache coherence. 11 refs., 10 figs

  15. Coherent detectors

    International Nuclear Information System (INIS)

    Lawrence, C R; Church, S; Gaier, T; Lai, R; Ruf, C; Wollack, E

    2009-01-01

    Coherent systems offer significant advantages in simplicity, testability, control of systematics, and cost. Although quantum noise sets the fundamental limit to their performance at high frequencies, recent breakthroughs suggest that near-quantum-limited noise up to 150 or even 200 GHz could be realized within a few years. If the demands of component separation can be met with frequencies below 200 GHz, coherent systems will be strong competitors for a space CMB polarization mission. The rapid development of digital correlator capability now makes space interferometers with many hundreds of elements possible. Given the advantages of coherent interferometers in suppressing systematic effects, such systems deserve serious study.

  16. Coherent detectors

    Energy Technology Data Exchange (ETDEWEB)

    Lawrence, C R [M/C 169-327, Jet Propulsion Laboratory, 4800 Oak Grove Drive, Pasadena, CA 91109 (United States); Church, S [Room 324 Varian Physics Bldg, 382 Via Pueblo Mall, Stanford, CA 94305-4060 (United States); Gaier, T [M/C 168-314, Jet Propulsion Laboratory, 4800 Oak Grove Drive, Pasadena, CA 91109 (United States); Lai, R [Northrop Grumman Corporation, Redondo Beach, CA 90278 (United States); Ruf, C [1533 Space Research Building, The University of Michigan, Ann Arbor, MI 48109-2143 (United States); Wollack, E, E-mail: charles.lawrence@jpl.nasa.go [NASA/GSFC, Code 665, Observational Cosmology Laboratory, Greenbelt, MD 20771 (United States)

    2009-03-01

    Coherent systems offer significant advantages in simplicity, testability, control of systematics, and cost. Although quantum noise sets the fundamental limit to their performance at high frequencies, recent breakthroughs suggest that near-quantum-limited noise up to 150 or even 200 GHz could be realized within a few years. If the demands of component separation can be met with frequencies below 200 GHz, coherent systems will be strong competitors for a space CMB polarization mission. The rapid development of digital correlator capability now makes space interferometers with many hundreds of elements possible. Given the advantages of coherent interferometers in suppressing systematic effects, such systems deserve serious study.

  17. EUV patterning using CAR or MOX photoresist at low dose exposure for sub 36nm pitch

    Science.gov (United States)

    Thibaut, Sophie; Raley, Angélique; Lazarrino, Frederic; Mao, Ming; De Simone, Danilo; Piumi, Daniele; Barla, Kathy; Ko, Akiteru; Metz, Andrew; Kumar, Kaushik; Biolsi, Peter

    2018-04-01

    The semiconductor industry has been pushing the limits of scalability by combining 193nm immersion lithography with multi-patterning techniques for several years. Those integrations have been declined in a wide variety of options to lower their cost but retain their inherent variability and process complexity. EUV lithography offers a much desired path that allows for direct print of line and space at 36nm pitch and below and effectively addresses issues like cycle time, intra-level overlay and mask count costs associated with multi-patterning. However it also brings its own sets of challenges. One of the major barrier to high volume manufacturing implementation has been hitting the 250W power exposure required for adequate throughput [1]. Enabling patterning using a lower dose resist could help move us closer to the HVM throughput targets assuming required performance for roughness and pattern transfer can be met. As plasma etching is known to reduce line edge roughness on 193nm lithography printed features [2], we investigate in this paper the level of roughness that can be achieved on EUV photoresist exposed at a lower dose through etch process optimization into a typical back end of line film stack. We will study 16nm lines printed at 32 and 34nm pitch. MOX and CAR photoresist performance will be compared. We will review step by step etch chemistry development to reach adequate selectivity and roughness reduction to successfully pattern the target layer.

  18. Weakly compact operators and interpolation

    OpenAIRE

    Maligranda, Lech

    1992-01-01

    The class of weakly compact operators is, as well as the class of compact operators, a fundamental operator ideal. They were investigated strongly in the last twenty years. In this survey, we have collected and ordered some of this (partly very new) knowledge. We have also included some comments, remarks and examples. The class of weakly compact operators is, as well as the class of compact operators, a fundamental operator ideal. They were investigated strongly in the last twenty years. I...

  19. Teleportation of a Coherent Superposition State Via a nonmaximally Entangled Coherent Xhannel

    Institute of Scientific and Technical Information of China (English)

    2002-01-01

    @@ We investigate the problemm of teleportation of a superposition coherent state with nonmaximally entangled coherent channel. Two strategies are considered to complete the task. The first one uses entanglement concentration to purify the channel to a maximally entangled one. The second one teleports the state through the nonmaximally entangled coherent channel directly. We find that the probabilities of successful teleportations for the two strategies are depend on the amplitudes of the coherent states and the mean fidelity of teleportation using the first strategy is always less than that of the second strategy.

  20. Breakout Reconnection Observed by the TESIS EUV Telescope

    Science.gov (United States)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s-1. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5-4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  1. Detecting gravitational waves from inspiraling binaries with a network of detectors: Coherent versus coincident strategies

    International Nuclear Information System (INIS)

    Mukhopadhyay, Himan; Dhurandhar, Sanjeev; Sago, Norichika; Tagoshi, Hideyuki; Takahashi, Hirotaka; Kanda, Nobuyuki

    2006-01-01

    We compare two strategies of multidetector detection of compact binary inspiral signals, namely, the coincidence and the coherent. For simplicity we consider here two identical detectors having the same power spectral density of noise, that of initial LIGO, located in the same place and having the same orientation. We consider the cases of independent noise as well as that of correlated noise. The coincident strategy involves separately making two candidate event lists, one for each detector, and from these choosing those pairs of events from the two lists which lie within a suitable parameter window, which then are called coincidence detections. The coherent strategy on the other hand involves combining the data phase coherently, so as to obtain a single network statistic which is then compared with a single threshold. Here we attempt to shed light on the question as to which strategy is better. We compare the performances of the two methods by plotting the receiver operating characteristics (ROC) for the two strategies. Several of the results are obtained analytically in order to gain insight. Further we perform numerical simulations in order to determine certain parameters in the analytic formulae and thus obtain the final complete results. We consider here several cases from the relatively simple to the astrophysically more relevant in order to establish our results. The bottom line is that the coherent strategy although more computationally expensive in general than the coincidence strategy, is superior to the coincidence strategy--considerably less false dismissal probability for the same false alarm probability in the viable false alarm regime

  2. The Entropy of Co-Compact Open Covers

    Directory of Open Access Journals (Sweden)

    Steven Bourquin

    2013-06-01

    Full Text Available Co-compact entropy is introduced as an invariant of topological conjugation for perfect mappings defined on any Hausdorff space (compactness and metrizability are not necessarily required. This is achieved through the consideration of co-compact covers of the space. The advantages of co-compact entropy include: (1 it does not require the space to be compact and, thus, generalizes Adler, Konheim and McAndrew’s topological entropy of continuous mappings on compact dynamical systems; and (2 it is an invariant of topological conjugation, compared to Bowen’s entropy, which is metric-dependent. Other properties of co-compact entropy are investigated, e.g., the co-compact entropy of a subsystem does not exceed that of the whole system. For the linear system, (R; f, defined by f(x = 2x, the co-compact entropy is zero, while Bowen’s entropy for this system is at least log 2. More generally, it is found that co-compact entropy is a lower bound of Bowen’s entropies, and the proof of this result also generates the Lebesgue Covering Theorem to co-compact open covers of non-compact metric spaces.

  3. q-deformed charged fermion coherent states and SU(3) charged, Hyper-charged fermion coherent states

    International Nuclear Information System (INIS)

    Hao Sanru; Li Guanghua; Long Junyan

    1994-01-01

    By virtue of the algebra of the q-deformed fermion oscillators, the q-deformed charged fermion coherent states and SU(3) charged, hyper-charged fermion coherent states are discussed. The explicit forms of the two kinds of coherent states mentioned above are obtained by making use of the completeness of base vectors in the q-fermion Fock space. By comparing the q-deformed results with the ordinary results, it is found that the q-deformed charged fermion coherent states and SU(3) charged, hyper-charged fermion coherent states are automatically reduced to the ordinary charged fermion coherent states and SU(3) charged hyper-charged fermion coherent states if the deformed parameter q→1

  4. Coherence factors beyond the BCS expressions—a derivation

    International Nuclear Information System (INIS)

    Gorohovsky, G; Bettelheim, E

    2014-01-01

    We present a derivation of a previously announced result for matrix elements between exact eigenstates of the pairing Hamiltonian. Our results, which generalize the well-known Bardeen–Cooper–Schrieffer (BCS) (Bardeen et al 1957 Phys. Rev. 108 1175; 1957 Phys. Rev. 106 162) expressions for what are known as ‘coherence factors’, are derived based on the Slavnov (1989 Theor. Math. Phys. 79 502) formula for overlaps between Bethe-ansatz states, thus making use of the known connection between the exact diagonalization of the BCS Hamiltonian, due to Richardson (1963 Phys. Lett. 3 277; 1964 Nucl. Phys. A 52 221), and the algebraic Bethe ansatz. The resulting formula has a compact form after a suitable parameterization of the energy plane. Although we apply our method here to the pairing Hamiltonian, it may be adjusted to study what is termed the ‘Sutherland limit’ (Sutherland 1995 Phys. Rev. Lett. 74 816) for exactly solvable models, namely where a macroscopic number of rapidities form a large string. (paper)

  5. Optimal design of compact and connected nature reserves for multiple species.

    Science.gov (United States)

    Wang, Yicheng; Önal, Hayri

    2016-04-01

    When designing a conservation reserve system for multiple species, spatial attributes of the reserves must be taken into account at species level. The existing optimal reserve design literature considers either one spatial attribute or when multiple attributes are considered the analysis is restricted only to one species. We built a linear integer programing model that incorporates compactness and connectivity of the landscape reserved for multiple species. The model identifies multiple reserves that each serve a subset of target species with a specified coverage probability threshold to ensure the species' long-term survival in the reserve, and each target species is covered (protected) with another probability threshold at the reserve system level. We modeled compactness by minimizing the total distance between selected sites and central sites, and we modeled connectivity of a selected site to its designated central site by selecting at least one of its adjacent sites that has a nearer distance to the central site. We considered structural distance and functional distances that incorporated site quality between sites. We tested the model using randomly generated data on 2 species, one ground species that required structural connectivity and the other an avian species that required functional connectivity. We applied the model to 10 bird species listed as endangered by the state of Illinois (U.S.A.). Spatial coherence and selection cost of the reserves differed substantially depending on the weights assigned to these 2 criteria. The model can be used to design a reserve system for multiple species, especially species whose habitats are far apart in which case multiple disjunct but compact and connected reserves are advantageous. The model can be modified to increase or decrease the distance between reserves to reduce or promote population connectivity. © 2015 Society for Conservation Biology.

  6. EAF Slag Aggregate in Roller-Compacted Concrete Pavement: Effects of Delay in Compaction

    Directory of Open Access Journals (Sweden)

    My Ngoc-Tra Lam

    2018-04-01

    Full Text Available This study investigates the effect of delay in compaction on the optimum moisture content and the mechanical propertie s (i.e., compressive strength, ultrasonic pulse velocity, splitting tensile strength, and modulus of elasticity of roller-compacted concrete pavement (RCCP made of electric arc furnace (EAF slag aggregate. EAF slag with size in the range of 4.75–19 mm was used to replace natural coarse aggregate in RCCP mixtures. A new mixing method was proposed for RCCP using EAF slag aggregate. The optimum moisture content of RCCP mixtures in this study was determined by a soil compaction method. The Proctor test assessed the optimum moisture content of mixtures at various time after mixing completion (i.e., 0, 15, 30, 60, and 90 min. Then, the effect of delay in compaction on the mechanical properties of RCCP mixtures at 28 days of age containing EAF slag aggregate was studied. The results presented that the negative effect on water content in the mixture caused by the higher water absorption characteristic of EAF slag was mitigated by the new mixing method. The optimum water content and maximum dry density of RCCP experience almost no effect from the delay in compaction. The compressive strength and splitting tensile strength of RCCP using EAF slag aggregate fulfilled the strength requirements for pavement with 90 min of delay in compaction.

  7. Development of the high-power THz spectroscopy and imaging systems on the basis of an S-band compact electron LINAC

    International Nuclear Information System (INIS)

    Kuroda, R.; Taira, Y.; Tanaka, M.; Toyokawa, H.; Yamada, K.; Kumaki, M.; Tachibana, M.; Sakaue, K.; Washio, M.

    2014-01-01

    The high-power terahertz time-domain spectroscopy (THz-TDS) and imaging systems have been developed on the basis of an S-band compact electron linac at AIST. Such high-power THz source is strongly expected for inspection of dangerous materials in the homeland security field. The high-power THz radiations are generated in two methods with the high-brightness ultra-short electron bunch. One is THz coherent synchrotron radiation (THz-CSR) for THz imaging applications. The other is THz coherent transition radiation (THz-CTR) for the THz spectroscopy. The THz-CTR time-domain spectroscopy (TDS) has been constructed with the EO sampling method and demonstrated in freq. range between 0.1-2 THz. The absorption measurements of drug samples have been successfully performed in atmosphere. In this symposium, we will describe details of the THz-CTR-TDS and imaging experiments and a future plan of the THz applications. (author)

  8. Topological Properties of Spatial Coherence Function

    International Nuclear Information System (INIS)

    Ji-Rong, Ren; Tao, Zhu; Yi-Shi, Duan

    2008-01-01

    The topological properties of the spatial coherence function are investigated rigorously. The phase singular structures (coherence vortices) of coherence function can be naturally deduced from the topological current, which is an abstract mathematical object studied previously. We find that coherence vortices are characterized by the Hopf index and Brouwer degree in topology. The coherence flux quantization and the linking of the closed coherence vortices are also studied from the topological properties of the spatial coherence function

  9. Fiber optic coherent laser radar 3D vision system

    International Nuclear Information System (INIS)

    Clark, R.B.; Gallman, P.G.; Slotwinski, A.R.; Wagner, K.; Weaver, S.; Xu, Jieping

    1996-01-01

    This CLVS will provide a substantial advance in high speed computer vision performance to support robotic Environmental Management (EM) operations. This 3D system employs a compact fiber optic based scanner and operator at a 128 x 128 pixel frame at one frame per second with a range resolution of 1 mm over its 1.5 meter working range. Using acousto-optic deflectors, the scanner is completely randomly addressable. This can provide live 3D monitoring for situations where it is necessary to update once per second. This can be used for decontamination and decommissioning operations in which robotic systems are altering the scene such as in waste removal, surface scarafacing, or equipment disassembly and removal. The fiber- optic coherent laser radar based system is immune to variations in lighting, color, or surface shading, which have plagued the reliability of existing 3D vision systems, while providing substantially superior range resolution

  10. Coherent Doppler Laser Radar: Technology Development and Applications

    Science.gov (United States)

    Kavaya, Michael J.; Arnold, James E. (Technical Monitor)

    2000-01-01

    NASA's Marshall Space Flight Center has been investigating, developing, and applying coherent Doppler laser radar technology for over 30 years. These efforts have included the first wind measurement in 1967, the first airborne flights in 1972, the first airborne wind field mapping in 1981, and the first measurement of hurricane eyewall winds in 1998. A parallel effort at MSFC since 1982 has been the study, modeling and technology development for a space-based global wind measurement system. These endeavors to date have resulted in compact, robust, eyesafe lidars at 2 micron wavelength based on solid-state laser technology; in a factor of 6 volume reduction in near diffraction limited, space-qualifiable telescopes; in sophisticated airborne scanners with full platform motion subtraction; in local oscillator lasers capable of rapid tuning of 25 GHz for removal of relative laser radar to target velocities over a 25 km/s range; in performance prediction theory and simulations that have been validated experimentally; and in extensive field campaign experience. We have also begun efforts to dramatically improve the fundamental photon efficiency of the laser radar, to demonstrate advanced lower mass laser radar telescopes and scanners; to develop laser and laser radar system alignment maintenance technologies; and to greatly improve the electrical efficiency, cooling technique, and robustness of the pulsed laser. This coherent Doppler laser radar technology is suitable for high resolution, high accuracy wind mapping; for aerosol and cloud measurement; for Differential Absorption Lidar (DIAL) measurements of atmospheric and trace gases; for hard target range and velocity measurement; and for hard target vibration spectra measurement. It is also suitable for a number of aircraft operations applications such as clear air turbulence (CAT) detection; dangerous wind shear (microburst) detection; airspeed, angle of attack, and sideslip measurement; and fuel savings through

  11. Coherently combining data between detectors for all-sky semi-coherent continuous gravitational wave searches

    International Nuclear Information System (INIS)

    Goetz, E; Riles, K

    2016-01-01

    We present a method for coherently combining short data segments from gravitational-wave detectors to improve the sensitivity of semi-coherent searches for continuous gravitational waves. All-sky searches for continuous gravitational waves from unknown sources are computationally limited. The semi-coherent approach reduces the computational cost by dividing the entire observation timespan into short segments to be analyzed coherently, then combined together incoherently. Semi-coherent analyses that attempt to improve sensitivity by coherently combining data from multiple detectors face a computational challenge in accounting for uncertainties in signal parameters. In this article, we lay out a technique to meet this challenge using summed Fourier transform coefficients. Applying this technique to one all-sky search algorithm called TwoSpect, we confirm that the sensitivity of all-sky, semi-coherent searches can be improved by coherently combining the short data segments, e.g., by up to 42% over a single detector for an all-sky search. For misaligned detectors, however, this improvement requires careful attention when marginalizing over unknown polarization parameters. In addition, care must be taken in correcting for differential detector velocity due to the Earth’s rotation for high signal frequencies and widely separated detectors. (paper)

  12. EUV emission from Kr and Xe capillary discharge plasmas

    International Nuclear Information System (INIS)

    Juschkin, L.; Ellwi, S.; Kunze, H-J.; Chuvatin, A.; Zakharov, S.V.

    2002-01-01

    Kr and Xe plasmas are very intensive emitters in the spectral range of 100-150 A, which is relevant for a number of applications (for example microlithography). We present investigations of the extreme utraviolet (EUV) emission from a slow capillary discharge with Kr and Xe fillings. The emission of Kr ions (Kr VIII to Kr XI) within the range of 70-150 A consists of three bands of lines of about 10 A width with maxima at 116, 103 and 86 A. Xe emission bands of about 15 A width have their maxima at 136 and 115 A (Xe IX to Xe XII). The radiation duration in this spectral range is ∼150 ns for both elements. At the optimum conditions, the Kr emission at 103 A is 2-3 times more intense than the Xe emission at 136 A. The measured spectral energy of Kr radiation is about 0.1 J sr -1 A -1 . Experimental results are compared with numerical modellings of the dynamics and emission of the capillary discharge plasma, which enables the determination of plasma parameters and the future use of the codes as additional instruments for plasma diagnostics. (author)

  13. The Rapid Formation of Localized Compaction Bands Under Hydrostatic Load Leading to Pore-pressure Transients in Compacting Rocks

    Science.gov (United States)

    Faulkner, D.; Leclere, H.; Bedford, J. D.; Behnsen, J.; Wheeler, J.

    2017-12-01

    Compaction of porous rocks can occur uniformly or within localized deformation bands. The formation of compaction bands and their effects on deformation behaviour are poorly understood. Porosity may be primary and compaction can occur with burial, or it can be produced by metamorphic reactions with a solid volume reduction, that can then undergo collapse. We report results from hydrostatic compaction experiments on porous bassanite (CaSO4.0.5H2O) aggregates. Gypsum (CaSO4.2H2O) is first dehydrated under low effective pressure, 4 MPa, to produce a bassanite aggregate with a porosity of 27%. Compaction is induced by increasing confining pressure at rates from 0.001 MPa/s to 0.02 MPa/s while the sample is maintained at a temperature of 115°C. At slow compaction rates, porosity collapse proceeds smoothly. At higher compaction rates, sudden increases in the pore-fluid pressure occur with a magnitude of 5 MPa. Microstructural investigations using X-ray microtomography and SEM observations show that randomly oriented localized compaction features occur in all samples, where the bulk porosity of 18% outside the band is reduced to 5% inside the band. Previous work on deformation bands has suggested that localized compactive features only form under an elevated differential stress and not under a hydrostatic stress state. The magnitude of the pore-pressure pulses can be explained by the formation of compaction bands. The results indicate that the compaction bands can form by rapid (unstable) propagation across the sample above a critical strain rate, or quasi-statically at low compaction rates without pore-fluid pressure bursts. The absence of pore-fluid pressure bursts at slow compaction rates can be explained by viscous deformation of the bassanite aggregate around the tip of a propagating compaction band, relaxing stress, and promoting stable propagation. Conversely, at higher compaction rates, viscous deformation cannot relax the stress sufficiently and unstable

  14. Spectral coherence in windturbine wakes

    Energy Technology Data Exchange (ETDEWEB)

    Hojstrup, J. [Riso National Lab., Roskilde (Denmark)

    1996-12-31

    This paper describes an experiment at a Danish wind farm to investigate the lateral and vertical coherences in the nonequilibrium turbulence of a wind turbine wake. Two meteorological masts were instrumented for measuring profiles of mean speed, turbulence, and temperature. Results are provided graphically for turbulence intensities, velocity spectra, lateral coherence, and vertical coherence. The turbulence was somewhat influenced by the wake, or possibly from aggregated wakes further upstream, even at 14.5 diameters. Lateral coherence (separation 5m) seemed to be unaffected by the wake at 7.5 diameters, but the flow was less coherent in the near wake. The wake appeared to have little influence on vertical coherence (separation 13m). Simple, conventional models for coherence appeared to be adequate descriptions for wake turbulence except for the near wake situation. 3 refs., 7 figs., 1 tab.

  15. Diverse Formation Mechanisms for Compact Galaxies

    Science.gov (United States)

    Kim, Jin-Ah; Paudel, Sanjaya; Yoon, Suk-Jin

    2018-01-01

    Compact, quenched galaxies such as M32 are unusual ones located off the mass - size scaling relation defined by normal galaxies. Still, their formation mechanisms remain unsolved. Here we investigate the evolution of ~100 compact, quenched galaxies at z = 0 identified in the Illustris cosmological simulation. We identify three ways for a galaxy to become a compact one and, often, multiple mechanisms operate in a combined manner. First, stripping is responsible for making about a third of compact galaxies. Stripping removes stars from galaxies, usually while keeping their sizes intact. About one third are galaxies that cease their growth early on after entering into more massive, gigantic halos. Finally, about half of compact galaxies, ~ 35 % of which turn out to undergo stripping, experience the compaction due to the highly centrally concentrated star formation. We discuss the evolutionary path of compact galaxies on the mass – size plane for each mechanism in a broader context of dwarf galaxy formation and evolution.

  16. Coherent ultra-violet to near-infrared generation in silica ridge waveguides.

    Science.gov (United States)

    Yoon Oh, Dong; Yang, Ki Youl; Fredrick, Connor; Ycas, Gabriel; Diddams, Scott A; Vahala, Kerry J

    2017-01-09

    Short duration, intense pulses of light can experience dramatic spectral broadening when propagating through lengths of optical fibre. This continuum generation process is caused by a combination of nonlinear optical effects including the formation of dispersive waves. Optical analogues of Cherenkov radiation, these waves allow a pulse to radiate power into a distant spectral region. In this work, efficient and coherent dispersive wave generation of visible to ultraviolet light is demonstrated in silica waveguides on a silicon chip. Unlike fibre broadeners, the arrays provide a wide range of emission wavelength choices on a single, compact chip. This new capability is used to simplify offset frequency measurements of a mode-locked frequency comb. The arrays can also enable mode-locked lasers to attain unprecedented tunable spectral reach for spectroscopy, bioimaging, tomography and metrology.

  17. Compact Process Development at Babcock & Wilcox

    Energy Technology Data Exchange (ETDEWEB)

    Eric Shaber; Jeffrey Phillips

    2012-03-01

    Multiple process approaches have been used historically to manufacture cylindrical nuclear fuel compacts. Scale-up of fuel compacting was required for the Next Generation Nuclear Plant (NGNP) project to achieve an economically viable automated production process capable of providing a minimum of 10 compacts/minute with high production yields. In addition, the scale-up effort was required to achieve matrix density equivalent to baseline historical production processes, and allow compacting at fuel packing fractions up to 46% by volume. The scale-up approach of jet milling, fluid-bed overcoating, and hot-press compacting adopted in the U.S. Advanced Gas Reactor (AGR) Fuel Development Program involves significant paradigm shifts to capitalize on distinct advantages in simplicity, yield, and elimination of mixed waste. A series of compaction trials have been completed to optimize compaction conditions of time, temperature, and forming pressure using natural uranium oxycarbide (NUCO) fuel at packing fractions exceeding 46% by volume. Results from these trials are included. The scale-up effort is nearing completion with the process installed and operable using nuclear fuel materials. Final process testing is in progress to certify the process for manufacture of qualification test fuel compacts in 2012.

  18. Compact magnetic confinement fusion: Spherical torus and compact torus

    Directory of Open Access Journals (Sweden)

    Zhe Gao

    2016-05-01

    Full Text Available The spherical torus (ST and compact torus (CT are two kinds of alternative magnetic confinement fusion concepts with compact geometry. The ST is actually a sub-category of tokamak with a low aspect ratio; while the CT is a toroidal magnetic configuration with a simply-connected geometry including spheromak and field reversed pinch. The ST and CT have potential advantages for ultimate fusion reactor; while at present they can also provide unique fusion science and technology contributions for mainstream fusion research. However, some critical scientific and technology issues should be extensively investigated.

  19. FORMATION OF S-BEARING SPECIES BY VUV/EUV IRRADIATION OF H2S-CONTAINING ICE MIXTURES: PHOTON ENERGY AND CARBON SOURCE EFFECTS

    International Nuclear Information System (INIS)

    Chen, Y.-J.; Juang, K.-J.; Qiu, J.-M.; Chu, C.-C.; Yih, T.-S.; Nuevo, M.; Jiménez-Escobar, A.; Muñoz Caro, G. M.; Wu, C.-Y. R.; Fung, H.-S.; Ip, W.-H.

    2015-01-01

    Carbonyl sulfide (OCS) is a key molecule in astrobiology that acts as a catalyst in peptide synthesis by coupling amino acids. Experimental studies suggest that hydrogen sulfide (H 2 S), a precursor of OCS, could be present in astrophysical environments. In the present study, we used a microwave-discharge hydrogen-flow lamp, simulating the interstellar UV field, and a monochromatic synchrotron light beam to irradiate CO:H 2 S and CO 2 :H 2 S ice mixtures at 14 K with vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) photons in order to study the effect of the photon energy and carbon source on the formation mechanisms and production yields of S-containing products (CS 2 , OCS, SO 2 , etc.). Results show that (1) the photo-induced OCS production efficiency in CO:H 2 S ice mixtures is higher than that of CO 2 :H 2 S ice mixtures; (2) a lower concentration of H 2 S enhances the production efficiency of OCS in both ice mixtures; and (3) the formation pathways of CS 2 differ significantly upon VUV and EUV irradiations. Furthermore, CS 2 was produced only after VUV photoprocessing of CO:H 2 S ices, while the VUV-induced production of SO 2 occurred only in CO 2 :H 2 S ice mixtures. More generally, the production yields of OCS, H 2 S 2 , and CS 2 were studied as a function of the irradiation photon energy. Heavy S-bearing compounds were also observed using mass spectrometry during the warm-up of VUV/EUV-irradiated CO:H 2 S ice mixtures. The presence of S-polymers in dust grains may account for the missing sulfur in dense clouds and circumstellar environments

  20. Compact stars

    Science.gov (United States)

    Estevez-Delgado, Gabino; Estevez-Delgado, Joaquin

    2018-05-01

    An analysis and construction is presented for a stellar model characterized by two parameters (w, n) associated with the compactness ratio and anisotropy, respectively. The reliability range for the parameter w ≤ 1.97981225149 corresponds with a compactness ratio u ≤ 0.2644959374, the density and pressures are positive, regular and monotonic decrescent functions, the radial and tangential speed of sound are lower than the light speed, moreover, than the plausible stability. The behavior of the speeds of sound are determinate for the anisotropy parameter n, admitting a subinterval where the speeds are monotonic crescent functions and other where we have monotonic decrescent functions for the same speeds, both cases describing a compact object that is also potentially stable. In the bigger value for the observational mass M = 2.05 M⊙ and radii R = 12.957 Km for the star PSR J0348+0432, the model indicates that the maximum central density ρc = 1.283820319 × 1018 Kg/m3 corresponds to the maximum value of the anisotropy parameter and the radial and tangential speed of the sound are monotonic decrescent functions.

  1. Roller-compacted concrete pavements.

    Science.gov (United States)

    2010-09-01

    Roller-compacted concrete (RCC) gets its name from the heavy vibratory steel drum and rubber-tired rollers used to help compact it into its final form. RCC has similar strength properties and consists of the same basic ingredients as conventional con...

  2. Preliminary result on quantitative analysis using Zn-like tungsten EUV spectrum in Large Helical Device

    International Nuclear Information System (INIS)

    Morita, Shigeru; Dong, Chunfeng; Wang, Erhui

    2013-01-01

    Tungsten study through visible, vacuum ultraviolet (VUV) and extreme ultraviolet (EUV) spectroscopy has been recently started in Large Helical Device (LHD) for developing the diagnostic method in International Thermonuclear Experimental Reactor (ITER) and understanding the tungsten transport in helical system. In order to study the tungsten spectra from core plasmas of LHD, several tungsten spectra are observed in EUV range by injecting a carbon pellet with tungsten. Zn-like tungsten spectrum with 4p-4s transition is clearly identified at 60.9Å in high-temperature phase (T_e ≥ 2.3 keV) of NBI discharges in addition to several unresolved transition arrays with 6g-4f, 5g-4f, 5f-4d, 5g-4f, 4f-4d and 4d-4p transitions in range of 10-70Å. Radial profile of the Zn-like tungsten is also successfully observed with enough intensity in order of 10"1"6 photons.cm"-"2.s"-"1. The radial emissivity profile reconstructed from the chord-integrated intensity profile is analyzed with combination of HULLAC code for emission coefficient calculation of the Zn-like transition and impurity transport code included ADPAK code for calculation of ionization and recombination rate coefficients. Thus, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center is reasonably obtained in discharge with central electron density of 4x10"1"3 cm"-"3 as the first experimental trial. The present result demonstrates that the Zn-like 4p-4s transition is applicable to the tungsten diagnostics in high-temperature plasmas. (author)

  3. System integration and performance of the EUV engineering test stand

    International Nuclear Information System (INIS)

    Tichenor, Daniel A.; Ray-Chaudhuri, Avijit K.; Replogle, William C.; Stulen, Richard H.; Kubiak, Glenn D.; Rockett, Paul D.; Klebanoff, Leonard E.; Jefferson, Karen L.; Leung, Alvin H.; Wronosky, John B.; Hale, Layton C.; Chapman, Henry N.; Taylor, John S.; Folta, James A.; Montcalm, Claude; Soufli, Regina; Spiller, Eberhard; Blaedel, Kenneth; Sommargren, Gary E.; Sweeney, Donald W.; Naulleau, Patrick; Goldberg, Kenneth A.; Gullikson, Eric M.; Bokor, Jeffrey; Batson, Phillip J.; Attwood, David T.; Jackson, Keith H.; Hector, Scott D.; Gwyn, Charles W.; Yan, Pei-Yang; Yan, P.

    2001-01-01

    The Engineering Test Stand (ETS) is a developmental lithography tool designed to demonstrate full-field EUV imaging and provide data for commercial-tool development. In the first phase of integration, currently in progress, the ETS is configured using a developmental projection system, while fabrication of an improved projection system proceeds in parallel. The optics in the second projection system have been fabricated to tighter specifications for improved resolution and reduced flare. The projection system is a 4-mirror, 4x-reduction, ring-field design having a numeral aperture of 0.1, which supports 70 nm resolution at a k 1 of 0.52. The illuminator produces 13.4 nm radiation from a laser-produced plasma, directs the radiation onto an arc-shaped field of view, and provides an effective fill factor at the pupil plane of 0.7. The ETS is designed for full-field images in step-and-scan mode using vacuum-compatible, magnetically levitated, scanning stages. This paper describes system performance observed during the first phase of integration, including static resist images of 100 nm isolated and dense features

  4. Linear algebraic theory of partial coherence: discrete fields and measures of partial coherence.

    Science.gov (United States)

    Ozaktas, Haldun M; Yüksel, Serdar; Kutay, M Alper

    2002-08-01

    A linear algebraic theory of partial coherence is presented that allows precise mathematical definitions of concepts such as coherence and incoherence. This not only provides new perspectives and insights but also allows us to employ the conceptual and algebraic tools of linear algebra in applications. We define several scalar measures of the degree of partial coherence of an optical field that are zero for full incoherence and unity for full coherence. The mathematical definitions are related to our physical understanding of the corresponding concepts by considering them in the context of Young's experiment.

  5. Optical Coherence and Quantum Optics

    CERN Document Server

    Mandel, Leonard

    1995-01-01

    This book presents a systematic account of optical coherence theory within the framework of classical optics, as applied to such topics as radiation from sources of different states of coherence, foundations of radiometry, effects of source coherence on the spectra of radiated fields, coherence theory of laser modes, and scattering of partially coherent light by random media. The book starts with a full mathematical introduction to the subject area and each chapter concludes with a set of exercises. The authors are renowned scientists and have made substantial contributions to many of the topi

  6. The Lω-Compactness in Lω-Spaces

    Directory of Open Access Journals (Sweden)

    Shui-Li Chen

    2013-01-01

    Full Text Available The concepts of αω-remote neighborhood family, γω-cover, and Lω-compactness are defined in Lω-spaces. The characterizations of Lω-compactness are systematically discussed. Some important properties of Lω-compactness such as ω-closed heredity, arbitrarily multiplicative property, and preserving invariance under ω-continuous mappings are obtained. Finally, the Alexander ω-subbase lemma and the Tychonoff product theorem with respect to Lω-compactness are given.

  7. UV written compact broadband optical couplers

    DEFF Research Database (Denmark)

    Olivero, Massimo; Svalgaard, Mikael

    2005-01-01

    In this paper the first demonstration of compact asymmetric directional couplers made by UV writing is presented. The combined performance in terms bandwidth, loss and compactness exceeds that reported using other, more elaborate fabrication techniques.......In this paper the first demonstration of compact asymmetric directional couplers made by UV writing is presented. The combined performance in terms bandwidth, loss and compactness exceeds that reported using other, more elaborate fabrication techniques....

  8. On Longitudinal Spectral Coherence

    DEFF Research Database (Denmark)

    Kristensen, Leif

    1979-01-01

    It is demonstrated that the longitudinal spectral coherence differs significantly from the transversal spectral coherence in its dependence on displacement and frequency. An expression for the longitudinal coherence is derived and it is shown how the scale of turbulence, the displacement between ...... observation sites and the turbulence intensity influence the results. The limitations of the theory are discussed....

  9. Prediction of reservoir compaction and surface subsidence

    Energy Technology Data Exchange (ETDEWEB)

    De Waal, J.A.; Smits, R.M.M.

    1988-06-01

    A new loading-rate-dependent compaction model for unconsolidated clastic reservoirs is presented that considerably improves the accuracy of predicting reservoir rock compaction and surface subsidence resulting from pressure depletion in oil and gas fields. The model has been developed on the basis of extensive laboratory studies and can be derived from a theory relating compaction to time-dependent intergranular friction. The procedure for calculating reservoir compaction from laboratory measurements with the new model is outlined. Both field and laboratory compaction behaviors appear to be described by one single normalized, nonlinear compaction curve. With the new model, the large discrepancies usually observed between predictions based on linear compaction models and actual (nonlinear) field behavior can be explained.

  10. Store operations to maintain cache coherence

    Energy Technology Data Exchange (ETDEWEB)

    Evangelinos, Constantinos; Nair, Ravi; Ohmacht, Martin

    2017-08-01

    In one embodiment, a computer-implemented method includes encountering a store operation during a compile-time of a program, where the store operation is applicable to a memory line. It is determined, by a computer processor, that no cache coherence action is necessary for the store operation. A store-without-coherence-action instruction is generated for the store operation, responsive to determining that no cache coherence action is necessary. The store-without-coherence-action instruction specifies that the store operation is to be performed without a cache coherence action, and cache coherence is maintained upon execution of the store-without-coherence-action instruction.

  11. Store operations to maintain cache coherence

    Energy Technology Data Exchange (ETDEWEB)

    Evangelinos, Constantinos; Nair, Ravi; Ohmacht, Martin

    2017-09-12

    In one embodiment, a computer-implemented method includes encountering a store operation during a compile-time of a program, where the store operation is applicable to a memory line. It is determined, by a computer processor, that no cache coherence action is necessary for the store operation. A store-without-coherence-action instruction is generated for the store operation, responsive to determining that no cache coherence action is necessary. The store-without-coherence-action instruction specifies that the store operation is to be performed without a cache coherence action, and cache coherence is maintained upon execution of the store-without-coherence-action instruction.

  12. Compaction properties of isomalt

    NARCIS (Netherlands)

    Bolhuis, Gerad K.; Engelhart, Jeffrey J. P.; Eissens, Anko C.

    Although other polyols have been described extensively as filler-binders in direct compaction of tablets, the polyol isomalt is rather unknown as pharmaceutical excipient, in spite of its description in all the main pharmacopoeias. In this paper the compaction properties of different types of

  13. Phased array compaction cell for measurement of the transversely isotropic elastic properties of compacting sediments

    Energy Technology Data Exchange (ETDEWEB)

    Nihei, K.T.; Nakagawa, S.; Reverdy, F.; Meyer, L.R.; Duranti, L.; Ball, G.

    2010-12-15

    Sediments undergoing compaction typically exhibit transversely isotropic (TI) elastic properties. We present a new experimental apparatus, the phased array compaction cell, for measuring the TI elastic properties of clay-rich sediments during compaction. This apparatus uses matched sets of P- and S-wave ultrasonic transducers located along the sides of the sample and an ultrasonic P-wave phased array source, together with a miniature P-wave receiver on the top and bottom ends of the sample. The phased array measurements are used to form plane P-waves that provide estimates of the phase velocities over a range of angles. From these measurements, the five TI elastic constants can be recovered as the sediment is compacted, without the need for sample unloading, recoring, or reorienting. This paper provides descriptions of the apparatus, the data processing, and an application demonstrating recovery of the evolving TI properties of a compacting marine sediment sample.

  14. On P-coherent endomorphism rings

    Indian Academy of Sciences (India)

    A ring is called right -coherent if every principal right ideal is finitely presented. Let M R be a right -module. We study the -coherence of the endomorphism ring of M R . It is shown that is a right -coherent ring if and only if every endomorphism of M R has a pseudokernel in add M R ; S is a left -coherent ring if and ...

  15. Strong-coupling of WSe2 in ultra-compact plasmonic nanocavities at room temperature.

    Science.gov (United States)

    Kleemann, Marie-Elena; Chikkaraddy, Rohit; Alexeev, Evgeny M; Kos, Dean; Carnegie, Cloudy; Deacon, Will; de Pury, Alex Casalis; Große, Christoph; de Nijs, Bart; Mertens, Jan; Tartakovskii, Alexander I; Baumberg, Jeremy J

    2017-11-03

    Strong coupling of monolayer metal dichalcogenide semiconductors with light offers encouraging prospects for realistic exciton devices at room temperature. However, the nature of this coupling depends extremely sensitively on the optical confinement and the orientation of electronic dipoles and fields. Here, we show how plasmon strong coupling can be achieved in compact, robust, and easily assembled gold nano-gap resonators at room temperature. We prove that strong-coupling is impossible with monolayers due to the large exciton coherence size, but resolve clear anti-crossings for greater than 7 layer devices with Rabi splittings exceeding 135 meV. We show that such structures improve on prospects for nonlinear exciton functionalities by at least 10 4 , while retaining quantum efficiencies above 50%, and demonstrate evidence for superlinear light emission.

  16. Large-scale dynamic compaction of natural salt

    International Nuclear Information System (INIS)

    Hansen, F.D.; Ahrens, E.H.

    1996-01-01

    A large-scale dynamic compaction demonstration of natural salt was successfully completed. About 40 m 3 of salt were compacted in three, 2-m lifts by dropping a 9,000-kg weight from a height of 15 m in a systematic pattern to achieve desired compaction energy. To enhance compaction, 1 wt% water was added to the relatively dry mine-run salt. The average compacted mass fractional density was 0.90 of natural intact salt, and in situ nitrogen permeabilities averaged 9X10 -14 m 2 . This established viability of dynamic compacting for placing salt shaft seal components. The demonstration also provided compacted salt parameters needed for shaft seal system design and performance assessments of the Waste Isolation Pilot Plant

  17. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    Energy Technology Data Exchange (ETDEWEB)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V., E-mail: reva.antoine@gmail.com [Lebedev Physical Institute, Russian Academy of Sciences (Russian Federation)

    2016-01-10

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R{sub ⊙} from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R{sub ⊙} above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s{sup −1}. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  18. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    International Nuclear Information System (INIS)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R ⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R ⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s −1 . At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario

  19. Optical Coherence Tomography

    DEFF Research Database (Denmark)

    Fercher, A.F.; Andersen, Peter E.

    2017-01-01

    Optical coherence tomography (OCT) is a technique that is used to peer inside a body noninvasively. Tissue structure defined by tissue absorption and scattering coefficients, and the speed of blood flow, are derived from the characteristics of light remitted by the body. Singly backscattered light...... detected by partial coherence interferometry (PCI) is used to synthesize the tomographic image coded in false colors. A prerequisite of this technique is a low time-coherent but high space-coherent light source, for example, a superluminescent diode or a supercontinuum source. Alternatively, the imaging...... technique can be realized by using ultrafast wavelength scanning light sources. For tissue imaging, the light source wavelengths are restricted to the red and near-infrared (NIR) region from about 600 to 1300 nm, the so-called therapeutic window, where absorption (μa ≈ 0.01 mm−1) is small enough. Transverse...

  20. Reconstructing the Sky Location of Gravitational-Wave Detected Compact Binary Systems: Methodology for Testing and Comparison

    Science.gov (United States)

    Sidney, T.; Aylott, B.; Christensen, N.; Farr, B.; Farr, W.; Feroz, F.; Gair, J.; Grover, K.; Graff, P.; Hanna, C.; hide

    2014-01-01

    The problem of reconstructing the sky position of compact binary coalescences detected via gravitational waves is a central one for future observations with the ground-based network of gravitational-wave laser interferometers, such as Advanced LIGO and Advanced Virgo. Different techniques for sky localization have been independently developed. They can be divided in two broad categories: fully coherent Bayesian techniques, which are high latency and aimed at in-depth studies of all the parameters of a source, including sky position, and "triangulation-based" techniques, which exploit the data products from the search stage of the analysis to provide an almost real-time approximation of the posterior probability density function of the sky location of a detection candidate. These techniques have previously been applied to data collected during the last science runs of gravitational-wave detectors operating in the so-called initial configuration. Here, we develop and analyze methods for assessing the self consistency of parameter estimation methods and carrying out fair comparisons between different algorithms, addressing issues of efficiency and optimality. These methods are general, and can be applied to parameter estimation problems other than sky localization. We apply these methods to two existing sky localization techniques representing the two above-mentioned categories, using a set of simulated inspiralonly signals from compact binary systems with a total mass of equal to or less than 20M solar mass and nonspinning components. We compare the relative advantages and costs of the two techniques and show that sky location uncertainties are on average a factor approx. equals 20 smaller for fully coherent techniques than for the specific variant of the triangulation-based technique used during the last science runs, at the expense of a factor approx. equals 1000 longer processing time.

  1. Electromagnetic spatial coherence wavelets

    International Nuclear Information System (INIS)

    Castaneda, R.; Garcia-Sucerquia, J.

    2005-10-01

    The recently introduced concept of spatial coherence wavelets is generalized for describing the propagation of electromagnetic fields in the free space. For this aim, the spatial coherence wavelet tensor is introduced as an elementary amount, in terms of which the formerly known quantities for this domain can be expressed. It allows analyzing the relationship between the spatial coherence properties and the polarization state of the electromagnetic wave. This approach is completely consistent with the recently introduced unified theory of coherence and polarization for random electromagnetic beams, but it provides a further insight about the causal relationship between the polarization states at different planes along the propagation path. (author)

  2. Coherent states in quantum mechanics

    CERN Document Server

    Rodrigues, R D L; Fernandes, D

    2001-01-01

    We present a review work on the coherent states is non-relativistic quantum mechanics analysing the quantum oscillators in the coherent states. The coherent states obtained via a displacement operator that act on the wave function of ground state of the oscillator and the connection with Quantum Optics which were implemented by Glauber have also been considered. A possible generalization to the construction of new coherent states it is point out.

  3. From quantum coherence to quantum correlations

    Science.gov (United States)

    Sun, Yuan; Mao, Yuanyuan; Luo, Shunlong

    2017-06-01

    In quantum mechanics, quantum coherence of a state relative to a quantum measurement can be identified with the quantumness that has to be destroyed by the measurement. In particular, quantum coherence of a bipartite state relative to a local quantum measurement encodes quantum correlations in the state. If one takes minimization with respect to the local measurements, then one is led to quantifiers which capture quantum correlations from the perspective of coherence. In this vein, quantum discord, which quantifies the minimal correlations that have to be destroyed by quantum measurements, can be identified as the minimal coherence, with the coherence measured by the relative entropy of coherence. To advocate and formulate this idea in a general context, we first review coherence relative to Lüders measurements which extends the notion of coherence relative to von Neumann measurements (or equivalently, orthonomal bases), and highlight the observation that quantum discord arises as minimal coherence through two prototypical examples. Then, we introduce some novel measures of quantum correlations in terms of coherence, illustrate them through examples, investigate their fundamental properties and implications, and indicate their applications to quantum metrology.

  4. Entropic cohering power in quantum operations

    Science.gov (United States)

    Xi, Zhengjun; Hu, Ming-Liang; Li, Yongming; Fan, Heng

    2018-02-01

    Coherence is a basic feature of quantum systems and a common necessary condition for quantum correlations. It is also an important physical resource in quantum information processing. In this paper, using relative entropy, we consider a more general definition of the cohering power of quantum operations. First, we calculate the cohering power of unitary quantum operations and show that the amount of distributed coherence caused by non-unitary quantum operations cannot exceed the quantum-incoherent relative entropy between system of interest and its environment. We then find that the difference between the distributed coherence and the cohering power is larger than the quantum-incoherent relative entropy. As an application, we consider the distributed coherence caused by purification.

  5. X-rays and extreme ultraviolet radiation principles and applications

    CERN Document Server

    Attwood, David

    2016-01-01

    With this fully updated second edition, readers will gain a detailed understanding of the physics and applications of modern X-ray and EUV radiation sources. Taking into account the most recent improvements in capabilities, coverage is expanded to include new chapters on free electron lasers (FELs), laser high harmonic generation (HHG), X-ray and EUV optics, and nanoscale imaging; a completely revised chapter on spatial and temporal coherence; and extensive discussion of the generation and applications of femtosecond and attosecond techniques. Readers will be guided step by step through the mathematics of each topic, with over 300 figures, 50 reference tables and 600 equations enabling easy understanding of key concepts. Homework problems, a solutions manual for instructors, and links to YouTube lectures accompany the book online. This is the 'go-to' guide for graduate students, researchers and industry practitioners interested in X-ray and EUV interaction with matter.

  6. Experimental generation of optical coherence lattices

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yahong; Cai, Yangjian, E-mail: serpo@dal.ca, E-mail: yangjiancai@suda.edu.cn [College of Physics, Optoelectronics and Energy and Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, Suzhou 215006 (China); Key Lab of Advanced Optical Manufacturing Technologies of Jiangsu Province and Key Lab of Modern Optical Technologies of Education Ministry of China, Soochow University, Suzhou 215006 (China); Ponomarenko, Sergey A., E-mail: serpo@dal.ca, E-mail: yangjiancai@suda.edu.cn [Department of Electrical and Computer Engineering, Dalhousie University, Halifax, Nova Scotia B3J 2X4 (Canada)

    2016-08-08

    We report experimental generation and measurement of recently introduced optical coherence lattices. The presented optical coherence lattice realization technique hinges on a superposition of mutually uncorrelated partially coherent Schell-model beams with tailored coherence properties. We show theoretically that information can be encoded into and, in principle, recovered from the lattice degree of coherence. Our results can find applications to image transmission and optical encryption.

  7. FORMATION OF S-BEARING SPECIES BY VUV/EUV IRRADIATION OF H{sub 2}S-CONTAINING ICE MIXTURES: PHOTON ENERGY AND CARBON SOURCE EFFECTS

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Y.-J.; Juang, K.-J.; Qiu, J.-M.; Chu, C.-C.; Yih, T.-S. [Department of Physics, National Central University, Jhongli City, Taoyuan County 32054, Taiwan (China); Nuevo, M. [NASA Ames Research Center, Moffett Field, CA 94035 (United States); Jiménez-Escobar, A.; Muñoz Caro, G. M. [Centro de Astrobiología, INTA-CSIC, Torrejón de Ardoz, E-28850 Madrid (Spain); Wu, C.-Y. R. [Space Sciences Center and Department of Physics and Astronomy, University of Southern California, Los Angeles, CA 90089-1341 (United States); Fung, H.-S. [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Ip, W.-H. [Graduate Institute of Astronomy, National Central University, Jhongli City, Taoyuan County 32049, Taiwan (China)

    2015-01-10

    Carbonyl sulfide (OCS) is a key molecule in astrobiology that acts as a catalyst in peptide synthesis by coupling amino acids. Experimental studies suggest that hydrogen sulfide (H{sub 2}S), a precursor of OCS, could be present in astrophysical environments. In the present study, we used a microwave-discharge hydrogen-flow lamp, simulating the interstellar UV field, and a monochromatic synchrotron light beam to irradiate CO:H{sub 2}S and CO{sub 2}:H{sub 2}S ice mixtures at 14 K with vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) photons in order to study the effect of the photon energy and carbon source on the formation mechanisms and production yields of S-containing products (CS{sub 2}, OCS, SO{sub 2}, etc.). Results show that (1) the photo-induced OCS production efficiency in CO:H{sub 2}S ice mixtures is higher than that of CO{sub 2}:H{sub 2}S ice mixtures; (2) a lower concentration of H{sub 2}S enhances the production efficiency of OCS in both ice mixtures; and (3) the formation pathways of CS{sub 2} differ significantly upon VUV and EUV irradiations. Furthermore, CS{sub 2} was produced only after VUV photoprocessing of CO:H{sub 2}S ices, while the VUV-induced production of SO{sub 2} occurred only in CO{sub 2}:H{sub 2}S ice mixtures. More generally, the production yields of OCS, H{sub 2}S{sub 2}, and CS{sub 2} were studied as a function of the irradiation photon energy. Heavy S-bearing compounds were also observed using mass spectrometry during the warm-up of VUV/EUV-irradiated CO:H{sub 2}S ice mixtures. The presence of S-polymers in dust grains may account for the missing sulfur in dense clouds and circumstellar environments.

  8. Soil compaction and growth of woody plants

    Energy Technology Data Exchange (ETDEWEB)

    Kozlowski, T.T. [Univ. of California, Berkeley (United States). Dept. of Environmental Science, Policy and Management

    1999-07-01

    Although soil compaction in the field may benefit or inhibit the growth of plants, the harmful effects are much more common. This paper emphasizes the deleterious effects of predominantly high levels of soil compaction on plant growth and yield. High levels of soil compaction are common in heavily used recreation areas, construction sites, urban areas, timber harvesting sites, fruit orchards, agroforestry systems and tree nurseries. Compaction can occur naturally by settling or slumping of soil or may be induced by tillage tools, heavy machinery, pedestrian traffic, trampling by animals and fire. Compaction typically alters soil structure and hydrology by increasing soil bulk density; breaking down soil aggregates; decreasing soil porosity, aeration and infiltration capacity; and by increasing soil strength, water runoff and soil erosion. Appreciable compaction of soil leads to physiological dysfunctions in plants. Often, but not always, reduced water absorption and leaf water deficits develop. Soil compaction also induces changes in the amounts and balances of growth hormones in plants, especially increases in abscisic acid and ethylene. Absorption of the major mineral nutrients is reduced by compaction of both surface soils and subsoils. The rate of photosynthesis of plants growing in very compacted soil is decreased by both stomatal and non-stomatal inhibition. Total photosynthesis is reduced as a result of smaller leaf areas. As soils become increasingly compacted respiration of roots shifts toward an anaerobic state. Severe soil compaction adversely influences regeneration of forest stands by inhibiting seed germination and growth of seedlings, and by inducing seedling mortality. Growth of woody plants beyond the seedling stage and yields of harvestable plant products also are greatly decreased by soil compaction because of the combined effects of high soil strength, decreased infiltration of water and poor soil aeration, all of which lead to a decreased

  9. Soil compaction and growth of woody plants

    International Nuclear Information System (INIS)

    Kozlowski, T.T.

    1999-01-01

    Although soil compaction in the field may benefit or inhibit the growth of plants, the harmful effects are much more common. This paper emphasizes the deleterious effects of predominantly high levels of soil compaction on plant growth and yield. High levels of soil compaction are common in heavily used recreation areas, construction sites, urban areas, timber harvesting sites, fruit orchards, agroforestry systems and tree nurseries. Compaction can occur naturally by settling or slumping of soil or may be induced by tillage tools, heavy machinery, pedestrian traffic, trampling by animals and fire. Compaction typically alters soil structure and hydrology by increasing soil bulk density; breaking down soil aggregates; decreasing soil porosity, aeration and infiltration capacity; and by increasing soil strength, water runoff and soil erosion. Appreciable compaction of soil leads to physiological dysfunctions in plants. Often, but not always, reduced water absorption and leaf water deficits develop. Soil compaction also induces changes in the amounts and balances of growth hormones in plants, especially increases in abscisic acid and ethylene. Absorption of the major mineral nutrients is reduced by compaction of both surface soils and subsoils. The rate of photosynthesis of plants growing in very compacted soil is decreased by both stomatal and non-stomatal inhibition. Total photosynthesis is reduced as a result of smaller leaf areas. As soils become increasingly compacted respiration of roots shifts toward an anaerobic state. Severe soil compaction adversely influences regeneration of forest stands by inhibiting seed germination and growth of seedlings, and by inducing seedling mortality. Growth of woody plants beyond the seedling stage and yields of harvestable plant products also are greatly decreased by soil compaction because of the combined effects of high soil strength, decreased infiltration of water and poor soil aeration, all of which lead to a decreased

  10. Small Valdivia compact spaces

    CERN Document Server

    Kubi's, W; Kubi\\'s, Wieslaw; Michalewski, Henryk

    2005-01-01

    We prove a preservation theorem for the class of Valdivia compact spaces, which involves inverse sequences of ``simple'' retractions. Consequently, a compact space of weight $\\loe\\aleph_1$ is Valdivia compact iff it is the limit of an inverse sequence of metric compacta whose bonding maps are retractions. As a corollary, we show that the class of Valdivia compacta of weight at most $\\aleph_1$ is preserved both under retractions and under open 0-dimensional images. Finally, we characterize the class of all Valdivia compacta in the language of category theory, which implies that this class is preserved under all continuous weight preserving functors.

  11. Coherent states in quantum mechanics

    International Nuclear Information System (INIS)

    Rodrigues, R. de Lima; Fernandes Junior, Damasio; Batista, Sheyla Marques

    2001-12-01

    We present a review work on the coherent states is non-relativistic quantum mechanics analysing the quantum oscillators in the coherent states. The coherent states obtained via a displacement operator that act on the wave function of ground state of the oscillator and the connection with Quantum Optics which were implemented by Glauber have also been considered. A possible generalization to the construction of new coherent states it is point out. (author)

  12. A simulation package for soft X-ray and EUV spectroscopy of astrophysical and laboratory plasmas in different environments

    International Nuclear Information System (INIS)

    Liang, G Y; Li, F; Wang, F L; Zhong, J Y; Zhao, G; Wu, Y

    2014-01-01

    Spectroscopic researches in astronomy are significantly dependent on theoretical modelling methods, such as Chianti, Xstar, Cloudy etc. Recently, a different research community - Laboratory Astrophysics tries to benchmark these theoretical models or simulate the astrophysical phenomenon directly in conditions accessed in ground laboratory. Those unavoidable differences between the astrophysical objects and laboratory provide a need for a self-consistent model to make a bridge for the two cases. So we setup a visualized simulation package for soft X-ray and EUV spectroscopy in astrophysical and laboratory plasmas.

  13. Clustering of near clusters versus cluster compactness

    International Nuclear Information System (INIS)

    Yu Gao; Yipeng Jing

    1989-01-01

    The clustering properties of near Zwicky clusters are studied by using the two-point angular correlation function. The angular correlation functions for compact and medium compact clusters, for open clusters, and for all near Zwicky clusters are estimated. The results show much stronger clustering for compact and medium compact clusters than for open clusters, and that open clusters have nearly the same clustering strength as galaxies. A detailed study of the compactness-dependence of correlation function strength is worth investigating. (author)

  14. Response Of Lowland Rice To Soil Compaction

    International Nuclear Information System (INIS)

    Idawati; Haryanto

    2000-01-01

    Soil compaction, as a new tillage practice for paddy soil, is to substitute pudding in order to reduce land preparation cost. To study response of lowland rice to soil compaction, a pot experiment has been conducted which took place in the greenhouse of P3TIR-BATAN. Soil for experiment was taken from pusakanegara. Two factors (degree of soil compaction and rice variety) were combined. Degree of compaction was split into 3 levels (DI = normal; D215% more compact than normal; 30 % more compact than normal), and rice variety into 2 levels (IR64 and Atomita IV). KH 2 32 PO 4 solution was injected into the soil surrounding rice clump to test the root activity at blooming stage of rice plant. Data resulted from this experiment is presented together with additional data from some other experiments of fertilization in the research s erie to study soil compaction. Some information's from experiment results are as following. Both rice varieties tested gave the same response to soil compaction. Root activity, according to data of 32 P absorbed by plant, was not harmed by soil compaction at the degree tested in the experiment. This prediction is supported by the growth by rice observed at generative growth stage, in pot experiment as well as in field experiment, which showed that soil compaction tested did not decrease rice yield but in opposite in tended to increase the yield. In practising soil compaction in land preparation, fertilizers should be applied by deep placement to have higher increasing is rice yield

  15. Formation and evolution of compact binaries

    NARCIS (Netherlands)

    Sluijs, Marcel Vincent van der

    2006-01-01

    In this thesis we investigate the formation and evolution of compact binaries. Chapters 2 through 4 deal with the formation of luminous, ultra-compact X-ray binaries in globular clusters. We show that the proposed scenario of magnetic capture produces too few ultra-compact X-ray binaries to explain

  16. Simulated solar wind plasma interaction with the Martian exosphere: influence of the solar EUV flux on the bow shock and the magnetic pile-up boundary

    Directory of Open Access Journals (Sweden)

    R. Modolo

    2006-12-01

    Full Text Available The solar wind plasma interaction with the Martian exosphere is investigated by means of 3-D multi-species hybrid simulations. The influence of the solar EUV flux on the bow shock and the magnetic pile-up boundary is examined by comparing two simulations describing the two extreme states of the solar cycle. The hybrid formalism allows a kinetic description of each ions species and a fluid description of electrons. The ionization processes (photoionization, electron impact and charge exchange are included self-consistently in the model where the production rate is computed locally, separately for each ionization act and for each neutral species. The results of simulations are in a reasonable agreement with the observations made by Phobos 2 and Mars Global Surveyor spacecraft. The position of the bow shock and the magnetic pile-up boundary is weakly dependent of the solar EUV flux. The motional electric field creates strong asymmetries for the two plasma boundaries.

  17. Experimental study of coherence vortices: Local properties of phase singularities in a spatial coherence function

    DEFF Research Database (Denmark)

    Wang, W.; Duan, Z.H.; Hanson, Steen Grüner

    2006-01-01

    By controlling the irradiance of an extended quasimonochromatic, spatially incoherent source, an optical field is generated that exhibits spatial coherence with phase singularities, called coherence vortices. A simple optical geometry for direct visualization of coherence vortices is proposed, an...

  18. Partially coherent isodiffracting pulsed beams

    Science.gov (United States)

    Koivurova, Matias; Ding, Chaoliang; Turunen, Jari; Pan, Liuzhan

    2018-02-01

    We investigate a class of isodiffracting pulsed beams, which are superpositions of transverse modes supported by spherical-mirror laser resonators. By employing modal weights that, for stationary light, produce a Gaussian Schell-model beam, we extend this standard model to pulsed beams. We first construct the two-frequency cross-spectral density function that characterizes the spatial coherence in the space-frequency domain. By assuming a power-exponential spectral profile, we then employ the generalized Wiener-Khintchine theorem for nonstationary light to derive the two-time mutual coherence function that describes the space-time coherence of the ensuing beams. The isodiffracting nature of the laser resonator modes permits all (paraxial-domain) calculations at any propagation distance to be performed analytically. Significant spatiotemporal coupling is revealed in subcycle, single-cycle, and few-cycle domains, where the partial spatial coherence also leads to reduced temporal coherence even though full spectral coherence is assumed.

  19. Compact, Light-weight and Cost-effective Microscope based on Lensless Incoherent Holography for Telemedicine Applications

    Science.gov (United States)

    Mudanyali, Onur; Tseng, Derek; Oh, Chulwoo; Isikman, Serhan O.; Sencan, Ikbal; Bishara, Waheb; Oztoprak, Cetin; Seo, Sungkyu; Khademhosseini, Bahar; Ozcan, Aydogan

    2010-01-01

    Despite the rapid progress in optical imaging, most of the advanced microscopy modalities still require complex and costly set-ups that unfortunately limit their use beyond well equipped laboratories. In the meantime, microscopy in resource-limited settings has requirements significantly different from those encountered in advanced laboratories, and such imaging devices should be cost-effective, compact, light-weight and appropriately accurate and simple to be usable by minimally trained personnel. Furthermore, these portable microscopes should ideally be digitally integrated as part of a telemedicine network that connects various mobile health-care providers to a central laboratory or hospital. Toward this end, here we demonstrate a lensless on-chip microscope weighing ~46 grams with dimensions smaller than 4.2cm × 4.2cm × 5.8cm that achieves sub-cellular resolution over a large field of view of ~24 mm2. This compact and light-weight microscope is based on digital in-line holography and does not need any lenses, bulky optical/mechanical components or coherent sources such as lasers. Instead, it utilizes a simple light-emitting-diode (LED) and a compact opto-electronic sensor-array to record lensless holograms of the objects, which then permits rapid digital reconstruction of regular transmission or differential interference contrast (DIC) images of the objects. Because this lensless incoherent holographic microscope has orders-of-magnitude improved light collection efficiency and is very robust to mechanical misalignments it may offer a cost-effective tool especially for telemedicine applications involving various global health problems in resource limited settings. PMID:20401422

  20. Identification of individual coherent sets associated with flow trajectories using coherent structure coloring

    Science.gov (United States)

    Schlueter-Kuck, Kristy L.; Dabiri, John O.

    2017-09-01

    We present a method for identifying the coherent structures associated with individual Lagrangian flow trajectories even where only sparse particle trajectory data are available. The method, based on techniques in spectral graph theory, uses the Coherent Structure Coloring vector and associated eigenvectors to analyze the distance in higher-dimensional eigenspace between a selected reference trajectory and other tracer trajectories in the flow. By analyzing this distance metric in a hierarchical clustering, the coherent structure of which the reference particle is a member can be identified. This algorithm is proven successful in identifying coherent structures of varying complexities in canonical unsteady flows. Additionally, the method is able to assess the relative coherence of the associated structure in comparison to the surrounding flow. Although the method is demonstrated here in the context of fluid flow kinematics, the generality of the approach allows for its potential application to other unsupervised clustering problems in dynamical systems such as neuronal activity, gene expression, or social networks.

  1. Average intensity and coherence properties of a partially coherent Lorentz-Gauss beam propagating through oceanic turbulence

    Science.gov (United States)

    Liu, Dajun; Wang, Guiqiu; Wang, Yaochuan

    2018-01-01

    Based on the Huygens-Fresnel integral and the relationship of Lorentz distribution and Hermite-Gauss function, the average intensity and coherence properties of a partially coherent Lorentz-Gauss beam propagating through oceanic turbulence have been investigated by using numerical examples. The influences of beam parameters and oceanic turbulence on the propagation properties are also discussed in details. It is shown that the partially coherent Lorentz-Gauss beam with smaller coherence length will spread faster in oceanic turbulence, and the stronger oceanic turbulence will accelerate the spreading of partially coherent Lorentz-Gauss beam in oceanic turbulence.

  2. Equationally Compact Acts : Coproducts / Peeter Normak

    Index Scriptorium Estoniae

    Normak, Peeter

    1998-01-01

    In this article equational compactness of acts and its generalizations are discussed. As equational compactness does not carry over to coproducts a slight generalization of c-equational campactness is introduced. It is proved that a coproduct of acts is c-equationally compact if and only if all components are c-equationally campact

  3. The United Nations Global Compact

    DEFF Research Database (Denmark)

    Rasche, Andreas; Waddock, Sandra; McIntosh, Malcolm

    2013-01-01

    This article reviews the interdisciplinary literature on the UN Global Compact. The review identifies three research perspectives, which scholars have used to study the UN Global Compact so far: a historical perspective discussing the Global Compact in the context of UN-business relations...... key empirical as well as conceptual scholarly contributions. The remainder of this article contains focused summaries of the articles selected for this Special Issue. All articles are introduced and evaluated against the background of the three research perspectives....

  4. Coherent communication with continuous quantum variables

    Science.gov (United States)

    Wilde, Mark M.; Krovi, Hari; Brun, Todd A.

    2007-06-01

    The coherent bit (cobit) channel is a resource intermediate between classical and quantum communication. It produces coherent versions of teleportation and superdense coding. We extend the cobit channel to continuous variables by providing a definition of the coherent nat (conat) channel. We construct several coherent protocols that use both a position-quadrature and a momentum-quadrature conat channel with finite squeezing. Finally, we show that the quality of squeezing diminishes through successive compositions of coherent teleportation and superdense coding.

  5. Volitional Control of Neuromagnetic Coherence

    Directory of Open Access Journals (Sweden)

    Matthew D Sacchet

    2012-12-01

    Full Text Available Coherence of neural activity between circumscribed brain regions has been implicated as an indicator of intracerebral communication in various cognitive processes. While neural activity can be volitionally controlled with neurofeedback, the volitional control of coherence has not yet been explored. Learned volitional control of coherence could elucidate mechanisms of associations between cortical areas and its cognitive correlates and may have clinical implications. Neural coherence may also provide a signal for brain-computer interfaces (BCI. In the present study we used the Weighted Overlapping Segment Averaging (WOSA method to assess coherence between bilateral magnetoencephalograph (MEG sensors during voluntary digit movement as a basis for BCI control. Participants controlled an onscreen cursor, with a success rate of 124 of 180 (68.9%, sign-test p < 0.001 and 84 out of 100 (84%, sign-test p < 0.001. The present findings suggest that neural coherence may be volitionally controlled and may have specific behavioral correlates.

  6. Compaction of spent nuclear fuel cans

    International Nuclear Information System (INIS)

    Sullivan, H.

    1985-01-01

    Hydraulic press apparatus for compacting waste material eg. spent nuclear fuel cans comprises a fixed frame, a movable cross head, a press crown and three groups of piston/cylinder devices; having their pistons connected to the cross head and their cylinders secured to the press crown. A control means connects the first group of devices to hydraulic fluid in a reservoir which is pressurised initially by gas from gas accumulators to move the cross head and a quill secured thereto towards the frame base to compact the waste at a first high rate under a first high loading. Compaction then proceeds at a lower second rate at a lower second loading as the hydraulic fluid in the reservoir is pressurised by a pump. At two subsequent stages of compaction of the waste at which resistance increases causing a pressure rise in cylinders the control means causes hydraulic fluid to be passed to the second group of devices and thence to the third group of devices, the compaction rate reducing at each stage but the compaction force increasing. (author)

  7. Impulsive EUV bursts observed in C IV with OSO-8. [UV solar spectra

    Science.gov (United States)

    Athay, R. G.; White, O. R.; Lites, B. W.; Bruner, E. C., Jr.

    1980-01-01

    Time sequences of profiles of the 1548 A line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness, Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150 s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2 x 20 arc sec. Mean burst diameters are estimated to be 3 arc sec, or smaller. All but three of the bursts show Doppler shifts with velocities sometimes exceeding 75 km/s; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. The bursts are interpreted as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer.

  8. Operational resource theory of total quantum coherence

    Science.gov (United States)

    Yang, Si-ren; Yu, Chang-shui

    2018-01-01

    Quantum coherence is an essential feature of quantum mechanics and is an important physical resource in quantum information. Recently, the resource theory of quantum coherence has been established parallel with that of entanglement. In the resource theory, a resource can be well defined if given three ingredients: the free states, the resource, the (restricted) free operations. In this paper, we study the resource theory of coherence in a different light, that is, we consider the total coherence defined by the basis-free coherence maximized among all potential basis. We define the distillable total coherence and the total coherence cost and in both the asymptotic regime and the single-copy regime show the reversible transformation between a state with certain total coherence and the state with the unit reference total coherence. Extensively, we demonstrate that the total coherence can also be completely converted to the total correlation with the equal amount by the free operations. We also provide the alternative understanding of the total coherence, respectively, based on the entanglement and the total correlation in a different way.

  9. Physically detached 'compact groups'

    Science.gov (United States)

    Hernquist, Lars; Katz, Neal; Weinberg, David H.

    1995-01-01

    A small fraction of galaxies appear to reside in dense compact groups, whose inferred crossing times are much shorter than a Hubble time. These short crossing times have led to considerable disagreement among researchers attempting to deduce the dynamical state of these systems. In this paper, we suggest that many of the observed groups are not physically bound but are chance projections of galaxies well separated along the line of sight. Unlike earlier similar proposals, ours does not require that the galaxies in the compact group be members of a more diffuse, but physically bound entity. The probability of physically separated galaxies projecting into an apparent compact group is nonnegligible if most galaxies are distributed in thin filaments. We illustrate this general point with a specific example: a simulation of a cold dark matter universe, in which hydrodynamic effects are included to identify galaxies. The simulated galaxy distribution is filamentary and end-on views of these filaments produce apparent galaxy associations that have sizes and velocity dispersions similar to those of observed compact groups. The frequency of such projections is sufficient, in principle, to explain the observed space density of groups in the Hickson catalog. We discuss the implications of our proposal for the formation and evolution of groups and elliptical galaxies. The proposal can be tested by using redshift-independent distance estimators to measure the line-of-sight spatial extent of nearby compact groups.

  10. A very small and super strong zebra pattern burst at the beginning of a solar flare

    Energy Technology Data Exchange (ETDEWEB)

    Tan, Baolin; Tan, Chengming; Zhang, Yin; Huang, Jing; Yan, Yihua [Key Laboratory of Solar Activity, National Astronomical Observatories of Chinese Academy of Sciences, Beijing 100012 (China); Mészárosová, Hana; Karlický, Marian, E-mail: bltan@nao.cas.cn [Astronomical Institute of the Academy of Sciences of the Czech Republic, Ondřejov 15165 (Czech Republic)

    2014-08-01

    Microwave emission with spectral zebra pattern structures (ZPs) is frequently observed in solar flares and the Crab pulsar. The previous observations show that ZP is a structure only overlapped on the underlying broadband continuum with slight increments and decrements. This work reports an unusually strong ZP burst occurring at the beginning of a solar flare observed simultaneously by two radio telescopes located in China and the Czech Republic and by the EUV telescope on board NASA's satellite Solar Dynamics Observatory on 2013 April 11. It is a very short and super strong explosion whose intensity exceeds several times that of the underlying flaring broadband continuum emission, lasting for just 18 s. EUV images show that the flare starts from several small flare bursting points (FBPs). There is a sudden EUV flash with extra enhancement in one of these FBPs during the ZP burst. Analysis indicates that the ZP burst accompanying an EUV flash is an unusual explosion revealing a strong coherent process with rapid particle acceleration, violent energy release, and fast plasma heating simultaneously in a small region with a short duration just at the beginning of the flare.

  11. Peculiarities of powder brittle media compaction

    International Nuclear Information System (INIS)

    Perel'nam, V.E.; Aristarkhov, A.I.

    1981-01-01

    The paper is concerned with theoretical and practical aspects of the compaction process for powders of almost unstrained materials. Consideration from the standpoint of compressible body strain mechanics shows that such porous media may have a certain ''threshold'' density. Ductile characteristics of the porous material compacted up to this extent are identical with properties of compacrat bodies, i.e. there is a theoretically substantiated ban on a possibility of their further compaction without changing the state of the powder particle material. Theoretical conclusions are confirmed by results of experimental studies in compaction of titanium- containing ceramics [ru

  12. Stimulated coherent transition radiation

    International Nuclear Information System (INIS)

    Hung-chi Lihn.

    1996-03-01

    Coherent radiation emitted from a relativistic electron bunch consists of wavelengths longer than or comparable to the bunch length. The intensity of this radiation out-numbers that of its incoherent counterpart, which extends to wavelengths shorter than the bunch length, by a factor equal to the number of electrons in the bunch. In typical accelerators, this factor is about 8 to 11 orders of magnitude. The spectrum of the coherent radiation is determined by the Fourier transform of the electron bunch distribution and, therefore, contains information of the bunch distribution. Coherent transition radiation emitted from subpicosecond electron bunches at the Stanford SUNSHINE facility is observed in the far-infrared regime through a room-temperature pyroelectric bolometer and characterized through the electron bunch-length study. To measure the bunch length, a new frequency-resolved subpicosecond bunch-length measuring system is developed. This system uses a far-infrared Michelson interferometer to measure the spectrum of coherent transition radiation through optical autocorrelation with resolution far better than existing time-resolved methods. Hence, the radiation spectrum and the bunch length are deduced from the autocorrelation measurement. To study the stimulation of coherent transition radiation, a special cavity named BRAICER is invented. Far-infrared light pulses of coherent transition radiation emitted from electron bunches are delayed and circulated in the cavity to coincide with subsequent incoming electron bunches. This coincidence of light pulses with electron bunches enables the light to do work on electrons, and thus stimulates more radiated energy. The possibilities of extending the bunch-length measuring system to measure the three-dimensional bunch distribution and making the BRAICER cavity a broadband, high-intensity, coherent, far-infrared light source are also discussed

  13. Coherence in electron energy loss spectrometry

    International Nuclear Information System (INIS)

    Schattschneider, P.; Werner, W.S.M.

    2005-01-01

    Coherence effects in electron energy loss spectrometry (EELS) and in energy filtering are largely neglected although they occur frequently due to Bragg scattering in crystals. We discuss how coherence in the inelastically scattered wave field can be described by the mixed dynamic form factor (MDFF), and how it relates to the density matrix of the scattered electrons. Among the many aspects of 'inelastic coherence' are filtered high-resolution images, dipole-forbidden transitions, coherence in plasma excitations, errors in chemical microanalysis, coherent double plasmons, and circular dichroism

  14. Modèle de compaction élasto-plastique en simulation de bassins Elastoplastic Compaction Model for Basin Simulation

    Directory of Open Access Journals (Sweden)

    Schneider F.

    2006-11-01

    stress is close to 1, which is coherent with the hypothesis of considering the grains making up the skeleton as being indeformable. COMP1D is a software that makes a 1D simulation of the geologic history of a sedimentary column. It integrates the physical phenomena described in the first part. The geometric variations caused by sedimentation, erosions and compaction are taken into consideration by introducing various Lagrangian coordinate systems (Fig. 2. The temperature is imposed by a surface temperature and a gradient. The boundary conditions are the ones conventionally used in basin simulators. There are various versions of the software corresponding to different numerical approaches. Our problem was discretized by finite-element methods with linear shape functions, finite-element methods with quadratic shape functions, and finite-volume methods. Numerous tests showed that the pressure solution to convergence is identical for all such methods. However finite-element methods cannot be used to compute a velocity field for the fluid that gives perfect local conservation. This local conservation is absolutely necessary for coupling a transport equation (heat equation, saturation equation for two-phase flows with the computing of the pressure of the fluid. Only finite-volume methods, which handle nonlinearities (Newton's method correctly, are locally perfectly conservative. This model improves preceding models, mainly by introducing the concept of elasticity. However, as things now stand and from the theoretical standpoint, it is valid only in the superficial layer of sediments. Its extension to deeper layers is acceptable in basin simulators only because the porosity variation is slight. The introduction of an alpha coefficient in the definition of the effective stress seems necessary. However, the fact of taking this coefficient different from 1 must, for reasons of coherence, lead us to give increased consideration to the mechanical deformations of the grains. The

  15. Coherent x-rays from PEP

    International Nuclear Information System (INIS)

    Baird, S.; Nuhn, H.-D.; Tatchyn, R.; Winick, H.; Fisher, A.S.; Gallardo, J.C.; Pellegrini, C.

    1991-01-01

    This paper explores the use of a large-circumference, high-energy, electron-positron collider such as PEP to drive a free-electron laser (FEL), producing high levels of coherent power at short wavelengths. The author consider Self-Amplified Spontaneous Emission (SASE), in which electron bunches with low emittance, high peak current and small energy spread radiate coherently in a single passthrough a long undulator. As the electron beam passes down the undulator, its interaction with the increasingly intense spontaneous radiation causes a bunch density modulation at the optical wavelength, resulting in stimulated emissional growth of coherent power in a single pass. The need for optical-cavity mirrors, which place a lower limit on the wavelength of a conventional FEL oscillator, is avoided. The authors explore various combinations of electron-beam and undulator parameters, as well as special undulator designs and optical klystrons (OK), to reach high average or peak coherent power at wavelengths around 40 angstrom by achieving significant exponential gain or full saturation. Examples are presented for devices that achieve high peak coherent power (up to about 400 MW) with lower average coherent power (about 20 mW) and other devices which produce a few watts of average coherent power

  16. EPRI compact analyzer: A compact, interactive and color-graphics based simulator for power plant analysis

    International Nuclear Information System (INIS)

    Ipakchi, A.; Khadem, M.; Chen, H.; Colley, R.W.

    1986-01-01

    This paper presents the results of an EPRI sponsored project (RP2395-2) for design and development of an interactive, and color graphics based simulator for power plant analysis. The system is called Compact Analyzer and can be applied to engineering and training applications in the utility industry. The Compact Analyzer's software and system design are described. Results of two demonstration system for a nuclear plant, and a fossil plant are presented, and the applications of the Compact Analyzer to operating procedures evaluation are discussed

  17. The Future Concrete: Self-Compacting Concrete

    OpenAIRE

    Iureş, Liana; Bob, Corneliu

    2010-01-01

    The paper presents the characteristics of the self-compacting concretes, their advantages and disadvantages when they are used in buildings. Due to its properties and composition, the self-compacting concrete is described here as being one of the future friendly enviromental material for buildings. Tests concerning to obtaining a self-compacting concrete, together with the specific fresh concrete properties tests, are described.

  18. Powder compaction in systems of bimodal distribution

    Science.gov (United States)

    Chattopadhyay, A. K.; Whittemore, O. J., Jr.

    1973-01-01

    The compaction of mixtures involving different particle sizes is discussed. The various stages of the compaction process include the rearrangement of particles, the filling of the interstices of the large particles by the smaller ones, and the change in particle size and shape upon further densification through the application of pressure. Experimental approaches and equipment used for compacting material are discussed together with the theoretical relations of the compacting process.

  19. Generation and application of ultrashort coherent mid-infrared electromagnetic radiation

    Science.gov (United States)

    Wandel, Scott

    Particle accelerators are useful instruments that help address critical issues for the future development of nuclear energy. Current state-of-the-art accelerators based on conventional radio-frequency (rf) cavities are too large and expensive for widespread commercial use, and alternative designs must be considered for supplying relativistic beams to small-scale applications, including medical imaging, secu- rity screening, and scientific research in a university-scale laboratory. Laser-driven acceleration using micro-fabricated dielectric photonic structures is an attractive approach because such photonic microstructures can support accelerating fields that are 10 to 100 times higher than that of rf cavity-based accelerators. Dielectric laser accelerators (DLAs) use commercial lasers as a driving source, which are smaller and less expensive than the klystrons used to drive current rf-based accelerators. Despite the apparent need for compact and economical laser sources for laser-driven acceleration, the availability of suitable high-peak-power lasers that cover a broad spectral range is currently limited. To address the needs of several innovative acceleration mechanisms like DLA, it is proposed to develop a coherent source of mid-infrared (IR) electromagnetic radiation that can be implemented as a driving source of laser accelerators. The use of ultrashort mid-IR high peak power laser systems in various laser-driven acceleration schemes has shown the potential to greatly reduce the optical pump intensities needed to realize high acceleration gradients. The optical intensity needed to achieve a given ponderomotive potential is 25 times less when using a 5-mum mid-IR laser as compared to using a 1-mum near-IR solid-state laser. In addition, dielectric structure breakdown caused by multiphoton ionization can be avoided by using longer-wavelength driving lasers. Current mid-IR laser sources do not produce sufficiently short pulse durations, broad spectral bandwidths

  20. Compact vortices

    Energy Technology Data Exchange (ETDEWEB)

    Bazeia, D.; Losano, L.; Marques, M.A.; Zafalan, I. [Universidade Federal da Paraiba, Departamento de Fisica, Joao Pessoa, PB (Brazil); Menezes, R. [Universidade Federal da Paraiba, Departamento de Ciencias Exatas, Rio Tinto, PB (Brazil); Universidade Federal de Campina Grande, Departamento de Fisica, Campina Grande, PB (Brazil)

    2017-02-15

    We study a family of Maxwell-Higgs models, described by the inclusion of a function of the scalar field that represent generalized magnetic permeability. We search for vortex configurations which obey first-order differential equations that solve the equations of motion. We first deal with the asymptotic behavior of the field configurations, and then implement a numerical study of the solutions, the energy density and the magnetic field. We work with the generalized permeability having distinct profiles, giving rise to new models, and we investigate how the vortices behave, compared with the solutions of the corresponding standard models. In particular, we show how to build compact vortices, that is, vortex solutions with the energy density and magnetic field vanishing outside a compact region of the plane. (orig.)

  1. Compaction monitoring in the Ekofisk area Chalk Fields

    International Nuclear Information System (INIS)

    Menghini, M.L.

    1989-01-01

    In late Nov. 1984, the subsidence phenomenon was recognized in the Ekofisk field. To determine the magnitude and areal extent of the formation compaction, a program for measuring compaction with electric logging tools was initiated. Initial time-lapse surveys performed with cased-hole neutron tools indicated that reservoir compaction was occurring, but the accuracy of the determination of compaction rate was low. In addition to the cased-hole neutron survey, radioactive markers and a gamma ray (GR) detection tool were used to determine compaction rate in the reservoir more accurately and to determine whether compaction was occurring in the overburden. A program for implanting radioactive-marker bullets and subsequent monitoring with a four-detector GR tool was implemented. There are currently 13 wells equipped with radioactive markers in the compaction monitoring program. Compaction monitoring accuracy using the four-detector GR tool was found to depend on wellbore geometry, completion design, and radioactive-marker placement. This paper gives the results of the program to date and describes the operational procedures and analysis techniques used for compaction monitoring in the greater Ekofisk area chalk fields

  2. Does soil compaction increase floods? A review

    Science.gov (United States)

    Alaoui, Abdallah; Rogger, Magdalena; Peth, Stephan; Blöschl, Günter

    2018-02-01

    Europe has experienced a series of major floods in the past years which suggests that flood magnitudes may have increased. Land degradation due to soil compaction from crop farming or grazing intensification is one of the potential drivers of this increase. A literature review suggests that most of the experimental evidence was generated at plot and hillslope scales. At larger scales, most studies are based on models. There are three ways in which soil compaction affects floods at the catchment scale: (i) through an increase in the area affected by soil compaction; (ii) by exacerbating the effects of changes in rainfall, especially for highly degraded soils; and (iii) when soil compaction coincides with soils characterized by a fine texture and a low infiltration capacity. We suggest that future research should focus on better synthesising past research on soil compaction and runoff, tailored field experiments to obtain a mechanistic understanding of the coupled mechanical and hydraulic processes, new mapping methods of soil compaction that combine mechanical and remote sensing approaches, and an effort to bridge all disciplines relevant to soil compaction effects on floods.

  3. Coherence and Sense of Coherence

    DEFF Research Database (Denmark)

    Dau, Susanne

    2014-01-01

    Constraints in the implementation of models of blended learning can be explained by several causes, but in this paper, it is illustrated that lack of sense of coherence is a major factor of these constraints along with the referential whole of the perceived learning environments. The question exa...

  4. A preliminary estimate of the EUVE cumulative distribution of exposure time on the unit sphere. [Extreme Ultra-Violet Explorer

    Science.gov (United States)

    Tang, C. C. H.

    1984-01-01

    A preliminary study of an all-sky coverage of the EUVE mission is given. Algorithms are provided to compute the exposure of the celestial sphere under the spinning telescopes, taking into account that during part of the exposure time the telescopes are blocked by the earth. The algorithms are used to give an estimate of exposure time at different ecliptic latitudes as a function of the angle of field of view of the telescope. Sample coverage patterns are also given for a 6-month mission.

  5. The Future Concrete: Self-Compacting Concrete

    Directory of Open Access Journals (Sweden)

    Liana Iureş

    2010-01-01

    Full Text Available The paper presents the characteristics of the self-compacting concretes, their advantages and disadvantages when they are used in buildings. Due to its properties and composition, the self-compacting concrete is described here as being one of the future friendly enviromental material for buildings. Tests concerning to obtaining a self-compacting concrete, together with the specific fresh concrete properties tests, are described.

  6. Coherent states and rational surfaces

    International Nuclear Information System (INIS)

    Brody, Dorje C; Graefe, Eva-Maria

    2010-01-01

    The state spaces of generalized coherent states associated with special unitary groups are shown to form rational curves and surfaces in the space of pure states. These curves and surfaces are generated by the various Veronese embeddings of the underlying state space into higher dimensional state spaces. This construction is applied to the parameterization of generalized coherent states, which is useful for practical calculations, and provides an elementary combinatorial approach to the geometry of the coherent state space. The results are extended to Hilbert spaces with indefinite inner products, leading to the introduction of a new kind of generalized coherent states.

  7. Terahertz Coherent Synchrotron Radiation in the MIT-Bates South Hall Ring

    CERN Document Server

    Wang, Fuhua; Cheever, Dan; Farkhondeh, Manouchehr; Franklin, Wilbur; Graves, William; Ihloff, Ernie; Podobedov, Boris; Sannibale, Fernando; Tschalär, C; Wang, Defa; Wang, Dong; Zwart, Townsend; van der Laan, Jan

    2005-01-01

    We investigate the terahertz coherent synchrotron radiation (CSR) potential of the South Hall Ring (SHR) at MIT-Bates Linear Accelerator Center. The SHR is equipped with a unique single cavity, 2.856 GHz RF system. The high RF frequency is advantageous for producing short bunch length and for having higher bunch current threshold to generate stable CSR. Combining with other techniques such as external pulse stacking cavity, femtosecond laser slicing, the potential for generating ultra-stable, high power, broadband terahertz CSR is very attractive. Beam dynamics issues related to short bunch length operation, and may associated with the high frequency RF system, such as multi-bunch instability are concerned. They could affect bunch length, bunch intensity and beam stability. The SHR is ideal for experimental exploration of these problems. Results of initial test of low momentum compaction lattice and bunch length measurements are presented and compared to expectations.

  8. Higher coherent x-ray laser

    International Nuclear Information System (INIS)

    Hasegawa, Noboru; Nagashima, Keisuke; Kawachi, Tetsuya

    2001-01-01

    X-ray lasers generated by an ultra short pulse laser have advantages such as monochromatic, short pulse duration, small beam divergence, high intensity, and coherence. Spatial coherence is most important for applications, we have investigated the transient collisional excitation (TCE) scheme x-ray laser lasing from Ne-like titanium (31.6 nm), Ne-like silver (13.9 nm) and tin (11.9 nm). However, the spatial coherence was not so good with this scheme. We have been studying to improve the spatial coherence of the x-ray laser and have proposed to use coherent seed light tuned to the x-ray laser wavelength generated from higher harmonics generation (HHG), which is introduced to the x-ray laser medium (Ne-like titanium, Ni-like silver plasmas). We present about the theoretical study of the coupling efficiency HHG light with x-ray laser medium. (author)

  9. Long-lived coherence in carotenoids

    Energy Technology Data Exchange (ETDEWEB)

    Davis, J A; Cannon, E; Van Dao, L; Hannaford, P [ARC Centre of Excellence for Coherent X-ray Science, Centre for Atom Optics and Ultrafast Spectroscopy, Swinburne University of Technology, Victoria 3122 (Australia); Quiney, H M; Nugent, K A, E-mail: jdavis@swin.edu.a [ARC Centre of Excellence for Coherent X-ray Science, School of Physics, University of Melbourne, Victoria 3010 (Australia)

    2010-08-15

    We use two-colour vibronic coherence spectroscopy to observe long-lived vibrational coherences in the ground electronic state of carotenoid molecules, with decoherence times in excess of 1 ps. Lycopene and spheroidene were studied isolated in solution, and within the LH2 light-harvesting complex extracted from purple bacteria. The vibrational coherence time is shown to increase significantly for the carotenoid in the complex, providing further support to previous assertions that long-lived electronic coherences in light-harvesting complexes are facilitated by in-phase motion of the chromophores and surrounding proteins. Using this technique, we are also able to follow the evolution of excited state coherences and find that for carotenoids in the light-harvesting complex the (S{sub 2}|S{sub 0}) superposition remains coherent for more than 70 fs. In addition to the implications of this long electronic decoherence time, the extended coherence allows us to observe the evolution of the excited state wavepacket. These experiments reveal an enhancement of the vibronic coupling to the first vibrational level of the C-C stretching mode and/or methyl-rocking mode in the ground electronic state 70 fs after the initial excitation. These observations open the door to future experiments and modelling that may be able to resolve the relaxation dynamics of carotenoids in solution and in natural light-harvesting systems.

  10. Long-lived coherence in carotenoids

    International Nuclear Information System (INIS)

    Davis, J A; Cannon, E; Van Dao, L; Hannaford, P; Quiney, H M; Nugent, K A

    2010-01-01

    We use two-colour vibronic coherence spectroscopy to observe long-lived vibrational coherences in the ground electronic state of carotenoid molecules, with decoherence times in excess of 1 ps. Lycopene and spheroidene were studied isolated in solution, and within the LH2 light-harvesting complex extracted from purple bacteria. The vibrational coherence time is shown to increase significantly for the carotenoid in the complex, providing further support to previous assertions that long-lived electronic coherences in light-harvesting complexes are facilitated by in-phase motion of the chromophores and surrounding proteins. Using this technique, we are also able to follow the evolution of excited state coherences and find that for carotenoids in the light-harvesting complex the (S 2 |S 0 ) superposition remains coherent for more than 70 fs. In addition to the implications of this long electronic decoherence time, the extended coherence allows us to observe the evolution of the excited state wavepacket. These experiments reveal an enhancement of the vibronic coupling to the first vibrational level of the C-C stretching mode and/or methyl-rocking mode in the ground electronic state 70 fs after the initial excitation. These observations open the door to future experiments and modelling that may be able to resolve the relaxation dynamics of carotenoids in solution and in natural light-harvesting systems.

  11. Prediction for swelling characteristics of compacted bentonite

    International Nuclear Information System (INIS)

    Komine, H.; Ogata, N.

    1996-01-01

    Compacted bentonites are attracting greater attention as back-filling (buffer) materials for high-level nuclear waste repositories. For this purpose, it is very important to quantitatively evaluate the swelling characteristics of compacted bentonite. New equations for evaluating the relationship between the swelling deformation of compacted bentonite and the distance between two montmorillonite layers are derived. New equations for evaluating the ion concentration of pore water and the specific surface of bentonite, which significantly influence the swelling characteristics of compacted bentonite, are proposed. Furthermore, a prediction method for the swelling characteristics of compacted bentonite is presented by combining the new equations with the well-known theoretical equations of repulsive and attractive forces between two montmorillonite layers. The applicability of this method was investigated by comparing the predicted results with laboratory test results on the swelling deformation and swelling pressure of compacted bentonites. (author) 31 refs., 8 tabs., 12 figs

  12. Gain with and without population inversion via vacuum-induced coherence in a V-type atom without external coherent driving

    International Nuclear Information System (INIS)

    Xu Weihua; Wu Jinhui; Gao Jinyue

    2006-01-01

    In a three-level V-type atomic system without any external coherent driving, owing to the coherence that results from the vacuum of the radiation field, both the probe gain with and without population inversion can be achieved with very weak incoherent pumping. The gain is achieved in the absence of any external coherent driving field, so it is different from the gain without inversion in ordinary laser-driven schemes where a coherent driving field is necessary to create the coherence. The gain is also different from the conventional lasing gain because the population inversion is achieved via vacuum-induced coherence, which is dependent on the atomic coherence

  13. The global coherence initiative: creating a coherent planetary standing wave.

    Science.gov (United States)

    McCraty, Rollin; Deyhle, Annette; Childre, Doc

    2012-03-01

    The much anticipated year of 2012 is now here. Amidst the predictions and cosmic alignments that many are aware of, one thing is for sure: it will be an interesting and exciting year as the speed of change continues to increase, bringing both chaos and great opportunity. One benchmark of these times is a shift in many people from a paradigm of competition to one of greater cooperation. All across the planet, increasing numbers of people are practicing heart-based living, and more groups are forming activities that support positive change and creative solutions for manifesting a better world. The Global Coherence Initiative (GCI) is a science-based, co-creative project to unite people in heart-focused care and intention. GCI is working in concert with other initiatives to realize the increased power of collective intention and consciousness. The convergence of several independent lines of evidence provides strong support for the existence of a global information field that connects all living systems and consciousness. Every cell in our bodies is bathed in an external and internal environment of fluctuating invisible magnetic forces that can affect virtually every cell and circuit in biological systems. Therefore, it should not be surprising that numerous physiological rhythms in humans and global collective behaviors are not only synchronized with solar and geomagnetic activity, but disruptions in these fields can create adverse effects on human health and behavior. The most likely mechanism for explaining how solar and geomagnetic influences affect human health and behavior are a coupling between the human nervous system and resonating geomagnetic frequencies, called Schumann resonances, which occur in the earth-ionosphere resonant cavity and Alfvén waves. It is well established that these resonant frequencies directly overlap with those of the human brain and cardiovascular system. If all living systems are indeed interconnected and communicate with each other

  14. VCSEL Based Coherent PONs

    DEFF Research Database (Denmark)

    Jensen, Jesper Bevensee; Rodes, Roberto; Caballero Jambrina, Antonio

    2014-01-01

    We present a review of research performed in the area of coherent access technologies employing vertical cavity surface emitting lasers (VCSELs). Experimental demonstrations of optical transmission over a passive fiber link with coherent detection using VCSEL local oscillators and directly modula...

  15. Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

    Science.gov (United States)

    De Simone, Danilo; Mao, Ming; Kocsis, Michael; De Schepper, Peter; Lazzarino, Frederic; Vandenberghe, Geert; Stowers, Jason; Meyers, Stephen; Clark, Benjamin L.; Grenville, Andrew; Luong, Vinh; Yamashita, Fumiko; Parnell, Doni

    2016-03-01

    Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.

  16. Injection of harmonics generated in gas in a free-electron laser providing intense and coherent extreme-ultraviolet light

    Energy Technology Data Exchange (ETDEWEB)

    Lambert, G; Garzella, D; Labat, M; Carre, B; Bougeard, M; Salieres, P; Merdji, H; Gobert, O [CEA Saclay, DSM, DRECAM, Serv. Photons Atomes Mol., F-91191 Gif sur Yvette, (France); Lambert, G; Hara, T; Tanikawa, T; Kitamura, H; Shintake, T; Tanaka, Y; Tahara, K [RIKEN SPring Centre, Harima Inst., Hyogo 679-5148, (Japan); Lambert, G; Labat, M; Chubar, O; Couprie, M E [Groupe Magnetisme et Insertion, Synchrotron Soleil, F-91192 Gif sur Yvette, (France); Hara, T; Kitamura, H; Shintake, T; Inoue, S; Tanaka, Y [XFEL Project Head Office, RIKEN, Hyogo 679-5148, (Japan)

    2008-07-01

    Conventional synchrotron radiation sources enable the structure of matter to be studied at near-atomic spatial resolution and picosecond temporal resolution. Free-electron lasers promise to extend this down to femtosecond timescales. The process by which free-electron lasers amplify synchrotron light-known as self-amplified spontaneous emission - is only partially temporally coherent, but this can be improved by seeding it with an external laser. Here we explore the use of seed light produced by high-order harmonic generation in a gas, covering wavelengths from the ultraviolet to soft X-rays. Using the SPring-8 Compact SASE Source test accelerator, we demonstrate an increase of three orders of magnitude in the intensity of the fundamental radiation at 160 nm, halving of the free-electron laser saturation length, and the generation of nonlinear harmonics at 54 nm and 32 nm. The low seed level used in this demonstration suggests that nonlinear harmonic schemes should enable the generation of fully coherent soft X-rays at wavelengths down to the so-called 'water window', vital for the study of biological samples. (authors)

  17. CONTRIBUTION OF VELOCITY VORTICES AND FAST SHOCK REFLECTION AND REFRACTION TO THE FORMATION OF EUV WAVES IN SOLAR ERUPTIONS

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongjuan; Liu, Siqing; Gong, Jiancun [Center for Space Science and Applied Research, Chinese Academy of Sciences, Beijing 100190 (China); Wu, Ning [School of Tourism and Geography, Yunnan Normal University, Kunming, Yunnan 650031 (China); Lin, Jun [Yunnan Observatories, Chinese Academy of Sciences, Kunming, Yunnan 650011 (China)

    2015-06-01

    We numerically study the detailed evolutionary features of the wave-like disturbance and its propagation in the eruption. This work is a follow-up to Wang et al., using significantly upgraded new simulations. We focus on the contribution of the velocity vortices and the fast shock reflection and refraction in the solar corona to the formation of the EUV waves. Following the loss of equilibrium in the coronal magnetic structure, the flux rope exhibits rapid motions and invokes the fast-mode shock at the front of the rope, which then produces a type II radio burst. The expansion of the fast shock, which is associated with outward motion, takes place in various directions, and the downward expansion shows the reflection and the refraction as a result of the non-uniform background plasma. The reflected component of the fast shock propagates upward and the refracted component propagates downward. As the refracted component reaches the boundary surface, a weak echo is excited. The Moreton wave is invoked as the fast shock touches the bottom boundary, so the Moreton wave lags the type II burst. A secondary echo occurs in the area where reflection of the fast shock encounters the slow-mode shock, and the nearby magnetic field lines are further distorted because of the interaction between the secondary echo and the velocity vortices. Our results indicate that the EUV wave may arise from various processes that are revealed in the new simulations.

  18. Deep Compaction Control of Sandy Soils

    Directory of Open Access Journals (Sweden)

    Bałachowski Lech

    2015-02-01

    Full Text Available Vibroflotation, vibratory compaction, micro-blasting or heavy tamping are typical improvement methods for the cohesionless deposits of high thickness. The complex mechanism of deep soil compaction is related to void ratio decrease with grain rearrangements, lateral stress increase, prestressing effect of certain number of load cycles, water pressure dissipation, aging and other effects. Calibration chamber based interpretation of CPTU/DMT can be used to take into account vertical and horizontal stress and void ratio effects. Some examples of interpretation of soundings in pre-treated and compacted sands are given. Some acceptance criteria for compaction control are discussed. The improvement factors are analysed including the normalised approach based on the soil behaviour type index.

  19. Mid-infrared frequency comb via coherent dispersive wave generation in silicon nitride nanophotonic waveguides

    Science.gov (United States)

    Guo, Hairun; Herkommer, Clemens; Billat, Adrien; Grassani, Davide; Zhang, Chuankun; Pfeiffer, Martin H. P.; Weng, Wenle; Brès, Camille-Sophie; Kippenberg, Tobias J.

    2018-06-01

    Mid-infrared optical frequency combs are of significant interest for molecular spectroscopy due to the large absorption of molecular vibrational modes on the one hand, and the ability to implement superior comb-based spectroscopic modalities with increased speed, sensitivity and precision on the other hand. Here, we demonstrate a simple, yet effective, method for the direct generation of mid-infrared optical frequency combs in the region from 2.5 to 4.0 μm (that is, 2,500-4,000 cm-1), covering a large fraction of the functional group region, from a conventional and compact erbium-fibre-based femtosecond laser in the telecommunication band (that is, 1.55 μm). The wavelength conversion is based on dispersive wave generation within the supercontinuum process in an unprecedented large-cross-section silicon nitride (Si3N4) waveguide with the dispersion lithographically engineered. The long-wavelength dispersive wave can perform as a mid-infrared frequency comb, whose coherence is demonstrated via optical heterodyne measurements. Such an approach can be considered as an alternative option to mid-infrared frequency comb generation. Moreover, it has the potential to realize compact dual-comb spectrometers. The generated combs also have a fine teeth-spacing, making them suitable for gas-phase analysis.

  20. Algebraic Bethe ansatz for U(1) invariant integrable models: Compact and non-compact applications

    International Nuclear Information System (INIS)

    Martins, M.J.; Melo, C.S.

    2009-01-01

    We apply the algebraic Bethe ansatz developed in our previous paper [C.S. Melo, M.J. Martins, Nucl. Phys. B 806 (2009) 567] to three different families of U(1) integrable vertex models with arbitrary N bond states. These statistical mechanics systems are based on the higher spin representations of the quantum group U q [SU(2)] for both generic and non-generic values of q as well as on the non-compact discrete representation of the SL(2,R) algebra. We present for all these models the explicit expressions for both the on-shell and the off-shell properties associated to the respective transfer matrices eigenvalue problems. The amplitudes governing the vectors not parallel to the Bethe states are shown to factorize in terms of elementary building blocks functions. The results for the non-compact SL(2,R) model are argued to be derived from those obtained for the compact systems by taking suitable N→∞ limits. This permits us to study the properties of the non-compact SL(2,R) model starting from systems with finite degrees of freedom.

  1. Algebraic Bethe ansatz for U(1) invariant integrable models: Compact and non-compact applications

    Science.gov (United States)

    Martins, M. J.; Melo, C. S.

    2009-10-01

    We apply the algebraic Bethe ansatz developed in our previous paper [C.S. Melo, M.J. Martins, Nucl. Phys. B 806 (2009) 567] to three different families of U(1) integrable vertex models with arbitrary N bond states. These statistical mechanics systems are based on the higher spin representations of the quantum group U[SU(2)] for both generic and non-generic values of q as well as on the non-compact discrete representation of the SL(2,R) algebra. We present for all these models the explicit expressions for both the on-shell and the off-shell properties associated to the respective transfer matrices eigenvalue problems. The amplitudes governing the vectors not parallel to the Bethe states are shown to factorize in terms of elementary building blocks functions. The results for the non-compact SL(2,R) model are argued to be derived from those obtained for the compact systems by taking suitable N→∞ limits. This permits us to study the properties of the non-compact SL(2,R) model starting from systems with finite degrees of freedom.

  2. Multi-channel coherent perfect absorbers

    KAUST Repository

    Bai, Ping

    2016-05-18

    The absorption efficiency of a coherent perfect absorber usually depends on the phase coherence of the incident waves on the surfaces. Here, we present a scheme to create a multi-channel coherent perfect absorber in which the constraint of phase coherence is loosened. The scheme has a multi-layer structure such that incident waves in different channels with different angular momenta can be simultaneously and perfectly absorbed. This absorber is robust in achieving high absorption efficiency even if the incident waves become "incoherent" and possess "random" wave fronts. Our work demonstrates a unique approach to designing highly efficient metamaterial absorbers. © CopyrightEPLA, 2016.

  3. Multi-channel coherent perfect absorbers

    KAUST Repository

    Bai, Ping; Wu, Ying; Lai, Yun

    2016-01-01

    The absorption efficiency of a coherent perfect absorber usually depends on the phase coherence of the incident waves on the surfaces. Here, we present a scheme to create a multi-channel coherent perfect absorber in which the constraint of phase coherence is loosened. The scheme has a multi-layer structure such that incident waves in different channels with different angular momenta can be simultaneously and perfectly absorbed. This absorber is robust in achieving high absorption efficiency even if the incident waves become "incoherent" and possess "random" wave fronts. Our work demonstrates a unique approach to designing highly efficient metamaterial absorbers. © CopyrightEPLA, 2016.

  4. Coherent Multistatic ISAR Imaging

    NARCIS (Netherlands)

    Dorp, Ph. van; Otten, M.P.G.; Verzeilberg, J.M.M.

    2012-01-01

    This paper presents methods for Coherent Multistatic Radar Imaging for Non Cooperative Target Recognition (NCTR) with a network of radar sensors. Coherent Multistatic Radar Imaging is based on an extension of existing monostatic ISAR algorithms to the multistatic environment. The paper describes the

  5. Coherence and correspondence in engineering design

    Directory of Open Access Journals (Sweden)

    Konstantinos V. Katsikopoulos

    2009-03-01

    Full Text Available I show how the coherence/correspondence distinction can inform the conversation about decision methods for engineering design. Some engineers argue for the application of multi-attribute utility theory while others argue for what they call heuristics. To clarify the differences among methods, I first ask whether each method aims at achieving coherence or correspondence. By analyzing statements in the design literature, I argue that utility theory aims at achieving coherence and heuristics aim at achieving correspondence. Second, I ask if achieving coherence always implies achieving correspondence. It is important to provide an answer because while in design the objective is correspondence, it is difficult to assess it, and coherence that is easier to assess is used as a surrogate. I argue that coherence does not always imply correspondence in design and that this is also the case in problems studied in judgment and decision-making research. Uncovering the conditions under which coherence implies, or does not imply, correspondence is a topic where engineering design and judgment and decision-making research might connect.

  6. Anti­-parallel Filament Flows and Bright Dots Observed in the EUV with Hi-­C

    Science.gov (United States)

    Alexander, Caroline E.; Regnier, Stephane; Walsh, Robert; Winebarger, Amy

    2013-01-01

    Hi-C obtained the highest spatial and temporal resolution observations ever taken in the solar EUV corona. Hi-C reveals dynamics and structure at the limit of its temporal and spatial resolution. Hi-C observed various fine-scale features that SDO/AIA could not pick out. For the first time in the corona, Hi-C revealed magnetic braiding and component reconnection consistent with coronal heating. Hi-C shows evidence of reconnection and heating in several different regions and magnetic configurations with plasma being heated to 0.3 - 8 x 10(exp 6) K temperatures. Surprisingly, many of the first results highlight plasma at temperatures that are not at the peak of the response functions.

  7. Theory of coherent resonance energy transfer

    International Nuclear Information System (INIS)

    Jang, Seogjoo; Cheng, Y.-C.; Reichman, David R.; Eaves, Joel D.

    2008-01-01

    A theory of coherent resonance energy transfer is developed combining the polaron transformation and a time-local quantum master equation formulation, which is valid for arbitrary spectral densities including common modes. The theory contains inhomogeneous terms accounting for nonequilibrium initial preparation effects and elucidates how quantum coherence and nonequilibrium effects manifest themselves in the coherent energy transfer dynamics beyond the weak resonance coupling limit of the Foerster and Dexter (FD) theory. Numerical tests show that quantum coherence can cause significant changes in steady state donor/acceptor populations from those predicted by the FD theory and illustrate delicate cooperation of nonequilibrium and quantum coherence effects on the transient population dynamics.

  8. Starbursts in Blue compact dwarf galaxies

    International Nuclear Information System (INIS)

    Thuan, T.X.

    1987-01-01

    We summarize all the arguments for a bursting mode of star formation in blue compact dwarf galaxies. We show in particular how spectral synthesis of far ultraviolet spectra of Blue compact dwarf galaxy constitutes a powerful way for studying the star formation history in these galaxies. Blue compact dwarf galaxy luminosity functions show jumps and discontinuities. These jumps act like fossil records of the star-forming bursts, helping us to count and date the bursts

  9. Maintaining Web Cache Coherency

    Directory of Open Access Journals (Sweden)

    2000-01-01

    Full Text Available Document coherency is a challenging problem for Web caching. Once the documents are cached throughout the Internet, it is often difficult to keep them coherent with the origin document without generating a new traffic that could increase the traffic on the international backbone and overload the popular servers. Several solutions have been proposed to solve this problem, among them two categories have been widely discussed: the strong document coherency and the weak document coherency. The cost and the efficiency of the two categories are still a controversial issue, while in some studies the strong coherency is far too expensive to be used in the Web context, in other studies it could be maintained at a low cost. The accuracy of these analysis is depending very much on how the document updating process is approximated. In this study, we compare some of the coherence methods proposed for Web caching. Among other points, we study the side effects of these methods on the Internet traffic. The ultimate goal is to study the cache behavior under several conditions, which will cover some of the factors that play an important role in the Web cache performance evaluation and quantify their impact on the simulation accuracy. The results presented in this study show indeed some differences in the outcome of the simulation of a Web cache depending on the workload being used, and the probability distribution used to approximate updates on the cached documents. Each experiment shows two case studies that outline the impact of the considered parameter on the performance of the cache.

  10. Proximal design for a multimodality endoscope with multiphoton microscopy, optical coherence microscopy and visual modalities

    Science.gov (United States)

    Kiekens, Kelli C.; Talarico, Olivia; Barton, Jennifer K.

    2018-02-01

    A multimodality endoscope system has been designed for early detection of ovarian cancer. Multiple illumination and detection systems must be integrated in a compact, stable, transportable configuration to meet the requirements of a clinical setting. The proximal configuration presented here supports visible light navigation with a large field of view and low resolution, high resolution multiphoton microscopy (MPM), and high resolution optical coherence microscopy (OCM). All modalities are integrated into a single optical system in the endoscope. The system requires two light sources: a green laser for visible light navigation and a compact fiber based femtosecond laser for MPM and OCM. Using an inline wavelength division multiplexer, the two sources are combined into a single mode fiber. To accomplish OCM, a fiber coupler is used to separate the femtosecond laser into a reference arm and signal arm. The reflected reference arm and the signal from the sample are interfered and wavelength separated by a reflection grating and detected using a linear array. The MPM signal is collimated and goes through a series of filters to separate the 2nd and 3rd harmonics as well as twophoton excitation florescence (2PEF) and 3PEF. Each signal is independently detected on a photo multiplier tube and amplified. The visible light is collected by multiple high numerical aperture fibers at the endoscope tip which are bundled into one SMA adapter at the proximal end and connected to a photodetector. This integrated system design is compact, efficient and meets both optical and mechanical requirements for clinical applications.

  11. Compact magnetic fusin reactor concepts

    International Nuclear Information System (INIS)

    Chung, K.M.

    1984-01-01

    Compact, high-power-density approaches to fusion power represent alternatives to main-line fusion concepts, Tokamaks and mirrors. If technological issues are resolved, theses approaches would yield small, low-cost fusion power plants. This survey reviews the principal physics and technology employed by leading compact magnetic fusion plants. (Author)

  12. Optimization of a coherent soft x-ray beamline for coherent scattering experiments at NSLS-II

    Energy Technology Data Exchange (ETDEWEB)

    Shapiro D.; Chubar, O.; Kaznatcheev, K.; Reininger, R.; Sanchez-Hanke, C.; Wang, S.

    2011-08-21

    The coherent soft x-ray and full polarization control (CSX) beamline at the National Synchrotron Light Source - II (NSLS-II) will deliver 1013 coherent photons per second in the energy range of 0.2-2 keV with a resolving power of 2000. The source, a dual elliptically polarizing undulator (EPU), and beamline optics should be optimized to deliver the highest possible coherent flux in a 10-30 {micro}m spot for use in coherent scattering experiments. Using the computer code Synchrotron Radiation Workshop (SRW), we simulate the photon source and focusing optics in order to investigate the conditions which provide the highest usable coherent intensity on the sample. In particular, we find that an intermediate phasing magnet is needed to correct for the relative phase between the two EPUs and that the optimum phase setting produces a spectrum in which the desired wavelength is slightly red-shifted thus requiring a larger aperture than originally anticipated. This setting is distinct from that which produces an on-axis spectrum similar to a single long undulator. Furthermore, partial coherence calculations, utilizing a multiple electron approach, indicate that a high degree of spatial coherence is still obtained at the sample location when such an aperture is used. The aperture size which maximizes the signal-to-noise ratio of a double-slit experiment is explored. This combination of high coherence and intensity is ideally suited for x-ray ptychography experiments which reconstruct the scattering density from micro-diffraction patterns. This technique is briefly reviewed and the effects on the image quality of proximity to the beamline focus are explored.

  13. Invariant subsets under compact quantum group actions

    OpenAIRE

    Huang, Huichi

    2012-01-01

    We investigate compact quantum group actions on unital $C^*$-algebras by analyzing invariant subsets and invariant states. In particular, we come up with the concept of compact quantum group orbits and use it to show that countable compact metrizable spaces with infinitely many points are not quantum homogeneous spaces.

  14. Topological entropy of continuous actions of compactly generated groups

    OpenAIRE

    Schneider, Friedrich Martin

    2015-01-01

    We introduce a notion of topological entropy for continuous actions of compactly generated topological groups on compact Hausdorff spaces. It is shown that any continuous action of a compactly generated topological group on a compact Hausdorff space with vanishing topological entropy is amenable. Given an arbitrary compactly generated locally compact Hausdorff topological group $G$, we consider the canonical action of $G$ on the closed unit ball of $L^{1}(G)' \\cong L^{\\infty}(G)$ endowed with...

  15. Experimental study of EUV mirror radiation damage resistance under long-term free-electron laser exposures below the single-shot damage threshold

    Czech Academy of Sciences Publication Activity Database

    Makhotkin, I.A.; Sobierajski, R.; Chalupský, J.; Tiedtke, K.; de Vries, G.; Stoermer, M.; Scholze, F.; Siewert, F.; van de Kruijs, R.W.E.; Louis, E.; Jacyna, I.; Jurek, M.; Klinger, D.; Nittler, L.; Syryanyy, Y.; Juha, Libor; Hájková, V.; Vozda, V.; Burian, Tomáš; Saksl, K.; Faatz, B.; Keitel, B.; Ploenjes, E.; Schreiber, S.; Toleikis, S.; Loch, R.; Hermann, M.; Strobel, S.; Nienhuys, H.-K.; Gwalt, G.; Mey, T.; Enkisch, H.

    2018-01-01

    Roč. 25, č. 1 (2018), s. 77-84 ISSN 0909-0495. [Workshop on FEL Photon Diagnostics, Instrumentation and Beamline Design (PhotonDiag2017). Stanford, 01.05.2017-03.05.2017] R&D Projects: GA ČR(CZ) GA14-29772S; GA MŠk LG15013 Institutional support: RVO:61389021 Keywords : free-electron laser induced damage * EUV optics * thin films * FELs Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics)

  16. Coherent states on Hilbert modules

    International Nuclear Information System (INIS)

    Ali, S Twareque; Bhattacharyya, T; Roy, S S

    2011-01-01

    We generalize the concept of coherent states, traditionally defined as special families of vectors on Hilbert spaces, to Hilbert modules. We show that Hilbert modules over C*-algebras are the natural settings for a generalization of coherent states defined on Hilbert spaces. We consider those Hilbert C*-modules which have a natural left action from another C*-algebra, say A. The coherent states are well defined in this case and they behave well with respect to the left action by A. Certain classical objects like the Cuntz algebra are related to specific examples of coherent states. Finally we show that coherent states on modules give rise to a completely positive definite kernel between two C*-algebras, in complete analogy to the Hilbert space situation. Related to this, there is a dilation result for positive operator-valued measures, in the sense of Naimark. A number of examples are worked out to illustrate the theory. Some possible physical applications are also mentioned.

  17. Integrated coherent matter wave circuits

    International Nuclear Information System (INIS)

    Ryu, C.; Boshier, M. G.

    2015-01-01

    An integrated coherent matter wave circuit is a single device, analogous to an integrated optical circuit, in which coherent de Broglie waves are created and then launched into waveguides where they can be switched, divided, recombined, and detected as they propagate. Applications of such circuits include guided atom interferometers, atomtronic circuits, and precisely controlled delivery of atoms. We report experiments demonstrating integrated circuits for guided coherent matter waves. The circuit elements are created with the painted potential technique, a form of time-averaged optical dipole potential in which a rapidly moving, tightly focused laser beam exerts forces on atoms through their electric polarizability. Moreover, the source of coherent matter waves is a Bose-Einstein condensate (BEC). Finally, we launch BECs into painted waveguides that guide them around bends and form switches, phase coherent beamsplitters, and closed circuits. These are the basic elements that are needed to engineer arbitrarily complex matter wave circuitry

  18. Coherent states in quantum physics

    CERN Document Server

    Gazeau, Jean-Pierre

    2009-01-01

    This self-contained introduction discusses the evolution of the notion of coherent states, from the early works of Schrödinger to the most recent advances, including signal analysis. An integrated and modern approach to the utility of coherent states in many different branches of physics, it strikes a balance between mathematical and physical descriptions.Split into two parts, the first introduces readers to the most familiar coherent states, their origin, their construction, and their application and relevance to various selected domains of physics. Part II, mostly based on recent original results, is devoted to the question of quantization of various sets through coherent states, and shows the link to procedures in signal analysis. Title: Coherent States in Quantum Physics Print ISBN: 9783527407095 Author(s): Gazeau, Jean-Pierre eISBN: 9783527628292 Publisher: Wiley-VCH Dewey: 530.12 Publication Date: 23 Sep, 2009 Pages: 360 Category: Science, Science: Physics LCCN: Language: English Edition: N/A LCSH:

  19. Mappings with closed range and compactness

    International Nuclear Information System (INIS)

    Iyahen, S.O.; Umweni, I.

    1985-12-01

    The motivation for this note is the result of E.O. Thorp that a normed linear space E is finite dimensional if and only if every continuous linear map for E into any normed linear space has a closed range. Here, a class of Hausdorff topological groups is introduced; called r-compactifiable topological groups, they include compact groups, locally compact Abelian groups and locally convex linear topological spaces. It is proved that a group in this class which is separable, complete metrizable or locally compact, is necessarily compact if its image by a continuous group homomorphism is necessarily closed. It is deduced then that a Hausdorff locally convex is zero if its image by a continuous additive map is necessarily closed. (author)

  20. Controlled Compact High Voltage Power Lines

    Directory of Open Access Journals (Sweden)

    Postolati V.

    2016-04-01

    Full Text Available Nowadays modern overhead transmission lines (OHL constructions having several significant differences from conventional ones are being used in power grids more and more widely. Implementation of compact overhead lines equipped with FACTS devices, including phase angle regulator settings (compact controlled OHL, appears to be one of the most effective ways of power grid development. Compact controlled AC HV OHL represent a new generation of power transmission lines embodying recent advanced achievements in design solutions, including towers and insulation, together with interconnection schemes and control systems. Results of comprehensive research and development in relation to 110–500kV compact controlled power transmission lines together with theoretical basis, substantiation, and methodological approaches to their practical application are presented in the present paper.